JP2005175479A - ライン・レベル・エア・ギャップ - Google Patents

ライン・レベル・エア・ギャップ Download PDF

Info

Publication number
JP2005175479A
JP2005175479A JP2004353505A JP2004353505A JP2005175479A JP 2005175479 A JP2005175479 A JP 2005175479A JP 2004353505 A JP2004353505 A JP 2004353505A JP 2004353505 A JP2004353505 A JP 2004353505A JP 2005175479 A JP2005175479 A JP 2005175479A
Authority
JP
Japan
Prior art keywords
level
permanent
dielectric material
low
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004353505A
Other languages
English (en)
Other versions
JP4817649B2 (ja
Inventor
Shyng-Tsong Chen
シン−ツォン・チェン
Stefanie R Chiras
ステファニー・ルース・チラス
Matthew Earl Colburn
マシュー・アール・コルバーン
Timothy Joseph Dalton
ティモシー・ジョセフ・ダルトン
Jeffrey Curtis Hedrick
ジェフリー・カーティス・ヘドリック
Elbert Emin Huang
アルバート・エミン・フアン
Kaushik A Kumar
カウシック・アルン・クマー
Michael Wayne Lane
マイケル・ウエイン・レーン
Kelly Malone
ケリー・マローン
Chandrasekhar Narayan
チャンドラセカール・ナラヤン
Satyanarayana V Nitta
サチャナラヤナ・ヴェンカタ・ニッタ
Sampath Purushothaman
サンパス・プルショタマン
Robert Rosenberg
ロバート・ローゼンバーグ
Christy S Tyberg
クリスティー・センセニヒ・タイバーグ
Roy Rongqing Yu
ロイ・ロンチン・ユー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005175479A publication Critical patent/JP2005175479A/ja
Application granted granted Critical
Publication of JP4817649B2 publication Critical patent/JP4817649B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 空気誘電体を設けて導電ライン間の誘電率を低減する構造を提供する。
【解決手段】 マルチレベル超小型電子集積回路において、空気は、永久ライン・レベル誘電体を構成し、超低k(ultra-low-k)物質は、バイア・レベル誘電体を構成する。IC構造において、クリーンな熱分解および多孔を介した副産物の補助的な拡散によって犠牲物質を除去した後に、ライン・レベルに空気を供給する。任意選択として、バイア・レベル誘電体の多孔内にも空気が含まれる。本発明において生成した拡張に空気を組み込むことによって、レベル内およびレベル間誘電値が最小限に抑えられる。
【選択図】 図17

Description

本発明は、高密度マルチレベル超小型電子集積回路(IC:integrated circuit)構造に関する。具体的には、本発明は、空気誘電体を設けることによる各ライン・レベルでの導電ライン間の誘電率の低減に関する。機能デバイスにおいて構造の性能を更に最適化するため、バイア・レベルで多孔性永久誘電体(porous permanent dielectric)を設ける。
IC構造におけるフィーチャの密度を上げること、および個々のフィーチャのサイズを小さくすることへの積極的な取り組みが依然として行われている。現在、フィーチャ寸法は、約0.5ミクロン以下まで小さく製造することができ、5000オングストローム未満を隔てて分離させることができる。この取り組みが続くと、ますます近接するようになることに伴う問題に対処するため、IC構造を構成する物質およびプロセスを再検討しなければならない。高密度化に伴う大きな問題は、導電ライン間の容量電圧結合およびクロストークにおいてレベル内の相互作用が増大することであり、その最大の成分は所与のライン・レベルにおける隣接した導電ライン間のものである。この相互作用の増大の結果、ICは、許容不可能なほど遅い信号伝搬、すなわち抵抗−コンデンサ(R−C:Resistance-Capacitance)遅延、およびエネルギ消費増大の形態の、ライン・レベルでの不良のリスクが大きい。レベル間相互作用は、遅延の成分としては小さい方であるが、IC構造の有効誘電率を最小化するため、慎重に低減させる。
通常のICは、半導体ウエハ基板上に製造される。単結晶シリコンのほかに、他の可能な半導体基板は、ガリウムヒ素、シリコン・オン・サファイヤ(silicon-on-sapphire)、シリコン・ゲルマニウム、シリコン・オン・インシュレータ(silicon-on-insulator)、およびダイアモンド等であり、Soo等の米国特許第6,251,798B1号に記載されている。基板上および/または基板内に、トランジスタ、バイポーラ・デバイス、およびダイオード等のフィーチャを含むことができる。基板の上に、絶縁性誘電媒体内にバイアの層および並列の配線ラインの層を電気的に相互接続して交互に備えたIC構造が製造されている。
絶縁性媒体の誘電率(k)を、二酸化シリコンの約3.9の値未満から、理想的な値である真空の1.0000または空気の1.0002にできる限り近い値まで小さくする物質および手段を提供することに焦点を当てた多くの従来技術および現在の技術がある。例えばNag等の米国特許番号第6,297,125B1号およびLinの米国特許番号第6,211,057B1号に記載されているように、現在の技術では、フッ素化二酸化シリコン、様々なポリマ、スピン・オン・グラス(spin on glass)、およびキセロゲルを含む様々な低誘電率物質が利用されている。これらの物質は全てkが低いが、その値は空気の理想的な値ほど低くはなく、Nag等の特許に記載されているように、各々は、配線レベルの誘電体として残されると、別の制約がある場合がある。
kを小さくする試みにおいて、様々な手段により、中空のビーズ、泡、穴、または多孔の形態で、空気を誘電体マトリクス内に組み込んでいる。また、エッチング液を用いて少なくとも部分的に固体誘電物質を除去することによって、配線レベルで空気を生成している。Nag等の特許に記述されているように、一例として、記載された一時的な誘電物質は、スピン・オン・グラス(SOG:spin-on glass)水素シルセスキオキサン水素(HSQ:hydrogen silsesquioxane)であり、これは、アルミニウム配線ラインの保護の後、HFによって除去される。また、Chang等の米国特許第6,316,347B1およびB2号に示されるように、IC構造の特定の領域のみで永久誘電体としてエア・ギャップを組み入れることも可能である。
空気誘電体(air dielectric)を対象とする他の技術が、Romankiwの米国特許第6,596,624B1号において検討されており、これは、本発明と同一譲渡人に譲渡されている。また、Romankiwの特許は、IC構造の周辺部を含めて要所に配置された非導電性バイアを設けること、および、接合の後に一部または全てのレベルから誘電体を同時に除去することを記載している。当技術分野における別の処理方式は、米国特許第6,346,484号のエッチ・バック・ギャップ充填(EBGF:Etch-back Gap Fill)を含む。
米国特許第6,251,798B1号 米国特許番号第6,297,125B1号 米国特許番号第6,211,057B1号 米国特許第6,316,347B1およびB2号 米国特許第6,596,624B1号 米国特許第6,346,484号
固体誘電体を部分的にのみ除去することによってエア・ギャップを生成する場合、特に配線レベルにおいて、低k(low-k)物質を構造内に組み込むことがやはり重要である。除去が1つのレベルで完了するならば、そのレベルの犠牲物質は誘電体である必要はない。犠牲物質の置換がどんなに広い領域に及ぶものであっても、汚染、過熱、化学的攻撃、機械的歪み、またはその他によって処理後に残る構造の完全さを損なわないプロセスによって、これを除去可能でなければならない。できる限り環境に害を与えない方法で、一連の信頼性の高い効率的な製造可能プロセス・ステップで、超小型電子処理とコンパチブルに、クリーンにかつ完全に犠牲物質を除去して、堅固な超低k(ultra-low-k)のIC構造を生成する手段を用いることが望ましいであろう。
いくつかの特許では、犠牲物質として炭素を記載し、CO2を形成し次いで拡散させる雰囲気として酸素プラズマまたは酸素アッシング(ashing)を記載している。いくつかの例は、Lee等の米国特許第6,492,256B2号および米国特許第6,492,732B2号である。Leeの特許では、酸素アッシングまたはプラズマ・エッチングから配線を保護するための誘電体ライナが記載されている。しかしながら、配線上のライナの存在は、有効kを上昇させる危険がある。Sunの米国特許第6,350,672B1号では、ライナは記載されていない。しかしながら、銅等の何らかの配線が、酸素アッシングまたは酸素プラズマ・エッチングによって攻撃される恐れがある。
本発明の構造およびプロセスを説明する際に、「空気誘電体」という言葉は、真空、空気、低k不活性ガス、ガスおよびそのいずれかの混合物の形成を包含することを意図し、これは固体の一時的物質を無害に置換して永久誘電体として機能することができる。
本発明は、当技術分野において経験される処理の問題を回避する方法で機械的に安定したICが得られるプロセスおよび構造を提供する。この結果は、メタライゼーションが高密度であり低k誘電環境が最も必要であるライン・レベルに空気誘電体を有し、これと組み合わせて、バイア・レベルで低kガス透過性固体または多孔性永久誘電物質を用いて機械的安定性を与えることによって達成される。本発明は、酸素アッシングまたは酸素プラズマ・エッチングによる犠牲物質の除去を含まず、銅配線ラインが悪影響を受けないように反応性雰囲気も含まない。本発明は、現在の最新技術である銅配線ラインの製造のためのデュアル・ダマシン・プロセスと直接コンパチブルである。
本発明の構造を製造するプロセスは、以下の例示的ステップを含み、これらは、保護されたデバイスが上部または内部にあり得る半導体基板上で実行される。
当技術分野において既知の手段により、永久誘電体を堆積する。固体の永久誘電体の表面上に、不透過性エッチ・ストップを堆積する。エッチ・ストップの表面上に、犠牲物質を堆積する。犠牲物質の表面上に、ガス浸透性の単一または二重レベル・ハード・マスクを堆積する。デュアル・ダマシン処理および化学金属研磨(CMP:chemical metal polishing)を行って、バイアによって半導体レベルの下部のいずれかのデバイスに接続することができる導電性配線レベルを設けた後、導電配線上に薄い保護キャップを選択的に堆積する。
選択的にキャップを形成した配線レベル上にバイア・レベルの永久誘電体を適用した後、熱を加える。熱は、真空雰囲気または別の制御された非反応性雰囲気で、ガス透過性バイア・レベル誘電体を介して犠牲物質を同時に分解し拡散させ、いずれかの必要なハード・マスクの硬化およびバイア・レベル永久誘電体の硬化を完了させ、加熱プロセスの副産物を除去する温度および時間で行う。
加熱処理の後、バイアおよびメタライゼーション・レベルを、以降の追加層の処理で発生し得る汚染から保護するため、非ガス透過性エッチ・ストップを、バイア・レベルで堆積し硬化させる。必要に応じて、このプロセスを繰り返して、追加のバイアおよびライン・レベルを生成する。本発明のICは、RC遅延に最大の影響を与えるライン・レベルにおいて可能な限り低い有効kで、ウエハ・プロセスの後半部(BEOL:Back End of Line)による相互接続を提供し、最も堅固な機械的安定性とバランスを取る。
図1は、本発明のIC構造の半導体基板(図示せず)の上面上に対する初期の製造ステップを示す。第1のバイア・レベルをパターニングするため、基板上に、第1の固体永久超低k誘電物質層1が堆積されている。バイア・レベルの固体永久低k誘電体として機能するために用いる適切な多孔性および実質的に非多孔性のガス透過性物質の例は、Dow Chemical Companyのポリマ製品である多孔性SiLKおよびSiLK(スピン・コートのオリゴマー溶液として塗布し、約400℃〜450℃で硬化する)、JSR Microの製品であるJSR等のガラス質のスピン・オン物質である多孔性SiCOHおよびSiCOH、およびメチルシルセスキオキサン(MSSQ:methyl silsesquioxane)を含む。永久誘電物質層1の上に、スピン・オン、化学蒸着(CVD:chemical vapor deposition)等の当技術分野において既知の方法で、第1のガス不透過性エッチ・ストップ層2が堆積されている。エッチ・ストップとして機能するために用いられる適切な物質の例は、SiO2、SiN、SiC、SiCH、およびSiNCHを含む。このエッチ・ストップ層は特定の状況において用いる物質に不透過性または透過性のどちらが適切であるかに応じて、必要である場合もあるし必要でない場合もある。
バイア・レベルおよびライン・レベルの双方で空気誘電体が望ましいのでない限り、選択した永久誘電物質は、犠牲誘電物質が分解する温度に近いかまたはそれ未満の温度で分解可能でないことに留意すべきである。しかしながら、犠牲誘電物質を除去する一方で永久誘電物質が多孔性を形成または維持するタイプのものである場合、k値を更に小さくすることができる。
図2に、選択した物質について当技術分野において既知の方法で、エッチ・ストップ層2の上に、第1の犠牲物質層3が堆積されている。図3に示すように、これを、単一または二重層ハード・マスク4によって被覆する。犠牲物質は誘電体とすることができるが、これは必須ではない。これは、他の構造要素の機能に悪影響を与えないある時間および温度の範囲内で、更に、ある雰囲気において、クリーンに分解する物質とする必要がある。許容可能な分解温度は、約350℃〜450℃である。犠牲層として機能するのに適切な物質の例は、ポリスチレン、ポリメチル・メタクリレート、ポリノルボルネン、およびポリプロピレン・グリコールを含む。UVまたは電子ビーム露呈による有機化合物の架橋は、これらの一時的な誘電層を、処理の間に用いる有機溶剤に溶解させないという利点がある。ポリノルボルネンは、Unityという製品名で入手可能である。透過性ハード・マスクとして機能する適切な物質の例は、Honeywell Electronic materialsの製品であるHoSPおよびHoSP Best、JSR Microの製品であるJSR5140、JSR2021、SiCHO、ポリカーボネート、またはこれらの物質のいずれかの組み合わせが含まれ、任意選択的に二重層として配置される。犠牲誘電物質は、極めて低いCMP除去レートを有する場合、ライン・レベルでCMPハード・マスクとして使用可能である。
デュアル・ダマシン(DualDamascene)処理を実行して、図4に示すように、永久誘電体1内に導電性バイア5を、犠牲層3内に配線6を生成し、更に化学金属研磨(CMP)を行って、平坦化を行い、配線6の上面を露出させた後、好ましくは銅である露出した配線6上に、図5に示す保護キャップ7を選択的に堆積する。デュアル・ダマシン処理は、当技術分野において既知である。あるいは、銅配線6に、リセス・プロセス、キャップ7物質のブランケット堆積、およびCMP平坦化を行うことも可能である。キャップとして機能する適切な物質の例は、CoWP、Ta、W、TaN、Ru、および合金または二重層等、それらのいずれかの組み合わせを含む。
次いで、図6に示すように、配線レベル上に永久誘電物質のブランケット層8を堆積し、制御された不活性または真空の雰囲気を有する炉内で、構造全体にアニーリングを行う。温度の上昇は、犠牲誘電体の完全な除去およびその副産物の分解のために充分な時間で、約350℃〜450℃までゆっくりと上昇させる。終点は、質量分析計を用いて監視することができる。アニーリングの効果を図7に示す。犠牲物質3は分解し、その場所に空気誘電体9が残っている。分解の副産物は、ガス化し、透過性ハード・マスク4および誘電体8を通して拡散し、真空によって除去される。エッチ・ストップ2は、分解副産物の拡散がエッチ・ストップ2の下のメタライゼーション・レベルに入るのを防ぐ。次いで、図8に示すエッチ・ストップ層10を堆積して、図1のエッチ・ストップ2が行ったように、下の層を密閉し、ベースとして機能させる。図9〜14に示すように、追加して堅固な多レベル構造を順次製造し、必要な回数だけ製造シーケンスを繰り返して所望の構造を得る。これは、図14に示すように製造されたエッチ・ストップ10’の上に製造され、省略した図15から17に図示する。3’は、図9に示すように製造された犠牲層を表し、4’は、図10に示すように製造されたハード・マスクを表し、8’は、図12に示すように製造された誘電体を表し、10’’は、図17に示すように製造されたエッチ・ストップを表すことに留意すべきである。
図18および19に、永久超低k誘電部室8および8’を示す。堆積の時点で、図示する物質は、実質的に多孔性ではない。犠牲誘電体の加熱および除去の間、永久誘電体は最終的に硬化し、高度に多孔性の構造が現れる。製作中のIC構造は、FEOL基板上に製造しているものとして示す。犠牲物質レベル(またはライン・レベル)と永久誘電レベルとの間に、図面には示さないCMPハード・マスクが、単一層または二重層として任意選択的に存在する。
図18の製作中のプロセス・ステップで開始し、低k永久誘電体8’および犠牲物質3’を形成させる。次に、第2のかかるプロセスは、犠牲物質3’を除去し、空気レベル9’を残し、バイア・レベル8’全体に多孔性を加える。このプロセスを、必要に応じて多数回繰り返すことができる。バイア・レベル多孔性永久固体誘電体を、ガス永久ライン・レベル誘電体と組み合わせることによって、更にIC構造の有効kを低下させ、最小の有効誘電率のBEOL相互接続構造を提供する。
本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示し、以下に記載する図18に類似の初期サブセットである。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、本発明のICの初期段階の、半導体基板(図示せず)上での製造における順次的プロセス・フローを断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図8のエッチ・ストップ10の上部で開始して図1ないし図8に示すステップを一度繰り返した結果を断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図8のエッチ・ストップ10の上部で開始して図1ないし図8に示すステップを一度繰り返した結果を断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図8のエッチ・ストップ10の上部で開始して図1ないし図8に示すステップを一度繰り返した結果を断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図8のエッチ・ストップ10の上部で開始して図1ないし図8に示すステップを一度繰り返した結果を断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図8のエッチ・ストップ10の上部で開始して図1ないし図8に示すステップを一度繰り返した結果を断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図8のエッチ・ストップ10の上部で開始して図1ないし図8に示すステップを一度繰り返した結果を断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図14のエッチ・ストップ10’の上部で開始して図1ないし図8に示すステップを更に繰り返した結果を省略して断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図14のエッチ・ストップ10’の上部で開始して図1ないし図8に示すステップを更に繰り返した結果を省略して断面で示す。 本発明のマルチレベルIC構造の一例を製造するためのステップの進行を示し、図14のエッチ・ストップ10’の上部で開始して図1ないし図8に示すステップを更に繰り返した結果を省略して断面で示す。 本発明の中間構造の一例を示し、バイア・レベルは、硬化すると多孔で満たされる永久低k物質を組み込み、必要に応じて構造上の構造およびプロセスが繰り返された最終構造の初期サブセットである。 本発明の中間構造の一例を示し、バイア・レベルは、硬化すると多孔で満たされる永久低k物質を組み込んでいる。

Claims (29)

  1. 半導体デバイス構造の初期サブセットであって、FEOL半導体基板の上に、
    a.前記FEOL基板と電気的に連通し、処理温度範囲において非加熱分解可能である固体の永久低k誘電物質から成る銅バイア・レベルと、
    c.前記固体の永久低k誘電物質の上のライン・レベルにおける、プロセス加熱のもとで分解および除去される犠牲物質と、
    d.前記犠牲物質の上のガス透過性ハード・マスクと、
    e.前記ハード・マスクのレベルに上面を有し、前記バイア・レベルと電気的に連通している銅配線と、
    f.前記銅配線の前記上面上の薄い保護キャップと、
    を備える、初期サブセット。
  2. 半導体デバイス構造であって、FEOL半導体基板の上に、
    a.前記FEOL基板と電気的に連通し、固体の永久低k誘電物質から成る銅バイア・レベルと、
    b.前記永久誘電体の上のガス不透過性エッチ・ストップ・レベルと、
    c.前記エッチ・ストップの上の永久空気誘電体レベルと、
    d.前記空気誘電体レベルの上のガス透過性ハード・マスクと、
    e.前記ハード・マスクのレベルに上面を有し、前記バイア・レベルと電気的に連通している銅配線と、
    f.前記銅配線の前記上面上の薄い保護キャップと、
    を備える、半導体デバイス構造。
  3. 請求項2に記載の複数のレベルa〜fを備えた半導体デバイス構造。
  4. 前記固体の永久低k誘電物質は、多孔性固体永久低k誘電物質から成る、請求項3に記載の構造。
  5. 前記固体永久低k誘電物質は、ガス透過性固体永久低k誘電物質から成る、請求項3に記載の構造。
  6. 前記多孔性固体永久低k誘電物質は、多孔性SiLK、多孔性SiCOH、および多孔性MSSQから成る群から選択される、請求項4に記載の構造。
  7. 前記ガス透過性固体永久低k誘電物質は、SiLK、SiCOH、MSSQ、およびJSRから成る群から選択される、請求項5に記載の構造。
  8. 空気誘電体レベルおよびバイア・レベルの合計数の小数の間に、強化物も備える、請求項3に記載の構造。
  9. 前記バイア・レベルと前記ライン・レベルとの間に、ガス不透過性エッチ・ストップ層も備える、請求項1に記載の構造。
  10. 前記ハード・マスク層は、SiO2、SiN、SiC、SiCH、およびSiNCHから成る群から選択される、請求項3に記載の構造。
  11. 前記ハード・マスク層は二重層であり、前記二重層の各層は、SiO2、SiN、SiC、SiCH、およびSiNCHから成る群から選択される、請求項10に記載の構造。
  12. 前記キャップは、CoWP、Ta、W、TaN、Ru、およびそれらのいずれかの組み合わせから成る群から選択される、請求項3に記載の構造。
  13. 半導体デバイス構造のための初期サブセットであって、FEOL半導体基板上に、
    a.前記基板の上のガス不透過性エッチ・ストップ・レベルと、
    b.前記FEOL基板と電気的に連通し、前記エッチ・ストップの上の永久空気誘電物質から成る、第1の銅ライン・レベルと、
    c.前記第1の銅ライン・レベルの上にあって、これと電気的に連通し、第1の永久多孔性超低誘電物質から成る、第1の銅バイア・レベルと、
    d.前記第1のバイア・レベルと電気的に連通し、プロセス加熱のもとで分解および除去される犠牲物質から成る、第2の銅ライン・レベルと、
    e.前記第2のライン・レベルの上に、プロセス加熱のもとで多孔が形成される永久超低誘電物質の層と、
    を備える、初期サブセット。
  14. 前記プロセス加熱のもとで多孔が形成される永久超低誘電物質は、多孔性SiLK、多孔性SiCOH、および多孔性MSSQから成る群から選択される、請求項13に記載の構造。
  15. 前記プロセス加熱のもとで分解および除去される犠牲物質は、ポリスチレン、ポリメチル・メタクリレート、ポリノルボルネン、およびポリプロピレン・グリコールから成る群から選択される、請求項13に記載の構造。
  16. 前記プロセス加熱のもとで分解および除去される犠牲物質は、ポリスチレン、ポリメチル・メタクリレート、ポリノルボルネン、およびポリプロピレン・グリコールから成る群から選択される、請求項1に記載の構造。
  17. ライン・レベルとバイア・レベルとの間にハード・マスク層も備える、請求項13に記載の構造。
  18. 前記ハード・マスク層は、SiO2、SiN、SiC、SiCH、およびSiNCHから成る群から選択される、請求項17に記載の構造。
  19. FEOL半導体基板の上に半導体デバイス構造を形成するためのプロセスであって、
    a.前記基板の上の永久超低k誘電物質の第1の層であって、第1のバイア・レベルが形成される層を堆積するステップと、
    b.前記永久誘電物質の第1の層の上に、犠牲物質の第1の層であって、第1のライン・レベルが形成される層を堆積するステップと、
    c.デュアル・ダマシン処理によって第1の銅バイアおよびラインのレベルを製造し、第1のハード・マスクを平坦化して前記銅ラインの表面を露出させる、ステップと、
    d.前記露出した銅ライン上に薄い保護キャップを選択的に堆積するステップと、
    e.前記配線レベルの上に永久誘電体のブランケットを適用するステップと、
    f.前記犠牲物質を分解し除去するのに充分なレベルまで充分な時間で温度を徐々に上昇させることによって、不活性雰囲気において真空のもとで前記構造をアニーリングする、ステップと、
    を備える、プロセス。
  20. 前記第1の永久超低k誘電物質と前記第1の犠牲層との間に第1のガス不透過性エッチ・ストップ層を適用するステップを含む、請求項19に記載のプロセス。
  21. 前記第1のガス不透過性エッチ・ストップを適用するステップは、SiO2、SiN、SiC、SiCH、およびSiNCHから成る群から選択された第1のガス不透過性エッチ・ストップを適用するステップを備える、請求項20に記載のプロセス。
  22. 前記永久超低k誘電物質の第1の層は、SiLKおよび多孔性SiLK、JSR、MSSQ、および多孔性MSSQから成る群から選択される、請求項19に記載のプロセス。
  23. 前記犠牲物質の第1の層は、ポリスチレン、ポリメチル・メタクリレート、ポリノルボルネン、およびポリプロピレン・グリコールから成る群から選択される、請求項19に記載のプロセス。
  24. 前記第1のガス透過性ハード・マスクは、HOSPおよびHOSP Best、JSR5140、JSR2021、SiCOH、ポリカーボネート、およびそれらのいずれかの組み合わせから成る群から選択される、請求項19に記載のプロセス。
  25. 前記キャップは、CoWP、Ta、W、TaN、Ru、およびそれらのいずれかの組み合わせから成る群から選択される、請求項19に記載のプロセス。
  26. 所望のレベル数に達するまで、基板としてのステップgにおける前記アニーリングした構造の上に前記a〜gのステップを繰り返す、請求項19に記載のプロセス。
  27. 半導体デバイス構造のための初期サブセットの製造であって、FEOL半導体基板の上に、
    a.前記基板の上にガス不透過性エッチ・ストップ・レベルを設けるステップと、
    b.前記エッチ・ストップの上に第1の犠牲誘電物質、および、前記第1の犠牲誘電体の上に第1の透過性CMPハード・マスクを堆積するステップと、
    c.前記ハード・マスクを介して開口を製造し、そこに、前記FEOL基板と電気的に連通する第1の銅ライン・レベルを堆積するステップと、
    d.平坦化を行って、前記銅ラインを露出させ、それらを前記第1のハード・マスクと同じ高さにし、その上に、処理温度で多孔を生じることができる第1の永久、固体、部分的に硬化した超低k誘電物質を適用するステップと、
    e.前記犠牲物質を分解し前記第1のライン・レベルから除去するために充分なレベルまで充分な時間で温度を徐々に上昇させ、一方で、前記永久超低k誘電物質において最終的に硬化を行い多孔を生成することによって、不活性雰囲気において、真空のもとで、前記構造に第1のアニーリングを行うステップと、
    f.前記第1の永久超低k誘電物質の上に犠牲物質の第2の層を適用し、その上に第2の透過性ハード・マスクを適用するステップと、
    g.デュアル・ダマシン処理によって前記第2のハード・マスク上に第1の銅バイアおよび第2の銅ライン・レベルを製造し、平坦化を行って、前記銅ラインの表面を露出させ、それらを前記第2の透過性ハード・マスクと同じ高さにするステップと、
    h.前記第2の透過性ハード・マスク上に、処理温度で多孔を生じることができる第2の永久、固体、部分的に硬化した超低k誘電物質を適用するステップと、
    i.前記犠牲物質を分解し前記第2のライン・レベルから除去するために充分なレベルまで充分な時間で温度を徐々に上昇させ、一方で、前記第2の永久超低k誘電物質において最終的に硬化を行い多孔を生成することによって、不活性雰囲気において、真空のもとで、前記構造に第2のアニーリングを行うステップと、
    を備える、製造。
  28. ステップg〜iを必要に応じて繰り返す、請求項27に記載のプロセス。
  29. 前記処理温度で多孔を生じることができる永久、固体、部分的に硬化した超低k誘電物質を適用するステップは、SiLK、MSSQ、およびSiCOHから成る群から選択された物質を適用するステップを備える、請求項28に記載のプロセス。
JP2004353505A 2003-12-08 2004-12-07 ライン・レベル・エア・ギャップ Expired - Fee Related JP4817649B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/731377 2003-12-08
US10/731,377 US7084479B2 (en) 2003-12-08 2003-12-08 Line level air gaps

Publications (2)

Publication Number Publication Date
JP2005175479A true JP2005175479A (ja) 2005-06-30
JP4817649B2 JP4817649B2 (ja) 2011-11-16

Family

ID=34652747

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004353505A Expired - Fee Related JP4817649B2 (ja) 2003-12-08 2004-12-07 ライン・レベル・エア・ギャップ

Country Status (3)

Country Link
US (2) US7084479B2 (ja)
JP (1) JP4817649B2 (ja)
CN (1) CN100416820C (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007027734A (ja) * 2005-07-12 2007-02-01 Stmicroelectronics (Crolles 2) Sas 相互接続エアキャビティの集積化制御および信頼性向上

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7629225B2 (en) * 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
EP1744359A1 (en) * 2005-07-12 2007-01-17 ST Microelectronics Crolles 2 SAS Interconnect structure having cavities in its dielectric portion
JP4197694B2 (ja) * 2005-08-10 2008-12-17 株式会社東芝 半導体装置およびその製造方法
US20070099433A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Gas dielectric structure formation using radiation
FR2910706B1 (fr) * 2006-12-21 2009-03-20 Commissariat Energie Atomique Element d'interconnexion a base de nanotubes de carbone
KR100843233B1 (ko) * 2007-01-25 2008-07-03 삼성전자주식회사 배선층의 양측벽에 인접하여 에어갭을 갖는 반도체 소자 및그 제조방법
US7871922B2 (en) * 2007-04-10 2011-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures that include forming air gaps between conductive structures
US7858513B2 (en) * 2007-06-18 2010-12-28 Organicid, Inc. Fabrication of self-aligned via holes in polymer thin films
CN101609809B (zh) * 2008-06-16 2010-12-15 台湾信越矽利光股份有限公司 形成孔洞性材料的方法
WO2010016958A1 (en) * 2008-08-07 2010-02-11 International Business Machines Corporation Interconnect structure with metal cap self-aligned to a surface of an embedded conductive material
US8237191B2 (en) * 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
CN102437101B (zh) * 2011-09-09 2015-06-24 上海华力微电子有限公司 一种改进的硬质掩膜与多孔低介电常数值材料的集成方法
US8927413B2 (en) * 2012-11-12 2015-01-06 Taiwan Semiconductor Manufacturing, Ltd. Semiconductor structure and semiconductor fabricating process for the same
KR102380774B1 (ko) 2014-11-14 2022-04-04 삼성전자주식회사 슬러리 화합물 이를 이용한 반도체 소자의 제조 방법
US9837355B2 (en) 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
KR102539779B1 (ko) * 2016-08-25 2023-06-07 소니 세미컨덕터 솔루션즈 가부시키가이샤 반도체 장치, 촬상 장치, 및 반도체 장치의 제조 방법
US10679934B2 (en) 2017-12-01 2020-06-09 International Business Machines Corporation Capacitance reduction in sea of lines BEOL metallization
KR102634459B1 (ko) 2018-12-24 2024-02-05 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10748812B1 (en) 2019-02-26 2020-08-18 International Business Machines Corporation Air-gap containing metal interconnects
KR20210049604A (ko) 2019-10-25 2021-05-06 삼성전자주식회사 집적회로 소자 및 이의 제조 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09237831A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置及びその製造方法
JP2001291700A (ja) * 2000-01-31 2001-10-19 Matsushita Electric Ind Co Ltd エッチング方法及びエッチング装置
JP2002110785A (ja) * 2000-09-27 2002-04-12 Sony Corp 半導体装置の製造方法
JP2002118111A (ja) * 2000-10-12 2002-04-19 Sony Corp 半導体装置およびその製造方法
JP2002217289A (ja) * 2001-01-19 2002-08-02 Toshiba Corp 半導体装置及びその製造方法
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
JP2003163264A (ja) * 2001-09-28 2003-06-06 Sharp Corp エアギャップの銅のインタコネクト
JP2003347401A (ja) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp 多層配線構造を有する半導体装置およびその製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4465741A (en) * 1980-07-31 1984-08-14 Sumitomo Chemical Company, Limited Fiber-reinforced metal composite material
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP2910489B2 (ja) * 1993-03-22 1999-06-23 日本電気株式会社 縦型二重拡散mosfet
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US6492705B1 (en) 1996-06-04 2002-12-10 Intersil Corporation Integrated circuit air bridge structures and methods of fabricating same
US5891805A (en) * 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US5950102A (en) * 1997-02-03 1999-09-07 Industrial Technology Research Institute Method for fabricating air-insulated multilevel metal interconnections for integrated circuits
US6577011B1 (en) 1997-07-10 2003-06-10 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6492732B2 (en) 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US6350672B1 (en) 1997-07-28 2002-02-26 United Microelectronics Corp. Interconnect structure with gas dielectric compatible with unlanded vias
JPH11150185A (ja) 1997-11-14 1999-06-02 Nippon Steel Corp 半導体装置及びその製造方法
US6297125B1 (en) 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6268276B1 (en) 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
US6380347B1 (en) 1999-04-09 2002-04-30 Honeywell International Inc. Nanoporous polymers comprising macrocycles
US6413882B1 (en) 1999-04-14 2002-07-02 Alliedsignal Inc. Low dielectric foam dielectric formed from polymer decomposition
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6251798B1 (en) 1999-07-26 2001-06-26 Chartered Semiconductor Manufacturing Company Formation of air gap structures for inter-metal dielectric application
US6448629B2 (en) * 1999-07-29 2002-09-10 International Business Machines Corporation Semiconductor device and method of making same
US6596624B1 (en) 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
US6413854B1 (en) 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US6211057B1 (en) 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6346484B1 (en) 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
US7442756B2 (en) * 2002-06-20 2008-10-28 Infineon Technologies Ag Polymer for sealing porous materials during chip production
US6861332B2 (en) * 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09237831A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置及びその製造方法
JP2001291700A (ja) * 2000-01-31 2001-10-19 Matsushita Electric Ind Co Ltd エッチング方法及びエッチング装置
JP2002110785A (ja) * 2000-09-27 2002-04-12 Sony Corp 半導体装置の製造方法
JP2002118111A (ja) * 2000-10-12 2002-04-19 Sony Corp 半導体装置およびその製造方法
JP2002217289A (ja) * 2001-01-19 2002-08-02 Toshiba Corp 半導体装置及びその製造方法
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
JP2003163264A (ja) * 2001-09-28 2003-06-06 Sharp Corp エアギャップの銅のインタコネクト
JP2003347401A (ja) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp 多層配線構造を有する半導体装置およびその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007027734A (ja) * 2005-07-12 2007-02-01 Stmicroelectronics (Crolles 2) Sas 相互接続エアキャビティの集積化制御および信頼性向上

Also Published As

Publication number Publication date
JP4817649B2 (ja) 2011-11-16
US20060264036A1 (en) 2006-11-23
US20050127514A1 (en) 2005-06-16
US7084479B2 (en) 2006-08-01
CN100416820C (zh) 2008-09-03
CN1630077A (zh) 2005-06-22

Similar Documents

Publication Publication Date Title
JP4817649B2 (ja) ライン・レベル・エア・ギャップ
US6939797B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US7094669B2 (en) Structure and method of liner air gap formation
US7482265B2 (en) UV curing of low-k porous dielectrics
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
US7534696B2 (en) Multilayer interconnect structure containing air gaps and method for making
TWI291742B (en) Reliability improvement of SiOC etch stop with trimethylsilane gas passivation in Cu damascene interconnects
CN100530591C (zh) 形成互连结构的方法
US7175970B2 (en) Mechanically robust interconnect for low-k dielectric material using post treatment
US20060121721A1 (en) Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
CN101138085A (zh) 具有原位嵌入的纳米层以改善机械性能的低k电介质CVD膜形成工艺
IL162435A (en) Bilayer hdp cvd/pe cvd cap in advanced beol interconnect structure and method thereof
US20050064701A1 (en) Formation of low resistance via contacts in interconnect structures
JP2009532866A (ja) 機械的特性が改善された多孔性低k層を有するダマシン相互接続
US20100301495A1 (en) Semiconductor device and method for manufacturing same
JP5671220B2 (ja) 半導体装置の製造方法
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
US9941151B2 (en) Method for producing an integrated circuit including a metallization layer comprising low K dielectric material
JP4223012B2 (ja) 絶縁膜の形成方法、多層構造の形成方法および半導体装置の製造方法
JP2005223195A (ja) 層間絶縁膜の形成方法および半導体装置の製造方法
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080226

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080526

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080722

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080922

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081031

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20081121

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110715

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110830

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees