US20060264036A1 - Line level air gaps - Google Patents

Line level air gaps Download PDF

Info

Publication number
US20060264036A1
US20060264036A1 US11/491,816 US49181606A US2006264036A1 US 20060264036 A1 US20060264036 A1 US 20060264036A1 US 49181606 A US49181606 A US 49181606A US 2006264036 A1 US2006264036 A1 US 2006264036A1
Authority
US
United States
Prior art keywords
permanent
dielectric
layer
level
atop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/491,816
Inventor
Shyng-Tsong Chen
Stefanie Chiras
Matthew Colburn
Tomothy Dalton
Jeffrey Hedrick
Elbert Huang
Kaushik Kumar
Michael Lane
Kelly Malone
Chandrasekhar Narayan
Satyanarayana Nitta
Sampath Purushothaman
Robert Rosenberg
Christy Tyberg
Roy Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/491,816 priority Critical patent/US20060264036A1/en
Publication of US20060264036A1 publication Critical patent/US20060264036A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to high density multilevel microelectronic integrated circuit (IC) structures.
  • the present invention relates to the reduction of dielectric constant between conductive lines in each line level by providing air dielectric.
  • a porous permanent dielectric in via levels is provided in order to optimize further the performance of the structure in a functioning device.
  • the typical IC is fabricated on a semiconductor wafer substrate.
  • other potential semiconductor substrates are gallium arsenide, silicon-on-sapphire, silicon germanium, silicon-on-insulator and diamond, etc. as set forth in U.S. Pat. No. 6,251,798 B1 to Soo et al.
  • On and/or within the substrate may be included such features as transistors, bipolar devices and diodes.
  • Above the substrate is fabricated an IC structure comprising electrically interconnected alternating layers of vias and layers of parallel wiring lines within an insulating dielectric medium.
  • Air has been incorporated by various means into a dielectric matrix in the form of hollow beads, bubbles, holes or porosities. Air has also been produced in wiring levels by removing, at least partially, a solid dielectric material using an etchant.
  • the temporary dielectric material described is the spin-on glass (SOG) hydrogen silsequioxane (HSQ), which is removed by HF after protection of the aluminum wiring lines. Air gaps may also be incorporated as a permanent dielectric only in particular areas of an IC structure, such as shown in U.S. Pat. No. 6,316,347 B1 and B2 to Chang et al.
  • air dielectric is intended to encompass vacuum, air, low-K inert gasses, forming gas and any mixtures thereof that can harmlessly replace a solid, temporary material to function as permanent dielectric.
  • the present invention provides a process and structure which results in a mechanically stable IC in a way that avoids processing problems experienced in the art. This result is accomplished by having air dielectric at line levels where the metallization is dense and a low-K dielectric environment is most required, in combination with low-K gas-permeable solid or porous permanent dielectric material at via levels to provide mechanical stability.
  • the present invention does not include removal of sacrificial material by oxygen ashing or oxygen plasma etch or a reactive ambient lest the copper wiring lines be adversely affected.
  • the present invention is directly compatible with the dual damascene process for the fabrication of copper wiring lines which is currently the state of the art.
  • the process of fabricating the structure of the invention includes the following exemplary steps, which take place on a semiconductor substrate on or in which there may be protected devices:
  • a permanent dielectric is deposited by means known in the art.
  • a non-permeable etch stop is deposited on the surface of the solid permanent dielectric.
  • a sacrificial material is deposited on the surface of the etch stop.
  • a gas permeable single or dual level hard mask is deposited on the surface of the sacrificial material.
  • CMP chemical metal polishing
  • the via level permanent dielectric is applied on the wiring level which is selectively capped, heat is applied.
  • the heat is applied either in vacuum atmosphere or in another controlled unreactive atmosphere at a temperature and for a time which concurrently decomposes and diffuses the sacrificial material out through the gas permeable via level dielectric, completes any necessary hard mask cure and via level permanent dielectric cure, and removes the bi-products of the heat process.
  • a non-gas permeable etch stop is deposited on the via level and cured in order to protect the via and metallization levels from any contamination that might be generated in the sequential processing of additional layers.
  • the process is repeated to fabricate additional via and line levels, as required.
  • the IC of the present invention will provide Back End of Line (BEOL) interconnects with the lowest possible effective K in the line level where it has the biggest impact on RC delay, balanced with the most robust mechanical stability.
  • BEOL Back End of Line
  • FIGS. 1A-1Q indicate the progression of steps taken to fabricate an example of the multilevel IC structure of the present invention.
  • FIGS. 1A-1H show in cross-section the sequential process flow in fabricating on a semiconductor substrate (not shown) an initial stage of the IC of the present invention.
  • FIG. 1E is an initial subset, analogous to FIG. 2A as described below.
  • FIGS. 1I-1N show in cross-section the result of a single repetition of the steps shown in FIGS. 1A-1H , beginning at the top of etch stop 10 in FIG. 1H ,.
  • FIGS. 1O-1Q show in cross-section, abbreviated, the result of an additional repetition of the steps shown in FIGS. 1A-1H , beginning at the top of etch stop 10 ′ in FIG. 1N .
  • FIGS. 2A and 2B provide an example of an intermediate structure of the present invention in which the via level incorporates a permanent low-K material which becomes filled with porosities when cured.
  • FIG. 2A is an initial subset of the final structure, in that the structure and processes above the substrate are repeated as needed.
  • FIG. 1A shows the initial fabrication steps on the top surface of a semiconductor substrate (not shown) of an IC structure of the present invention.
  • the first layer of a solid permanent ultra-low-K dielectric material 1 has been deposited on the substrate for patterning of the first via level.
  • porous and substantially non-porous gas permeable materials used to function as the solid permanent low-K dielectric at via levels include: porous SiLK and SiLK, a polymer product of Dow Chemical Company, which is applied as a spin-coated oligomeric solution and cured at about 400° C.-450° C.; porous SiCOH and SiCOH, a glassy spin-on material such as JSR, a product of JSR Micro; and methyl silsesquioxane (MSSQ).
  • a first gas impermeable etch stop layer 2 has been deposited on the layer of permanent dielectric material 1 by means known in the art, such as spin-on, chemical vapor deposition (CVD) and the like.
  • etch stop examples include: SiO 2 ; SiN; SiC; SiCH; and SiNCH. This etch stop layer may or may not be necessary, depending on whether impermeabily or permeability is appropriate to the materials used in a particular situation.
  • the permanent dielectric material selected should not be decomposable at a temperature near or below the temperature at which the sacrificial dielectric material is decomposed, unless air dielectric is desired for both via levels and line levels. However, if the permanent dielectric material is of a type that forms or maintains porosities while the sacrificial dielectric material is removed, the K value can be further reduced.
  • the first layer of sacrificial material 3 has been deposited on etch stop layer 2 by means known in the art for the material selected. It is coated with a single or double-layer hard mask 4 as shown in FIG. 1C .
  • the sacrificial material may be, but need not be, a dielectric; it need be a material that will cleanly decompose within a time and temperature range, and in an atmosphere, which will not adversely affect the function of other structural components.
  • An acceptable decomposition temperature would be at or about 350° C.-450° C.
  • suitable materials to function as a sacrificial layer include: polystyrenes; polymethyl methacrylates; polynorbornenes; and polypropylene glycols.
  • Cross linking of the organics by UV or electron beam exposure has the benefit of rendering those temporary dielectric layers insoluble to organic solvents used during processing.
  • Polynorbornene is available as a product named Unity.
  • suitable materials to function as a permeable hard mask include: HoSP and HoSP Best, products of Honeywell Electronic Materials; JSR 5140, JSR 2021, products of JSR Micro; SiCOH, polycarbonate or combinations of any of these materials, optionally arranged as a bi-layer.
  • a sacrificial dielectric material can be used as a CMP hard mask at line level if the material has a very low CMP removal rate.
  • dual Damascene processing is performed to provide conductive via 5 within permanent dielectric 1 and wiring 6 within sacrificial layer 3 as shown in FIG. 1D
  • CMP chemical metal polishing
  • protective cap 7 shown in FIG. 1E
  • exposed wiring 6 which is preferably copper.
  • dual Damascene processing is known in the art.
  • copper wiring 6 can undergo a recess process, blanket deposition of cap 7 material, and CMP planarization.
  • suitable materials to function as a cap include: CoWP, Ta, W, TaN, Ru, and any combinations thereof, such as alloys or bilayers.
  • a blanket layer of permanent dielectric material 8 is then deposited on the wiring level as shown in FIG. 1F , and the entire structure undergoes anneal in a furnace having controlled, inert or vacuum atmosphere.
  • the increase in temperature is slowly ramped to about 350° C.-450° C. for a time sufficient to complete removal of the sacrificial dielectric and its decomposition by-products.
  • the end point may be monitored using a mass spectrometer.
  • the effect of anneal is shown in FIG. 1G , wherein the sacrificial material 3 has decomposed, leaving air dielectric 9 in its place.
  • the decomposition byproducts are gassified and diffuse through permeable hard mask 4 and dielectric 8 and are removed by vacuum.
  • Etch stop 2 prevents diffusion of decomposition byproducts from entering metallization levels below etch stop 2 .
  • a layer of etch stop 10 shown in FIG. 1H , is then deposited to seal the layers below and to serve as the base, as did etch stop 2 in FIG. 1A , for sequentially fabricating additional robust multilevel structure as shown in FIGS. 1I-1N , repeating the fabrication sequence as many times as necessary to obtain the structure desired, as represented further in abbreviated FIGS. 1O-1Q , fabricated on top of etch stop 10 ′ fabricated as shown in FIG. 1N .
  • 3′ represents the sacrificial layer fabricated as shown in FIG. 1I
  • 4 ′ represents the hard mask fabricated as shown in FIG. 1J
  • 8 ′ represents the dielectric fabricated as shown in FIG. 1 l
  • 10 ′′ represents the etch stop fabricated as shown in FIG. 1Q .
  • FIGS. 2A and 2B permanent ultra-low-k dielectric material 8 and 8 ′ is shown. As deposited, the material shown is substantially without porosities. During heating and removal of the sacrificial dielectric, the permanent dielctric is finally cured, revealing a highly porous structure. The IC structure in progress is shown being fabricated on an FEOL substrate.
  • a CMP hard mask not shown in the drawings, is optionally present as a single layer or bi-layer between a sacrificial material level (a.k.a. line level) and a permanent dielectric level.
  • low-k permanent dielectric 8 ′ and sacrificial material 3 ′ a second such process removes sacrificial material 3 ′, leaving air level 9 ′ and adding porosities throughout via level 8 ′.
  • the process can be repeated many times, as necessary.
  • Combining the via level porous permanent solid dielectric with the gasseous permanent line level dielectric further lowers the effective K of the IC structure and provides a BEOL interconnect structure with a minimal effective dielectric constant.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

In a multilevel microelectronic integrated circuit, air comprises permanent line level dielectric and ultra low-K materials are via level dielectric. The air is supplied to line level subsequent to removal of sacrificial material by clean thermal decomposition and assisted diffusion of byproducts through porosities in the IC structure. Optionally, air is also included within porosities in the via level dielectric. By incorporating air to the extent produced in the invention, intralevel and interlevel dielectric values are minimized.

Description

    RELATED APPLICATIONS
  • This application is a divisional of U.S. application Ser. No. 10/731,377, filed Dec. 8, 2003.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to high density multilevel microelectronic integrated circuit (IC) structures. In particular, the present invention relates to the reduction of dielectric constant between conductive lines in each line level by providing air dielectric. A porous permanent dielectric in via levels is provided in order to optimize further the performance of the structure in a functioning device.
  • An aggressive drive continues toward increasing the density of features in the IC structure and toward decreasing the size of individual features. At present, feature dimensions can be fabricated to be as small as about 0.5 microns or less, and may be separated by less than 5000 Angstroms. As the drive continues, materials and processes by which the IC structure is composed must be reexamined in order to deal with problems associated with increasing proximity. A major problem with increased density is the increased intralevel interaction in capacitive voltage coupling and cross-talk between conductive lines, the largest component of which is between adjacent conductive lines in a given line level. As a result of this increased interaction, the IC is at great risk for failure at line level, in the form of unacceptably slow signal propagation, i.e. Resistance-Capacitance (R-C) delay and increased energy consumption. Interlevel interaction, while a lesser component of delay, is advisedly reduced also in order for the effective dielectric constant of the IC structure to be minimized.
  • The typical IC is fabricated on a semiconductor wafer substrate. Besides single crystal silicon, other potential semiconductor substrates are gallium arsenide, silicon-on-sapphire, silicon germanium, silicon-on-insulator and diamond, etc. as set forth in U.S. Pat. No. 6,251,798 B1 to Soo et al. On and/or within the substrate may be included such features as transistors, bipolar devices and diodes. Above the substrate is fabricated an IC structure comprising electrically interconnected alternating layers of vias and layers of parallel wiring lines within an insulating dielectric medium.
  • There is substantial prior and current art which is focussed on providing the material and means of reducing the dielectric constant (K) of the insulating medium from below the approximate value 3.9 of silicon dioxide to a value which is as close as possible to the ideal value of vacuum, 1.0000, or air, 1.0002. Various low dielectric materials including fluorinated silicon dioxide, various polymers, spin-on glasses and xerogels have been explored in the current art, as for example described in U.S. Pat. No. 6,297,125 B1 to Nag et al. and in U.S. Pat. No. 6,211,057 B1 to Lin. While these materials all have low K, the value is not as low as the ideal value of air and, as described in the Nag et al. patent, each may come with its own alternate limitations when left as the wiring level dielectric.
  • In efforts to reduce K, air has been incorporated by various means into a dielectric matrix in the form of hollow beads, bubbles, holes or porosities. Air has also been produced in wiring levels by removing, at least partially, a solid dielectric material using an etchant. As described in the Nag et al. patent, for one example, the temporary dielectric material described is the spin-on glass (SOG) hydrogen silsequioxane (HSQ), which is removed by HF after protection of the aluminum wiring lines. Air gaps may also be incorporated as a permanent dielectric only in particular areas of an IC structure, such as shown in U.S. Pat. No. 6,316,347 B1 and B2 to Chang et al.
  • Some additional art directed to air dielectric is reviewed in U.S. Pat. No. 6,596,624 B1 to Romankiw, which is assigned to the same assignee as is the present invention. The Romankiw patent describes also the provision of strategically placed nonconductive vias, including at the periphery of the IC structure, and simultaneous removal of dielectric from some or all levels after joining. Another processing scheme in the art includes Etch-back Gap Fill (EBGF), U.S. Pat. No. 6,346,484.
  • If air gaps are to be created by only partial removal of the solid dielectric, it remains important to incorporate a low K material into the structure, especially in the wiring levels. If removal is to be complete in a level, the sacrificial material in that level need not be a dielectric. No matter how extensive the replacement of sacrificial material will be, it must be removable by a process that will not contaminate, overheat, chemically attack, mechanically distort or otherwise compromise the integrity of the structure that will remain after processing. It would be desirable to employ means that will remove the sacrificial material cleanly and thoroughly in as environmentally friendly a way as possible, in a series of reliable, efficient manufacturable process steps, compatible with microelectronics processing, to produce a robust ultra-low-K IC structure.
  • Several patents describe carbon as the sacrificial material and oxygen plasma or oxygen ashing as the atmosphere in which CO2 is formed and then diffused away. Some examples are U.S. Pat. No. 6,492,256 B2 and U.S. Pat. No. 6,492,732 B2, both to Lee et al. In the Lee patents is described a dielectric liner to protect the wiring from the oxygen ashing or plasma etch. The presence of a liner on the wiring, however, risks raising the effective K. In U.S. Pat. No. 6,350,672 B1 to Sun there is no liner described. Some wiring, however, such as copper, would be attacked by oxygen ash or oxygen plasma etch.
  • SUMMARY OF THE INVENTION
  • In describing the structure and process of the present invention, the term “air dielectric” is intended to encompass vacuum, air, low-K inert gasses, forming gas and any mixtures thereof that can harmlessly replace a solid, temporary material to function as permanent dielectric.
  • The present invention provides a process and structure which results in a mechanically stable IC in a way that avoids processing problems experienced in the art. This result is accomplished by having air dielectric at line levels where the metallization is dense and a low-K dielectric environment is most required, in combination with low-K gas-permeable solid or porous permanent dielectric material at via levels to provide mechanical stability. The present invention does not include removal of sacrificial material by oxygen ashing or oxygen plasma etch or a reactive ambient lest the copper wiring lines be adversely affected. The present invention is directly compatible with the dual damascene process for the fabrication of copper wiring lines which is currently the state of the art.
  • The process of fabricating the structure of the invention includes the following exemplary steps, which take place on a semiconductor substrate on or in which there may be protected devices:
  • A permanent dielectric is deposited by means known in the art. A non-permeable etch stop is deposited on the surface of the solid permanent dielectric. A sacrificial material is deposited on the surface of the etch stop. A gas permeable single or dual level hard mask is deposited on the surface of the sacrificial material. After dual damascene processing and chemical metal polishing (CMP) to provide a conductive wiring level, which may be connected by via to any device below on the semiconductor level, a thin protective cap is selectively deposited on the conductive wiring.
  • After the via level permanent dielectric is applied on the wiring level which is selectively capped, heat is applied. The heat is applied either in vacuum atmosphere or in another controlled unreactive atmosphere at a temperature and for a time which concurrently decomposes and diffuses the sacrificial material out through the gas permeable via level dielectric, completes any necessary hard mask cure and via level permanent dielectric cure, and removes the bi-products of the heat process.
  • After heat treatment, a non-gas permeable etch stop is deposited on the via level and cured in order to protect the via and metallization levels from any contamination that might be generated in the sequential processing of additional layers. The process is repeated to fabricate additional via and line levels, as required. The IC of the present invention will provide Back End of Line (BEOL) interconnects with the lowest possible effective K in the line level where it has the biggest impact on RC delay, balanced with the most robust mechanical stability.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1Q indicate the progression of steps taken to fabricate an example of the multilevel IC structure of the present invention.
  • FIGS. 1A-1H show in cross-section the sequential process flow in fabricating on a semiconductor substrate (not shown) an initial stage of the IC of the present invention. FIG. 1E is an initial subset, analogous to FIG. 2A as described below.
  • FIGS. 1I-1N show in cross-section the result of a single repetition of the steps shown in FIGS. 1A-1H, beginning at the top of etch stop 10 in FIG. 1H,.
  • FIGS. 1O-1Q show in cross-section, abbreviated, the result of an additional repetition of the steps shown in FIGS. 1A-1H, beginning at the top of etch stop 10′ in FIG. 1N.
  • FIGS. 2A and 2B provide an example of an intermediate structure of the present invention in which the via level incorporates a permanent low-K material which becomes filled with porosities when cured. FIG. 2A is an initial subset of the final structure, in that the structure and processes above the substrate are repeated as needed.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIG. 1A shows the initial fabrication steps on the top surface of a semiconductor substrate (not shown) of an IC structure of the present invention. The first layer of a solid permanent ultra-low-K dielectric material 1 has been deposited on the substrate for patterning of the first via level. Examples of suitable porous and substantially non-porous gas permeable materials used to function as the solid permanent low-K dielectric at via levels include: porous SiLK and SiLK, a polymer product of Dow Chemical Company, which is applied as a spin-coated oligomeric solution and cured at about 400° C.-450° C.; porous SiCOH and SiCOH, a glassy spin-on material such as JSR, a product of JSR Micro; and methyl silsesquioxane (MSSQ). A first gas impermeable etch stop layer 2 has been deposited on the layer of permanent dielectric material 1 by means known in the art, such as spin-on, chemical vapor deposition (CVD) and the like. Examples of suitable materials used to function as the etch stop include: SiO2; SiN; SiC; SiCH; and SiNCH. This etch stop layer may or may not be necessary, depending on whether impermeabily or permeability is appropriate to the materials used in a particular situation.
  • It should be noted that the permanent dielectric material selected should not be decomposable at a temperature near or below the temperature at which the sacrificial dielectric material is decomposed, unless air dielectric is desired for both via levels and line levels. However, if the permanent dielectric material is of a type that forms or maintains porosities while the sacrificial dielectric material is removed, the K value can be further reduced.
  • In FIG. 1B the first layer of sacrificial material 3 has been deposited on etch stop layer 2 by means known in the art for the material selected. It is coated with a single or double-layer hard mask 4 as shown in FIG. 1C. The sacrificial material may be, but need not be, a dielectric; it need be a material that will cleanly decompose within a time and temperature range, and in an atmosphere, which will not adversely affect the function of other structural components. An acceptable decomposition temperature would be at or about 350° C.-450° C. Examples of suitable materials to function as a sacrificial layer include: polystyrenes; polymethyl methacrylates; polynorbornenes; and polypropylene glycols. Cross linking of the organics by UV or electron beam exposure has the benefit of rendering those temporary dielectric layers insoluble to organic solvents used during processing. Polynorbornene is available as a product named Unity. Examples of suitable materials to function as a permeable hard mask include: HoSP and HoSP Best, products of Honeywell Electronic Materials; JSR 5140, JSR 2021, products of JSR Micro; SiCOH, polycarbonate or combinations of any of these materials, optionally arranged as a bi-layer. A sacrificial dielectric material can be used as a CMP hard mask at line level if the material has a very low CMP removal rate.
  • After dual Damascene processing is performed to provide conductive via 5 within permanent dielectric 1 and wiring 6 within sacrificial layer 3 as shown in FIG. 1D, and after chemical metal polishing (CMP) is performed to planarize and to expose the top surface of wiring 6, protective cap 7, shown in FIG. 1E, is selectively deposited on exposed wiring 6, which is preferably copper. Dual Damascene processing is known in the art. Alternatively, copper wiring 6 can undergo a recess process, blanket deposition of cap 7 material, and CMP planarization. Examples of suitable materials to function as a cap include: CoWP, Ta, W, TaN, Ru, and any combinations thereof, such as alloys or bilayers.
  • A blanket layer of permanent dielectric material 8 is then deposited on the wiring level as shown in FIG. 1F, and the entire structure undergoes anneal in a furnace having controlled, inert or vacuum atmosphere. The increase in temperature is slowly ramped to about 350° C.-450° C. for a time sufficient to complete removal of the sacrificial dielectric and its decomposition by-products. The end point may be monitored using a mass spectrometer. The effect of anneal is shown in FIG. 1G, wherein the sacrificial material 3 has decomposed, leaving air dielectric 9 in its place. The decomposition byproducts are gassified and diffuse through permeable hard mask 4 and dielectric 8 and are removed by vacuum. Etch stop 2 prevents diffusion of decomposition byproducts from entering metallization levels below etch stop 2. A layer of etch stop 10, shown in FIG. 1H, is then deposited to seal the layers below and to serve as the base, as did etch stop 2 in FIG. 1A, for sequentially fabricating additional robust multilevel structure as shown in FIGS. 1I-1N, repeating the fabrication sequence as many times as necessary to obtain the structure desired, as represented further in abbreviated FIGS. 1O-1Q, fabricated on top of etch stop 10′ fabricated as shown in FIG. 1N. Note that 3′ represents the sacrificial layer fabricated as shown in FIG. 1I, 4′ represents the hard mask fabricated as shown in FIG. 1J, 8′ represents the dielectric fabricated as shown in FIG. 1 l, and 10″ represents the etch stop fabricated as shown in FIG. 1Q.
  • In FIGS. 2A and 2B permanent ultra-low- k dielectric material 8 and 8′ is shown. As deposited, the material shown is substantially without porosities. During heating and removal of the sacrificial dielectric, the permanent dielctric is finally cured, revealing a highly porous structure. The IC structure in progress is shown being fabricated on an FEOL substrate. A CMP hard mask, not shown in the drawings, is optionally present as a single layer or bi-layer between a sacrificial material level (a.k.a. line level) and a permanent dielectric level.
  • Starting with the process step underway in FIG. 2A, low-k permanent dielectric 8′ and sacrificial material 3′ a second such process removes sacrificial material 3′, leaving air level 9′ and adding porosities throughout via level 8′. The process can be repeated many times, as necessary. Combining the via level porous permanent solid dielectric with the gasseous permanent line level dielectric further lowers the effective K of the IC structure and provides a BEOL interconnect structure with a minimal effective dielectric constant.

Claims (11)

1. A process for fabricating a semiconductor device structure atop an FEOL semiconductor substrate, comprising:
a. depositing a first layer of permanent ultra-low K dielectric material atop the substrate, in which layer will be fabricated the first via level;
b. depositing a first layer of sacrificial material atop the first layer of permanent dielectric material, in which layer will be fabricated the first line level;
c. fabricating the first copper via and line levels by dual damascene processing and planarizing the first hard mask to expose the surface of the copper lines;
d. selectively depositing a thin protective cap on the exposed copper lines;
e. applying a blanket of permanent dielectric atop the wiring level; and
f. annealing the structure under vacuum in an inert atmosphere by gradually increasing the temperature to a level and for a time sufficient to decompose and remove the sacrificial material.
2. The process recited in claim 1, including the step of applying a first gas impermeable etch stop layer between the first permanent ultra-low K dielectric material and the first sacrificial layer.
3. The process recited in claim 2, wherein the step of applying a first gas impermeable etch stop comprises applying a first gas impermeable etch stop selected from the group consisting of SiO2, SiN, SiC, SiCH, and SiNCH.
4. The process recited in claim 1, wherein the first layer of permanent ultra-low K dielectric material is selected from the group consisting of SiLK and porous SiLK, JSR, MSSQ and porous MSSQ.
5. The process recited in claim 1, wherein the first layer of sacrificial material is selected from the group consisting of polystyrenes; polymethyl methacrylates; polynorbornenes; and polypropylene glycols
6. The process recited in claim 1, wherein the first gas permeable hard mask is selected from the group consisting of HOSP and HOSP Best, JSR 5140, JSR 2021, SiCOH, polycarbonates and any combination thereof.
7. The process recited in claim 1, wherein the cap is selected from the group consisting of CoWP, Ta, W, TaN, Ru, and any combination thereof.
8. The process recited in claim 1, wherein the steps of a-g are repeated atop the annealed structure at step g as substrate until attaining the number of levels desired.
9. Fabricating an initial subset for a semiconductor device structure, comprising atop an FEOL semiconductor substrate:
a. providing a gas impermeable etch stop level atop the substrate;
b. depositing a first sacrificial dielectric material atop the etch stop and a first permeable CMP hard mask atop the first sacrificial dielectric;
c. fabricating an opening through the hard mask and depositing therein a first copper line level for electrical communication with the FEOL substrate;
d. planarizing to expose the copper lines and make them even with the first hard mask, and applying thereover a first permanent, solid, partially cured ultra-low K dielectric material which is capable of developing porosities at processing temperature;
e. providing a first anneal to the structure under vacuum in an inert atmosphere by gradually increasing the temperature to a level and for a time sufficient to decompose and remove the sacrificial material from the first line level while also finally curing and creating porosities in the permanent ultra-low K dielectric material;
f. applying a second layer of sacrificial dielectric atop the first permanent ultra-low K dielectric material, and applying a second permeable hard mask thereover;
g. fabricating the first copper via and second copper line levels on the second hard mask by dual damascene processing and planarizing to expose the surface of the copper lines and make them even with the second permeable hard mask;
h. applying on the second permeable hard mask a second permanent, solid, partially cured ultra-low K dielectric material which is capable of developing porosities at processing temperature; and
i. providing a second anneal to the structure under vacuum in an inert atmosphere by gradually increasing the temperature to a level and for a time sufficient to decompose and remove the sacrificial material from the second line level while also finally curing and creating porosities in the second permanent ultra-low K dielectric material.
10. The process recited in claim 9, wherein steps g-i are repeated as required.
11. The process recited in claim 10, wherein the steps of applying permanent, solid, partially cured ultra-low K dielectric material which is capable of developing porosities at processing temperature comprises applying a material selected from the group consisting of SiLK, MSSQ, and SiCOH.
US11/491,816 2003-12-08 2006-07-24 Line level air gaps Abandoned US20060264036A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/491,816 US20060264036A1 (en) 2003-12-08 2006-07-24 Line level air gaps

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/731,377 US7084479B2 (en) 2003-12-08 2003-12-08 Line level air gaps
US11/491,816 US20060264036A1 (en) 2003-12-08 2006-07-24 Line level air gaps

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/731,377 Division US7084479B2 (en) 2003-12-08 2003-12-08 Line level air gaps

Publications (1)

Publication Number Publication Date
US20060264036A1 true US20060264036A1 (en) 2006-11-23

Family

ID=34652747

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/731,377 Expired - Fee Related US7084479B2 (en) 2003-12-08 2003-12-08 Line level air gaps
US11/491,816 Abandoned US20060264036A1 (en) 2003-12-08 2006-07-24 Line level air gaps

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/731,377 Expired - Fee Related US7084479B2 (en) 2003-12-08 2003-12-08 Line level air gaps

Country Status (3)

Country Link
US (2) US7084479B2 (en)
JP (1) JP4817649B2 (en)
CN (1) CN100416820C (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010016958A1 (en) * 2008-08-07 2010-02-11 International Business Machines Corporation Interconnect structure with metal cap self-aligned to a surface of an embedded conductive material

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7629225B2 (en) * 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
EP1744359A1 (en) * 2005-07-12 2007-01-17 ST Microelectronics Crolles 2 SAS Interconnect structure having cavities in its dielectric portion
TW200746355A (en) * 2005-07-12 2007-12-16 St Microelectronics Crolles 2 Integration control and reliability enhancement of interconnect air cavities
JP4197694B2 (en) * 2005-08-10 2008-12-17 株式会社東芝 Semiconductor device and manufacturing method thereof
US20070099433A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Gas dielectric structure formation using radiation
FR2910706B1 (en) * 2006-12-21 2009-03-20 Commissariat Energie Atomique INTERCONNECTION ELEMENT BASED ON CARBON NANOTUBES
KR100843233B1 (en) * 2007-01-25 2008-07-03 삼성전자주식회사 Semiconductor device having air gap adjoining the sidewall of wiring layer and fabrication method thereof
US7871922B2 (en) * 2007-04-10 2011-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures that include forming air gaps between conductive structures
US7858513B2 (en) * 2007-06-18 2010-12-28 Organicid, Inc. Fabrication of self-aligned via holes in polymer thin films
CN101609809B (en) * 2008-06-16 2010-12-15 台湾信越矽利光股份有限公司 Method for forming porous material
US8237191B2 (en) * 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
CN102437101B (en) * 2011-09-09 2015-06-24 上海华力微电子有限公司 Improved method for integrating hard mask and porous material with low dielectric constant value
US8927413B2 (en) 2012-11-12 2015-01-06 Taiwan Semiconductor Manufacturing, Ltd. Semiconductor structure and semiconductor fabricating process for the same
KR102380774B1 (en) 2014-11-14 2022-04-04 삼성전자주식회사 Slurry compound and method of manufacturing semiconductor device using the same
US9837355B2 (en) 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
EP3506342A4 (en) * 2016-08-25 2019-08-28 Sony Semiconductor Solutions Corporation Semiconductor device, image pickup device, and method for manufacturing semiconductor device
US10679934B2 (en) 2017-12-01 2020-06-09 International Business Machines Corporation Capacitance reduction in sea of lines BEOL metallization
KR102634459B1 (en) 2018-12-24 2024-02-05 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10748812B1 (en) 2019-02-26 2020-08-18 International Business Machines Corporation Air-gap containing metal interconnects
KR20210049604A (en) 2019-10-25 2021-05-06 삼성전자주식회사 Integrated circuit device and method of manufacturing the same

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4465741A (en) * 1980-07-31 1984-08-14 Sumitomo Chemical Company, Limited Fiber-reinforced metal composite material
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5521410A (en) * 1993-03-22 1996-05-28 Nec Corporation Power semiconductor device comprising vertical double-diffused MOSFETS each having low on-resistance per unit area
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5891805A (en) * 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6251798B1 (en) * 1999-07-26 2001-06-26 Chartered Semiconductor Manufacturing Company Formation of air gap structures for inter-metal dielectric application
US6268276B1 (en) * 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6297125B1 (en) * 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6300667B1 (en) * 1997-11-14 2001-10-09 Nippon Steel Corporation Semiconductor structure with air gaps formed between metal leads
US6306753B1 (en) * 1995-12-28 2001-10-23 Kabushiki Kaisha Toshiba Feasible, gas-dielectric interconnect process
US6316347B1 (en) * 2000-12-18 2001-11-13 United Microelectronics Corp. Air gap semiconductor structure and method of manufacture
US20010050414A1 (en) * 1999-07-29 2001-12-13 Rebecca D. Mih Semiconductor device and method of making same
US6346484B1 (en) * 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
US6350672B1 (en) * 1997-07-28 2002-02-26 United Microelectronics Corp. Interconnect structure with gas dielectric compatible with unlanded vias
US6380347B1 (en) * 1999-04-09 2002-04-30 Honeywell International Inc. Nanoporous polymers comprising macrocycles
US6413854B1 (en) * 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US6472719B1 (en) * 1999-05-07 2002-10-29 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6492256B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Method for forming an interconnect structure with air gap compatible with unlanded vias
US6492705B1 (en) * 1996-06-04 2002-12-10 Intersil Corporation Integrated circuit air bridge structures and methods of fabricating same
US6498070B2 (en) * 2001-01-09 2002-12-24 United Microelectronics Corp. Air gap semiconductor structure and method of manufacture
US6577011B1 (en) * 1997-07-10 2003-06-10 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
US20040099951A1 (en) * 2002-11-21 2004-05-27 Hyun-Mog Park Air gap interconnect structure and method
US20040147117A1 (en) * 2002-04-04 2004-07-29 Advanced Micro Devices, Inc. Protection of low-k ILD during damascene processing with thin liner
US20050062165A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Method of forming closed air gap interconnects and structures formed thereby
US20050148202A1 (en) * 2002-06-20 2005-07-07 Ludger Heiliger Method for sealing porous materials during chip production and compounds therefor

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950102A (en) * 1997-02-03 1999-09-07 Industrial Technology Research Institute Method for fabricating air-insulated multilevel metal interconnections for integrated circuits
US6413882B1 (en) 1999-04-14 2002-07-02 Alliedsignal Inc. Low dielectric foam dielectric formed from polymer decomposition
JP2001291700A (en) * 2000-01-31 2001-10-19 Matsushita Electric Ind Co Ltd Method of etching and apparatus therefor
JP2002110785A (en) * 2000-09-27 2002-04-12 Sony Corp Manufacturing method of semiconductor device
JP4644924B2 (en) * 2000-10-12 2011-03-09 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP2002217289A (en) * 2001-01-19 2002-08-02 Toshiba Corp Semiconductor device and manufacturing method thereof
JP4350337B2 (en) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 Semiconductor device
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
JP2003347401A (en) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp Semiconductor device having multilayer wiring structure and its manufacturing method

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4465741A (en) * 1980-07-31 1984-08-14 Sumitomo Chemical Company, Limited Fiber-reinforced metal composite material
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5521410A (en) * 1993-03-22 1996-05-28 Nec Corporation Power semiconductor device comprising vertical double-diffused MOSFETS each having low on-resistance per unit area
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5668398A (en) * 1994-05-27 1997-09-16 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US6306753B1 (en) * 1995-12-28 2001-10-23 Kabushiki Kaisha Toshiba Feasible, gas-dielectric interconnect process
US6492705B1 (en) * 1996-06-04 2002-12-10 Intersil Corporation Integrated circuit air bridge structures and methods of fabricating same
US5891805A (en) * 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6577011B1 (en) * 1997-07-10 2003-06-10 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6350672B1 (en) * 1997-07-28 2002-02-26 United Microelectronics Corp. Interconnect structure with gas dielectric compatible with unlanded vias
US6492256B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Method for forming an interconnect structure with air gap compatible with unlanded vias
US6300667B1 (en) * 1997-11-14 2001-10-09 Nippon Steel Corporation Semiconductor structure with air gaps formed between metal leads
US6297125B1 (en) * 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6268276B1 (en) * 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
US6380347B1 (en) * 1999-04-09 2002-04-30 Honeywell International Inc. Nanoporous polymers comprising macrocycles
US6472719B1 (en) * 1999-05-07 2002-10-29 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6251798B1 (en) * 1999-07-26 2001-06-26 Chartered Semiconductor Manufacturing Company Formation of air gap structures for inter-metal dielectric application
US20010050414A1 (en) * 1999-07-29 2001-12-13 Rebecca D. Mih Semiconductor device and method of making same
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
US6413854B1 (en) * 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6346484B1 (en) * 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
US6316347B1 (en) * 2000-12-18 2001-11-13 United Microelectronics Corp. Air gap semiconductor structure and method of manufacture
US6498070B2 (en) * 2001-01-09 2002-12-24 United Microelectronics Corp. Air gap semiconductor structure and method of manufacture
US6635967B2 (en) * 2001-01-09 2003-10-21 United Microelectronics Corp. Air gap semiconductor structure and method of manufacture
US20040147117A1 (en) * 2002-04-04 2004-07-29 Advanced Micro Devices, Inc. Protection of low-k ILD during damascene processing with thin liner
US20050148202A1 (en) * 2002-06-20 2005-07-07 Ludger Heiliger Method for sealing porous materials during chip production and compounds therefor
US20040099951A1 (en) * 2002-11-21 2004-05-27 Hyun-Mog Park Air gap interconnect structure and method
US20050062165A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Method of forming closed air gap interconnects and structures formed thereby

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010016958A1 (en) * 2008-08-07 2010-02-11 International Business Machines Corporation Interconnect structure with metal cap self-aligned to a surface of an embedded conductive material

Also Published As

Publication number Publication date
US7084479B2 (en) 2006-08-01
CN1630077A (en) 2005-06-22
US20050127514A1 (en) 2005-06-16
CN100416820C (en) 2008-09-03
JP4817649B2 (en) 2011-11-16
JP2005175479A (en) 2005-06-30

Similar Documents

Publication Publication Date Title
US20060264036A1 (en) Line level air gaps
US7094669B2 (en) Structure and method of liner air gap formation
US7534696B2 (en) Multilayer interconnect structure containing air gaps and method for making
US6939797B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6413852B1 (en) Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
US7253105B2 (en) Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7238604B2 (en) Forming thin hard mask over air gap or porous dielectric
US7018918B2 (en) Method of forming a selectively converted inter-layer dielectric using a porogen material
US7482265B2 (en) UV curing of low-k porous dielectrics
US20060121721A1 (en) Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
US7635650B2 (en) Prevention of plasma induced damage arising from etching of crack stop trenches in multi-layered low-k semiconductor devices
JP2011082540A (en) MULTIPHASE, ULTRA LOW k DIELECTRIC FILM
CN101138085A (en) Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties
JP2007534175A (en) Formation of interconnect structure by decomposing photosensitive dielectric layer
US20080057717A1 (en) Semiconductor device manufacturing method
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US20100301495A1 (en) Semiconductor device and method for manufacturing same
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
US20170301583A1 (en) Method for producing an integrated circuit including a metallization layer comprising low k dielectric material
JP2006222171A (en) Method of forming insulating film, method of forming multilayer structure and method of manufacturing semiconductor apparatus
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910