JP2002521821A - 集積回路用インタコネクト構造の製造方法 - Google Patents

集積回路用インタコネクト構造の製造方法

Info

Publication number
JP2002521821A
JP2002521821A JP2000561658A JP2000561658A JP2002521821A JP 2002521821 A JP2002521821 A JP 2002521821A JP 2000561658 A JP2000561658 A JP 2000561658A JP 2000561658 A JP2000561658 A JP 2000561658A JP 2002521821 A JP2002521821 A JP 2002521821A
Authority
JP
Japan
Prior art keywords
insulating layer
trench
etching
mask
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000561658A
Other languages
English (en)
Inventor
メフル ネイク,
サミュエル ブロイド,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002521821A publication Critical patent/JP2002521821A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 1つのステップにおいて、完全なビアを形成するデュアルダマシン技術。即ち、基板(300)上に第1の絶縁層(302)を堆積し、第1の絶縁層(302)上にエッチングストップ層(304)を堆積し、エッチングストップ層(304)上に第2の絶縁層(306)を堆積する方法。次に、(1つまたは複数の)究極的なビアの寸法位置に従って現像且つパターン化されるフォトレジストを塗布することによって、ビアマスク(308)を形成する。その後、例えば、反応性イオンエッチングを用いて、第1の絶縁層(302)、エッチングストップ層(304)及び第2の絶縁層(306)を1つのステップにおいてエッチングしてもよい。これら3つの層を貫通して形成されるホール(312)は、最終的なビアの直径を有する。その後、トレンチが、マスクされて、第2の絶縁層(306)にエッチングされる。トレンチのエッチングは、エッチングストップ層によって、止められる。ビアとトレンチがメタライゼーションされてインタコネクト構造を形成する。この技術は、マルチレベルインタコネクト構造を作成するために、繰り返されることができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
この発明は集積回路製造のためのメタライゼーションプロセスおよびインタコ
ネクトプロセスに関し、特に、集積回路内にインタコネクト構造を製造するため
の改良されたデュアルダマシンプロセスに関する。
【0002】
【従来の技術】
ダマシン技術は、現代の集積回路製造で利用されている金属エッチング、絶縁
体ギャップ充填、および平坦化に対する切迫した要求に対応して開発されてきた
。ダマシン技術を使用する主な利点は、インタコネクト構造を製造するプロセス
における金属エッチングと絶縁体ギャップ充填のステップが不要になることであ
る。銅のエッチングは難しいので、産業でメタライゼーションの材料としてアル
ミニウムから銅に移動するにつれて、金属エッチングステップをなくすことが重
要になる。
【0003】 ダマシンプロセスには、シングルとデュアルの2種類がある。図1A〜1Gで示
したようにインタコネクト構造製造用シングルダマシンプロセスでは、第1の絶
縁体102を基板100上に堆積し、例えば反応性イオンエッチング(RIE)を
用いて絶縁体102内にビア104をエッチングする。次に、金属堆積によって
金属層106をビア104に充填する。プラグは、例えば、ケミカルメカニカル
ポリシング(CMP)によって平坦化されて、「プラグ」108を形成する。その後
、第2の絶縁体110を第1の絶縁体102上に堆積し、RIEプロセスを用いて
1つ以上のトレンチ112を第2の絶縁層110を貫通してエッチングする。次
に、金属堆積プロセスを用いて金属層をトレンチ112に充填してインターコネ
クションラインを形成し、さらにCMPによって平坦化する。このようにして、複
数のインタコネクトライン116を形成してプラグ108を相互に接続する。
【0004】 インターコネクションを形成する従来のデュアルダマシン方法では、ビアとト
レンチに同時に金属を充填するので、製造プロセスにおいてはメタライゼーショ
ンと平坦化のステップが少ない回数で済む。デュアルダマシンプロセスではライ
ンとビアの両方を同時にメタライゼーションするので、このような構造では金属
プラグと金属ラインとの間のインターフェースを必要としない。
【0005】 り詳細には、デュアルダマシン技術では、図2A〜2Eで示したように、ビアと
トレンチの深さを加算したものに等しい厚さの絶縁体202を基板200上に堆
積する。ビアマスクの形状をしたマスク204を絶縁体202上に堆積し、1つ
以上のビア206を絶縁体を貫通してエッチングする。次にマスクを除去し、第
2のマスク204を形成する。このマスクはトレンチマスクである。次に、1つ
以上のトレンチ210を絶縁体202のほぼ中間に達する深さにエッチングする
。このように、トレンチの深さはブラインドエッチングsトップを用いて生成さ
れる。つまり、所定時間が経過した後にエッチングが停止される。このようなプ
ロセスが、トレンチに再現性がある且つよく画成される深さを生成させるために
は、不正確な方法であることが知られている。ビア開口部内に位置された第2の
マスクからの未現像フォトレジスト部分212によって、ビアの底部がエッチン
グ液から保護される。第2マスクの除去に使用するレジストストリッププロセス
は、ビアからもすべてのレジストを除去するように制御される必要がある。その
後、トレンチ210とビア206の両方を1回のステップで金属層214でメタ
ライゼーションし、この構造を平坦化して、トレンチとプラグとのインタコネク
ト構造を形成する。
【0006】 米国特許第5635423号では、改良デュアルダマシンプロセスが開示され
ている。このプロセスでは、第1の絶縁体をビアの所望の厚さに堆積する。その
後、薄いエッチングストップ層を第1の絶縁層上に堆積し、所望のトレンチ深さ
とほぼ同じ厚さの第2の絶縁層をエッチングストップ層上に堆積する。次に、フ
ォトレジストマスク(ビアマスク)を第2の絶縁体上に形成する。その後、エッ
チングプロセスによって、第2の絶縁体にビアの直径と同じサイズの穴をエッチ
ングする。エッチングはエッチングストップ層で止まる。次に、ビアマスクを除
去し、第2の絶縁体上にトレンチマスクを形成する。以前に形成されたビアホー
ルの底部まで完全にレジストを現像するように注意しなければならない。そうし
ないと、以後のビア形成ステップでエッチングストップ層と第1の絶縁体を正し
くエッチングできなくなる。トレンチマスクを使用して第2の絶縁体にトレンチ
をエッチングし、同時にビアをエッチングストップストップ層と第1の絶縁体を
貫通してエッチングする。トレンチとビアを形成すれば、この構造をメタライゼ
ーションしてインタコネクトを形成することができる。
【0007】 このプロセスでは、第2の絶縁体のビアにフォトレジストが少しでも残ってい
ると、第1の絶縁層にビアを形成できなかったり、正しく形成できない。また、
トレンチの縁がビアと交差していると、フォトレジストの一部がビアに残り、ビ
アを完全には形成することができず歪んでしまう。このような不完全なビアは、
一般に、インターコネクション故障を結果としてもたらす。
【0008】 従って、トレンチの縁がビアと交差していっても、ビアの境界を画成するため
にフォトレジストを完全に除去するという余分なステップが不要な、インタコネ
クト構造を形成するデュアルダマシンプロセス技術が必要とされている。
【0009】
【発明の概要】
金属インターコネクションを形成するために用いられる従来技術に関連した前
記の不都合は、1回のステップで完全なビアを形成する本発明のデュアルダマシ
ン技術によって克服されることができる。即ち、本発明の方法では、第1の絶縁
層を基板上に堆積し、エッチングストップ層を第1の絶縁層上に堆積し、第2の
絶縁層をエッチングストップ層上に堆積する。次に、ビアマスクを形成する。ビ
アマスクには、例えば、最終的なビア(1つまたは複数)の位置と寸法に応じて
現像されパターン化されるスピンオン気相成長法または(CVD)フォトレジスト
によって、形成される。その後、第1の絶縁層、エッチングストップ層、および
第2の絶縁層が、例えば、反応性イオンエッチングを用いて、1回のステップで
エッチングされる。これらの3つの層を貫通する穴の直径は最終的なビアと同じ
である。その後、フォトレジストストリッププロセスによって、ビアマスクの形
成に使用したフォトレジストをすべて除去する。次に、第2のマスク(トレンチ
マスク)が、フォトレジストをスピンオンし、そのフォトレジストを現像且つパ
ターン化することによって、形成される。このパターンによって、第2の絶縁層
に形成すべきトレンチ(1つまたは複数)の位置と寸法が画成される。トレンチ
マスクの現像中に、レジストをビアからは完全に現像する必要はない。つまり、
ビア内にフォトレジストの一部を故意に残す。その後、反応性イオンエッチング
プロセスによって第2の絶縁層にトレンチをエッチングする。トレンチマスクの
形成後にビア内に未現像のフォトレジストを残すことによって、トレンチエッチ
ングプロセス中にビアがそれ以上エッチングされることを防止できる。ストップ
層は、トレンチをエッチングする広いプロセスウインドを形成する。このように
本発明のプロセスを使用すれば、トレンチリソグラフィの前にビアが完全に形成
されるので、トレンチの縁がビアと交差することも、フォトレジストがビア内に
残留することも重要な問題ではない。一旦トレンチが形成されたら、トレンチマ
スクを除去しトレンチとビアの両方を同時にメタライゼーションする。その後、
ケミカルメカニカルポリシング(CMP)またはエッチバックプロセスによってメタ
ライゼーション構造を平坦化する。
【0010】 インタコネクト構造を、マルチレベル構造を生成する方に継続させるために、
前述のように形成した構造上にパッシベーション層を堆積する。次に、別のデュ
アルダマシン構造を製造するために、プロセスが繰り返される。上部構造をメタ
ライゼーションする前に、パッシベーション層をエッチングして下部構造にコン
タクトビアを開口する。次に、上部構造をメタライゼーションし平坦化して、マ
ルチレベルインタコネクト構造の第2レベルを形成する。このプロセスを何度も
繰り返して追加レベルを追加することができる。
【0011】 本発明によるデュアルダマシンインタコネクト構造の形成プロセスは、汎用コ
ンピュータ上でコンピュータプログラムを実行することにより実施することがで
きる。コンピュータは、(1つまたは複数の)構造を作成する様々なプロセスス
テップを制御する。
【0012】
【詳細な説明】
本発明の教唆は、添付されている図面に関連する下記の詳細な説明を考慮する
ことによって、容易に理解されることができる。
【0013】 理解しやすいように、なるべく同一の符号を用いて図面における同一の要素を
示す。
【0014】 図3A〜3Hは、本発明のデュアルダマシンプロセスのプロセスステップを示し
ている。図3Aは、基板300上に、ビアの所望の深さとほぼ同じである厚さま
で堆積した第1の絶縁層302を示している。一般に、第1の絶縁層302は、
インタコネクト構造内で使用する絶縁体であり、例えば、二酸化シリコン(SiO
2)または誘電率(k)が低い材料(フッ化ポリイミド、フッ化ケイ酸塩ガラス
(FSG)、無定形フッ化炭素(a-C:F)、ポリアリールエテール類(Polyarylether
s)として知られる材料(PAE2.0、PAE2.3、FLARE2.0として一般に知られている)
、SILK、DVS-BCB、エーロゲル、HSQ、MSSQ、パリレンとその共重合体、パリレン
-AF4、酸化シリコンから得られる誘電率が低い材料(カーボネードなど)、Flow
Fillなど)を使用できる。図1Bは、第1の絶縁層302上に堆積したエッチン
グストップ層を示している。エッチングストップ層304は、例えば、絶縁体が
酸化物、酸化物系または誘電率が低い有機材料である場合、窒化シリコンから製
造される。一般に、エッチングストップ材料は、絶縁層のエッチングに使用する
化学物質によってエッチングされにくい誘電体である。例えば、絶縁体が酸化物
系、SiCまたはSiC/SiNの組み合せである場合は、エッチングストップとして、モ
ルファスカーボンを使用することができ、2つの層の厚さが特定の絶縁体に対し
て最適であるような多層エッチングストップも可能である。図3Cは、エッチン
グストップ層304上に堆積した第2の絶縁層306を示している。第2の絶縁
層306も、二酸化シリコン、または第1の絶縁層について列挙した誘電率(k
)が低い材料など、インタコネクト構造で使用する絶縁体であればどのようなも
のでもよい。また、第1と第2の絶縁層の材料は同じでなくてもよい。
【0015】 図3Dは、第2の絶縁層306の上面の上に堆積したフォトレジストを示し、
このフォトレジストは、開口部310の境界を既定するために現像しパターン化
されている。このように、開口部310は第1の絶縁層302に形成される最終
ビアの大きさと形状を備えている。この場合のフォトレジストは、従来の方法で
形成、現像、パターン化されている。
【0016】 図3Eでは、全ての3つの層、即ち、第1の絶縁層302、エッチングストッ
プ層304、および第2の絶縁層306が順次に、従来の反応性イオンエッチン
グプロセスによって1つのステップでエッチングされ、3つの層すべてを貫通す
るホール312が形成される。つまり、層306、304、302の順にエッチ
ングされる。ホールの直径は最終ビアとほぼ同じである。さらに、図3Eでは、
エッチングプロセス完了後に、フォトレジストが除去されている。一般に、従来
のフォトレジストストリッププロセスが使用される。つまり、酸素または酸素−
フッ素化合物を用いたドライアッシングの後にウェットケミカルストリッピング
で残留物を除去する。酸素によって悪影響を受ける低k材料(例えば、有機低k
材料、HSQなど)では、ドライアッシングは使用しない。これらの場合は、ウェ
ットフォトレジストストリップソリューションを使用する。ウェットストリップ
の後にポストアッシュウェットケミストリ残留物洗浄プロセスを使用することが
できる。これまで1回のエッチングステップについて説明したが、各層(例えば
、層306、304、および302)を、各層の材料によって限定されるエッチ
ング化学物質を使用する個別のエッチングプロセスでエッチングすることができ
る。
【0017】 図3Fは、第2の絶縁層306上にフォトレジストをスピンオンするか、また
は他の方法で塗布された後、現像しパターン化してアパーチャトレンチが画成さ
れた構造を示している。このアアパーチャは、第2の絶縁層に形成すべき最終的
なトレンチフラットのサイズと形状を有する。トレンチマスクの現像プロセスで
は、ホール312からすべてのフォトレジストが除去されるわけではなく、即ち
、フォトレジスト316がホール312内に残留することに注意すること。その
結果、これに続くエッチングプロセス中に、ホールの寸法がエッチング剤によっ
て影響を受けたり変化することはない。
【0018】 図3Gは、トレンチ318が、第2の絶縁層を貫通してエッチングストップ層
までエッチングされた後の構造を示している。ここでは、エッチングストップ層
が、この分野において周知の方法で、エッチングプロセス終了点インディケータ
として従来のように用いられる。二酸化シリコン絶縁体の場合、エッチングプロ
セスは、Cxyz型の化学物質を使用する。いずれかの絶縁層で低誘電率(k
)(例えば、k<3.8)材料を使用する場合は、エッチングストップ層は、一般
に、窒化シリコンまたは二酸化シリコンである。また、エッチング中に、正確な
ビアデフィニション(via definition)を保証するために、構造の最上位層として
ハードマスクを使用する。マルチレベルメタライゼーション構造における低k材
料使用に関する広範囲のレビューは、本発明と同じ譲受人に譲渡された米国特許
出願第08/987219(1997年12月9日出願)に記載されており、こ
れを、ここに参照として組み込む。
【0019】 エッチングが完了すると、第2の絶縁層306の表面とホール312の内部か
ら残留フォトレジストがストリップされる。図3Gの構造は、アルミニウム、ア
ルミニウム合金、銅、銅合金、またはその他の金属によって、従来のようにメタ
ライゼーションされる。メタライゼーションは、化学気相堆積(CVD)、物理気
相堆積(PVD)、CVDとPVDの組合わせ、電解メッキ、および無電解メッキを用い
て行われてもよい。デュアルダマシンインタコネクト構造322を完成するため
に、ケミカルメカニカルポリシング(CMP)またはエッチバックプロセスを用いて
、メタライゼーション構造を平坦化して、図3Hに示される構造322を形成す
る。
【0020】 前述のプロセスを使用して、トレンチの前にビアが形成されるので、完全なビ
アがエッチングされる。このように、本発明のプロセスを使用すれば、従来技術
におけるビアのサイズに影響を与えていたアライメントエラーが問題ではなくな
る。さらに、トレンチ幅がビア幅と同じようにすることでき、集積回路内に製造
されるデバイスの密度を向上することができる。
【0021】 前述の技術を使用してマルチレベルインタコネクト構造を画成して製造するこ
とができる。つまり、前述のデュアルダマシン技術を繰り返すことによって、多
層インタコネクト構造を製造するプロセスが完成される。
【0022】 図4Aから4Gは、本発明によるマルチレベル構造を製造するための各ステップ
を行った後の結果構造を示す。図4Aでは、図3Aから3Hで明示されたように、
第1の層400が既に完成されて、第1のインタコネクト402(ビアとトレン
チの組合わせ)を形成したことが前提である。そして、図4Aは、パッシベーシ
ョン層404(例えば、窒化シリコン)の堆積を示している。さらに、その後、
第3の絶縁層406、エッチングストップ層408、および第4の絶縁層410
がパッシベーション層404上に堆積される。第3の絶縁層406が、第2のビ
アの所望の深さとほぼ同じの厚さまで堆積される。第3の絶縁層406の堆積は
、通常、化学気相堆積(CVD)を用いて行われる。一般に窒化シリコンから形成
されるエッチングストップ層408が、CVDプロセスによって堆積される。第4
の絶縁層も同様にCVDによって、最終的なトレンチ深さとほぼ同じである厚さま
でに堆積される。
【0023】 図4Bは、第4の絶縁層410の上面上に堆積、現像、且つパターン化された
フォトレジスト412を示している。このフォトレジストはビアマスクを形成す
る。例えば、このフォトレジストをスピンオン、現像、パターン化して、アパー
チャ414を画成する。このアパーチャは、第3の絶縁層406に形成すべき最
終的なビアの位置と寸法を有する。あるいは、スピンオンプロセスの代わりに化
学気相堆積を用いて、フォトレジストを塗布することもできる。
【0024】 図4Cは、Cxyz型のエッチング化学物質を用いて、エッチング剤が第4の
絶縁層410、エッチングストップ層408および第3の絶縁層406を貫通し
てエッチングした後の構造を示している。第3の絶縁層を一部エッチングしたら
、3つの層のエッチングがパッシベーション層404の上で停止するように、エ
ッチング化学物質が、パッシベーション層404を識別できるエッチング化学物
質に切り替える。このエッチングステップで形成されるホール416のサイズは
、第3の絶縁層406でメタライゼーションされる最終的なビアのサイズである
。図4Cは、ビアを画成するために用いられたフォトレジストが、構造からスト
リップされた後の構造を示している。
【0025】 図4Dは、現像、パターン化されてアパーチャ420を画成したフォトレジス
ト418が、既に第4の絶縁層410上に形成した後の構造を示している。フォ
トレジスト422の一部が、ビア(ホール416)の中に堆積してもよいことに
注意されたい。これによって、第4の絶縁層410にトレンチをエッチングする
際に、ビアとパッシベーション層のエッチングを防止することができる。フォト
レジストは、例えば、スピンオン(または堆積)、現像、且つパターン化されて
、第4の絶縁層に形成すべき最終的なトレンチのサイズと形状を画成する。
【0026】 図4Eは、反応性イオンエッチングプロセスを用いてトレンチエッチングを行
い、第4の絶縁層410にトレンチ424を形成した後の構造を示している。ま
た、図4Eは、構造から未現像のフォトレジストをストリップした後の構造も示
している。
【0027】 最後に、図4Fで示したように、パッシベーション層404にビアがエッチン
グされ、第3の絶縁層406が開口されて、第1の配線層400に画成された下
部インタコネクト構造402まで接続位置を形成する。これまでの説明では、エ
ッチングストップ層とパッシベーション層の材料及び厚さが同じであることを前
提としてきたが、両層の材料と厚さが同じである必要はない。これまでの説明か
ら、当業者が、材料および/または厚さが異なるエッチングストップ層とパッシ
ベーション層を使用できるように手順を変更できることは明らかである。
【0028】 図4Gで示したように、第2のインタコネクト層426をメタライゼーション
して、第2のインタコネクト構造428を下方のインタコネクト構造402に導
電的に接続することができる。次に、このメタライゼーションした構造を、CMP
またはエッチバックプロセスを用いて平坦化し、図4Gのマルチレベルデュアル
ダマシン構造を製造する。
【0029】 このプロセスでは、2つのレジストステップが含まれる。ビアまたはトレンチ
のエッチング中にパッシベーション層404を故意に除去しないで、レジストス
トリッププロセスから下部金属(銅など)を保護する。このようなストリッピン
グでは、一般に、酸素を用いたプラズマを使用するので、メタライゼーションに
銅を使用する場合にはレジストストリップまたは(一般にウェット化学物質によ
る)エッチング後残留物除去中の銅腐食が問題になる。
【0030】 或いは、第4の絶縁層410、エッチングストップ層408、および第3の絶
縁層406にビアをエッチングしている間にパッシベーション層を除去すること
ができる。この場合、レジストストリッププロセス中の銅腐食を防ぐために、低
温レジストストリッププロセスが、銅を腐食しない(エッチング後残留物除去用
)ウェット化学物質とを組み合わせて用いることができる。ただし、ビアとトレ
ンチのエッチングステップ中にはパッシベーション層を除去しないことが好まし
い。
【0031】 図5は、本発明のインタコネクト構造の製造に使用するコンピュータ制御半導
体ウェーハ処理システム500のブロック図である。システム500には、半導
体ウェーハ上で様々なプロセスステップを実行するために、複数のチャンバとサ
ブシステムにコンピュータ通信バス504を介して接続されるコンピュータシス
テム502が含まれている。これらのチャンバとサブシステムは、絶縁体(誘電
体)堆積チャンバ506、エッチングストップ堆積チャンバ508、フォトレジ
ストマスク形成チャンバ510、エッチングチャンバ512、フォトレジストス
トリップチャンバ514、およびメタライゼーションチャンバ516を含む。コ
ンピュータシステムは、中央処理装置(CPU)518、メモリー520、および
様々なサポート回路522を含む。中央処理装置518としては、様々なチャン
バとサブプロセッサを制御する工業用設定で使用できる汎用コンピュータプロセ
ッサであればどのような形態のものでも1つを使用してよい。メモリー520は
中央処理装置518に接続されている。メモリー520としては、ランダムアク
セスメモリー(RAM)、読取り専用メモリー(ROM)、フロッピー(登録商標)デ ィスク、ハードディスク、またはその他の形態のデジタル記憶装置のような、簡 単に使用できるメモリーであればどれでも1つ以上を使用してよい。サポート回 路522は、従来の方法におけるプロセッサをサポートするために中央処理装置 518に接続する。これらの回路には、キャッシュ、電源、クロック回路、入出 力回路およびサブシステムなどが含まれる。本発明の製造ステップを実行するた めに用いられる制御ソフトウェアは、一般に、ソフトウェアルーチン524とし てメモリー520に格納する。ソフトウェアは、CPUで制御されるハードウェア から遠隔にあるCPUによって格納且つ/または実行してもよい。
【0032】 CPU518によって実行される場合、ソフトウェアルーチン524は、汎用コ
ンピュータ502を、様々なチャンバを制御する特殊用途コンピュータ502に
変換して、各チャンバ内に製造ステップが行われるように、様々なチャンバを制
御する。ソフトウェアルーチン524によって実行される特殊プロセス機能につ
いては、図6を参照しながら詳しく説明する。
【0033】 半導体ウェーハ処理システム500を制御するための特殊用途コンピュータに
なるようにプログラムされた汎用コンピュータ502を開示したが、ここで示し
た1台の汎用コンピュータ502のコンピューティング機能が、様々なチャンバ
とサブシステムに分配され、それらのチャンバとサブシステムに関連するプロセ
ッサ上で実行されると同時に、汎用コンピュータは単に各チャンバ及びサブシス
テムに取付けされるコンピュータのコントローラとして用いられてもよいことが
理解されるべき。さらに、本発明のプロセスは、ソフトウェアルーチンとして実
行されるものとして説明したが、ここで開示した方法のステップは、ソフトウェ
アコントローラによってもハードウェア内でも実行することができる。このため
、本発明は、コンピュータシステム上で実行するようにソフトウェアにおいても
、特殊用途の集積回路としてのハードウェア或いは他のタイプのハードウェアイ
ンプリメンテーションにおいても、またはソフトウェアとハードウェアの組合わ
せにおいても実行されることもできる。
【0034】 図6は、半導体ウェーハ処理システム制御ルーチン524内に含まれるプロセ
スステップを示す流れ図である。絶縁体がウェーハ上に堆積する絶縁体(誘電体
)堆積チャンバ内にウェーハを配置することによって、ルーチン524がステッ
プ600で開始される。ステップ602では、ルーチンによって、エッチングス
トップ堆積チャンバで、絶縁層上にエッチングストップ層が堆積される。一般に
、絶縁層600とエッチングストップ層602とは、2つの別々の半導体ウェー
ハ処理チャンバで堆積され、よって、コントローラは、一般に、でウェーハ搬送
ロボットを用いて、ウェーハを一方のチャンバから他方のチャンバへ移動しなけ
ればならない。或いは、絶縁層とエッチングストップ層とを1つのチャンバで堆
積し、それによって、ウェーハ搬送ステップを避けることもできる。
【0035】 別々の室を使用する場合、ウェーハが、エッチングストップ堆積チャンバから
絶縁層堆積チャンバに戻して、エッチングストップ層上に第2の絶縁層を堆積す
る。その後、ステップ606において、ビアフォトレジストが堆積され且つパタ
ーン化されて、ビア用位置を同定する。次に、ステップ608で、エッチングチ
ャンバを用いてマスク構造をエッチングして、第1と第2の絶縁層およびエッチ
ングストップ層を貫通してビアを形成する。次に、ウェーハをフォトレジストス
トリップチャンバに移動し、ステップ610でフォトレジストを除去する。その
後、ステップ612で、ウェーハをフォトレジストマスク形成チャンバに戻し、
ビア構造上にトレンチフォトレジストマスクを形成しパターン化する。ステップ
614で、このマスク構造を含むウェーハをエッチングチャンバに搬送して、ウ
ェーハにトレンチをエッチングする。ステップ616で、メタライゼーションチ
ャンバでトレンチ及びビア構造が、通常、デュアルダマシン構造上における金属
材料の化学気相堆積(CVD)、物理気相堆積(PVD)、CVDとPVDの組合わせ、電解
メッキまたは無電解メッキによって、メタライゼーションされる。次にステップ
618で、メタライゼーションした構造が、CMP装置内で、或いは、エッチング
チャンバ内でエッチバック処理を用いて、平坦化される。このようにして、本発
明によるデュアルダマシンインタコネクト構造が形成される。マルチレベル構造
を製造する場合は、図4A〜4Gに関して論じたように、レベルの間に、パッシベ
ーション層を用いてステップ600から618までを繰り返すことができる。
【0036】 本発明の教唆を取り入れた様々な実施の形態を詳細に説明し示したが、当業者
なら、これらの教唆を取り入れる他の変形した実施の形態を容易に考案できるこ
とは明白である。
【図面の簡単な説明】
この発明は、以下の詳細な説明と添付図面を検討すれば容易に理解できる。
【図1】 AからGは従来技術のシングルダマシンプロセスの一連のプロセルステップを
示す。
【図2】 AからEは従来技術のデュアルダマシンプロセスの一連のプロセスステップを
示す。
【図3】 AからHは本発明によるデュアルダマシンプロセスの一連のプロセスステップ
を示す。
【図4】 AからGは図3のAからHのステップと組み合わせる場合、マルチレベルインタ
コネクト構造を形成する一連のプロセスステップを示す。
【図5】 本発明のインタコネクト構造の製造に用いられるコンピュータ制御半導体ウェ
ーハ処理システムのブロック図である。
【図6】 半導体ウェーハ処理システムを制御するために図5のコンピュータによって実
行されるソフトウェアプログラムの流れ図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ブロイド, サミュエル アメリカ合衆国, カリフォルニア州, ロス アルトス ヒルズ, プリッシマ ロード 26496 Fターム(参考) 5F033 HH08 HH09 HH11 HH12 HH19 JJ01 JJ08 JJ11 JJ12 KK01 KK08 KK09 KK11 KK12 MM02 PP06 PP14 PP27 PP28 QQ09 QQ10 QQ13 QQ25 QQ31 QQ37 QQ48 RR04 RR06 RR09 RR11 RR22 XX03 【要約の続き】 れることができる。

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 (a) 第1の絶縁層を基板上に堆積するステップと、 (b) エッチングストップ層を前記第1の絶縁層上に堆積する
    ステップと、 (c) 第2の絶縁層を前記エッチングストップ層上に堆積する
    ステップと、 (d) 第1のマスクを前記第2の絶縁層上に形成するステップ
    と、 (e) 前記第1の絶縁層、前記エッチングストップ層及び前記
    第2の絶縁層をエッチングして、ビアを画成するステップと、 (f) 前記第1のマスクを除去するステップと、 (g) 第2のマスクを形成してトレンチを画成するステップと
    、 (h) 前記第2のマスクによって画成された前記第2の絶縁層
    をエッチングしてトレンチを形成するステップと、 (i)前記ビアおよび前記トレンチをメタライゼーションして
    インタコネクト構造を形成するステップと を含む、インタコネクト構造の形成方法。
  2. 【請求項2】 フォトレジスト材料を前記第2の絶縁層上に塗布するステッ
    プと、 前記フォトレジストを現像するステップと、 前記フォトレジストをパターン化して、前期ビアの位置と寸法
    を画成するステップと、 によって、前記第1のマスクを形成する、請求項1に記載の方法。
  3. 【請求項3】 フォトレジスト材料を前記第2の絶縁層上に塗布するステッ
    プと、 前記フォトレジストを現像するステップと、 前記フォトレジストをパターン化して、前記トレンチの位置と
    寸法を画成するステップと、 によって、前記第2のマスクを形成する、請求項1に記載の方法。
  4. 【請求項4】 上記のフォトレジストが、前記ビア内で、完全には現像され
    ない、請求項3に記載の方法。
  5. 【請求項5】 前記ビアを形成する前記エッチングステップは、反応性イオ
    ンエッチングである、請求項1に記載の方法。
  6. 【請求項6】 上記の第2の絶縁層における前記トレンチの前記エッチング
    は、反応性イオンエッチングである、請求項1に記載の方法。
  7. 【請求項7】 前記第1の絶縁層と前記第2の絶縁層が、二酸化シリコンか
    らなる、請求項1に記載の方法。
  8. 【請求項8】 前記第1の絶縁層または前記第2の絶縁層またはその両方が
    、誘電率の低い材料からなる、請求項1に記載の方法。
  9. 【請求項9】 前記メタライゼーションをパッシベーションして、次に (a) から (h) までのステップを繰り返し、次いで、パッシベーション層をエッチン
    グして、前記のメタライゼーションを前記第2のビアの底部に暴露し、第2のビ
    アとトレンチをメタライゼーションすることによって、前記第2のビア及び第2
    のトレンチを含むインタコネクト構造の第2レベルを形成することをさらに含む
    、請求項1に記載の方法。
  10. 【請求項10】 (a) 第1の絶縁層を基板上に堆積するステップと、 (b) エッチングストップ層を前記第1の絶縁層上に堆積す
    るステップと、 (c) 第2の絶縁層を前記エッチングストップ層上に堆積す
    るステップと、 (d) 前記第2の絶縁層上に第1のマスクを形成するステッ
    プと、 (e) 前記第1の絶縁層、前記エッチングストップ層及び前
    記第2の絶縁層をエッチングして、ビアを画成するステップと、 (f) 前記第1のマスクを除去するステップと、 (g) 第2のマスクを形成してトレンチを画成するステップ
    と、 (h) 前記第2のマスクによって画成された前記の第2の絶
    縁層をエッチングして、トレンチを形成するステップと、 (i) 前記ビアと前記トレンチをメタライゼーションして、
    インタコネクト構造を形成するステップと、 (j) 前記メタライゼーションを平坦化するステップと、 (k) パッシベーション層を前記平坦化したメタライゼーシ
    ョン上に形成するステップと、 (l) ステップ(a)から(h)までを繰り返して、第2のビ
    アと第2のトレンチを含むインタコネクト構造の第2レベルを形成するステップ
    と、 (m) 前記第2のビアの底部での前記パッシベーション層を
    除去するステップと、 (n) 前記第2のビアと前記第2のトレンチをメタライゼー
    ションして前記インタコネクト構造の第2層を形成するステップと、 を含む、マルチレベルインタコネクト構造の形成方法。
  11. 【請求項11】 フォトレジスト材料を前記第2の絶縁層上に塗布するステ
    ップと、 前記フォトレジストを現像するステップと、 前記フォトレジストをパターン化して前記ビアの位置と寸法
    を画成するステップと、 によって、前記第1のマスクを形成する、請求項10に記載の方法。
  12. 【請求項12】 フォトレジスト材料を前記第2の絶縁層上に塗布するステ
    ップと、 前記フォトレジストを現像するステップと、 前記フォトレジストをパターン化して前記トレンチの位置
    と寸法を画成するステップと、 によって、前記第2のマスクを形成する、請求項10に記載の方法。
  13. 【請求項13】 上記フォトレジストが前記ビア内で完全には現像されない
    、請求項12に記載の方法。
  14. 【請求項14】 前記ビアを形成する前記エッチングステップが、反応性イ
    オンエッチングと等方性エッチングとの組合わせである、請求項10に記載の方
    法。
  15. 【請求項15】 上記第2の絶縁層における前記トレンチの前記エッチング
    が、反応性イオンエッチングである、請求項10に記載の方法。
  16. 【請求項16】 前記第1の絶縁層と前記第2の絶縁層が二酸化シリコンか
    らなる、請求項10に記載の方法。
  17. 【請求項17】 前記第1の絶縁層または前記第2の絶縁層またはその両方
    が誘電率の低い材料からなる、請求項10に記載の方法。
  18. 【請求項18】 コンピュータプログラムを含むデジタル記憶媒体であって
    、上記コンピュータプログラムがコンピュータによって実行されるとき、コンピ
    ュータは半導体ウェーハ処理システムを操作して、 (a) 第1の絶縁層を基板上に堆積するステップと、 (b) エッチングストップ層を前記第1の絶縁層上に堆積す
    るステップと、 (c) 第2の絶縁層を前記エッチングストップ層上に堆積す
    るステップと、 (d) 第1のマスクを前記第2の絶縁層上に形成するステッ
    プと、 (e) 前記第1の絶縁層、前記エッチングストップ層、およ
    び前記第2の絶縁層をエッチングしてビアを画成するステップと、 (f) 前記第1のマスクを除去するステップと、 (g) 第2のマスクを形成してトレンチを画成するステップ
    と、 (h) 前記第2のマスクで画成された前記第2の絶縁層をエ
    ッチングしてトレンチを形成するステップと、 (i) 前記ビアと前記トレンチをメタライゼーションして
    インタコネクト構造を形成するステップと、 を行うことによって、インタコネクト構造を形成する、デジタル記憶媒体。
  19. 【請求項19】 前記デジタル記憶媒体に格納されている前記プログラムが
    実行されるとき、さらに、上記の半導体ウェーハ処理システムが、 フォトレジスト材料を前記第2の絶縁層上に塗布するステ
    ップと、 前記フォトレジストを現像するステップと、 前記フォトレジストをパターン化して前記ビアの位置と寸
    法を画成するステップと、 によって、第1のマスクを形成するようになる、請求項18に記載のデジタル記
    憶媒体。
  20. 【請求項20】 前記デジタル記憶媒体で格納されている前記プログラムが
    実行とき、さらに、上記半導体ウェーハ処理システムが、 フォトレジスト材料を前記第2の絶縁層上に塗布するステッ
    プと、 前記フォトレジストを現像するステップと、 前記フォトレジストをパターン化して前期トレンチの位置と
    寸法を画成するステップと、 によって、第2のマスクを形成するようになる、請求項18に記載のデジタル記
    憶媒体。
  21. 【請求項21】 前記デジタル記憶媒体に格納されている前記プログラムが
    実行とき、さらに、上記半導体ウェーハ処理システムが、前記メタライゼーショ
    ンをパッシベーション化(passivate)し、次に、(a) から (h) までのステップを
    繰り返し、次にパッシベーション層をエッチングして前記メタライゼーションを
    前記第2のビアの底部に暴露し、前記第2のビアとトレンチをメタライゼーショ
    ン(metalzing)することによって、第2のビアと第2のトレンチを含むインタコ
    ネクト構造の第2レベルを形成するステップを行うようになる、請求項18に記
    載のデジタル記憶媒体。
JP2000561658A 1998-07-23 1999-07-01 集積回路用インタコネクト構造の製造方法 Pending JP2002521821A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/122,080 US6245662B1 (en) 1998-07-23 1998-07-23 Method of producing an interconnect structure for an integrated circuit
US09/122,080 1998-07-23
PCT/US1999/015073 WO2000005763A1 (en) 1998-07-23 1999-07-01 Method of producing an interconnect structure for an integrated circuit

Publications (1)

Publication Number Publication Date
JP2002521821A true JP2002521821A (ja) 2002-07-16

Family

ID=22400481

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000561658A Pending JP2002521821A (ja) 1998-07-23 1999-07-01 集積回路用インタコネクト構造の製造方法

Country Status (7)

Country Link
US (2) US6245662B1 (ja)
EP (1) EP1101247B1 (ja)
JP (1) JP2002521821A (ja)
KR (1) KR100633979B1 (ja)
DE (1) DE69933933T2 (ja)
TW (1) TW457675B (ja)
WO (1) WO2000005763A1 (ja)

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6649515B2 (en) 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6406995B1 (en) 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6303972B1 (en) 1998-11-25 2001-10-16 Micron Technology, Inc. Device including a conductive layer protected against oxidation
US7067861B1 (en) 1998-11-25 2006-06-27 Micron Technology, Inc. Device and method for protecting against oxidation of a conductive layer in said device
US7378740B2 (en) * 1998-12-01 2008-05-27 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6936531B2 (en) 1998-12-21 2005-08-30 Megic Corporation Process of fabricating a chip structure
KR100452418B1 (ko) * 1999-06-30 2004-10-12 인텔 코오퍼레이션 듀얼 대머신 공정 중에서 하부 배선층을 보호하는 방법
US6274478B1 (en) * 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6365327B1 (en) 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
JP2001135723A (ja) * 1999-11-04 2001-05-18 Nec Corp 半導体装置及びその製造方法
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6469775B1 (en) * 2000-01-31 2002-10-22 Micron Technology, Inc. Reticle for creating resist-filled vias in a dual damascene process
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6399478B2 (en) * 2000-02-22 2002-06-04 Sanyo Electric Co., Ltd. Method of making a dual damascene structure with modified insulation
WO2001071801A1 (en) * 2000-03-20 2001-09-27 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing same
US6348395B1 (en) * 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
WO2002003457A2 (en) * 2000-06-30 2002-01-10 Infineon Technologies Ag Via first dual damascene process for copper metallization
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US6846737B1 (en) * 2000-08-15 2005-01-25 Intel Corporation Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
US6395632B1 (en) * 2000-08-31 2002-05-28 Micron Technology, Inc. Etch stop in damascene interconnect structure and method of making
EP1193555A1 (en) 2000-08-31 2002-04-03 Fuji Photo Film Co., Ltd. Negative resist composition
US6455432B1 (en) * 2000-12-05 2002-09-24 United Microelectronics Corp. Method for removing carbon-rich particles adhered on a copper surface
US6383929B1 (en) * 2001-01-11 2002-05-07 International Business Machines Corporation Copper vias in low-k technology
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6388330B1 (en) * 2001-02-01 2002-05-14 Advanced Micro Devices, Inc. Low dielectric constant etch stop layers in integrated circuit interconnects
US6372631B1 (en) * 2001-02-07 2002-04-16 Advanced Micro Devices, Inc. Method of making a via filled dual damascene structure without middle stop layer
US6365505B1 (en) * 2001-02-21 2002-04-02 Advanced Micro Devices, Inc. Method of making a slot via filled dual damascene structure with middle stop layer
US6391766B1 (en) * 2001-02-21 2002-05-21 Advanced Micro Devices, Inc. Method of making a slot via filled dual damascene structure with middle stop layer
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
US7932603B2 (en) * 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US6635546B1 (en) * 2002-05-16 2003-10-21 Infineon Technologies Ag Method and manufacturing MRAM offset cells in a damascene structure
US6821905B2 (en) * 2002-07-30 2004-11-23 Taiwan Semiconductor Manufacturing Co., Ltd Method for avoiding carbon and nitrogen contamination of a dielectric insulating layer
DE10240176A1 (de) * 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
US6838372B2 (en) 2002-09-25 2005-01-04 Cookson Electronics, Inc. Via interconnect forming process and electronic component product thereof
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7459790B2 (en) * 2003-10-15 2008-12-02 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7339272B2 (en) * 2004-06-14 2008-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with scattering bars adjacent conductive lines
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
WO2006125462A1 (en) * 2005-05-25 2006-11-30 Freescale Semiconductor, Inc Cleaning solution for a semiconductor wafer
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7902598B2 (en) 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
KR100650907B1 (ko) * 2005-12-29 2006-11-28 동부일렉트로닉스 주식회사 구리 금속으로 된 집적회로 인덕터 및 그 제조 방법
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
KR100953729B1 (ko) * 2008-06-18 2010-04-19 서울시립대학교 산학협력단 과도금층을 이용한 반도체 적층모듈 제조공정의 단축방법
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) * 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
DE102016104306B4 (de) 2016-03-09 2020-04-09 Infineon Technologies Ag Dehnungs-sensor bzw. reduzierung einer durch eine dehnung verursachte drift einer brückenschaltung

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07307338A (ja) * 1993-10-29 1995-11-21 Toshiba Corp 半導体装置の製造方法および半導体装置
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
JPH03198327A (ja) 1989-12-26 1991-08-29 Fujitsu Ltd 半導体装置の製造方法
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5439780A (en) 1992-04-29 1995-08-08 At&T Corp. Energy sensitive materials and methods for their use
US5371042A (en) 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5443995A (en) 1993-09-17 1995-08-22 Applied Materials, Inc. Method for metallizing a semiconductor wafer
US5635423A (en) 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5614765A (en) 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
KR970707571A (ko) 1995-09-14 1997-12-01 이시마루 미키오 축소 치수용 다마스크 공정(damascene process for reduced feature size)
US5693568A (en) 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US5882996A (en) * 1997-10-14 1999-03-16 Industrial Technology Research Institute Method of self-aligned dual damascene patterning using developer soluble arc interstitial layer
US5877076A (en) * 1997-10-14 1999-03-02 Industrial Technology Research Institute Opposed two-layered photoresist process for dual damascene patterning
EP0933814A1 (en) * 1998-01-28 1999-08-04 Interuniversitair Micro-Elektronica Centrum Vzw A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
US6365327B1 (en) * 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6426298B1 (en) * 2000-08-11 2002-07-30 United Microelectronics Corp. Method of patterning a dual damascene

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07307338A (ja) * 1993-10-29 1995-11-21 Toshiba Corp 半導体装置の製造方法および半導体装置
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits

Also Published As

Publication number Publication date
KR100633979B1 (ko) 2006-10-16
DE69933933D1 (de) 2006-12-21
US20020048929A1 (en) 2002-04-25
TW457675B (en) 2001-10-01
US6245662B1 (en) 2001-06-12
US6548396B2 (en) 2003-04-15
WO2000005763A1 (en) 2000-02-03
KR20010072034A (ko) 2001-07-31
WO2000005763A9 (en) 2000-08-03
EP1101247A1 (en) 2001-05-23
DE69933933T2 (de) 2007-08-02
EP1101247B1 (en) 2006-11-08

Similar Documents

Publication Publication Date Title
JP2002521821A (ja) 集積回路用インタコネクト構造の製造方法
JP3870031B2 (ja) キャパシタ構造およびその製造方法
KR100386622B1 (ko) 듀얼 다마신 배선 형성방법
JP5334616B2 (ja) 相互接続を作製するための方法
JP2009135518A (ja) 相互接続の製造方法
US20030181034A1 (en) Methods for forming vias and trenches with controlled SiC etch rate and selectivity
US6339029B1 (en) Method to form copper interconnects
JP2970757B2 (ja) 金属スペーサを用いた相互接続およびその形成方法
US7056821B2 (en) Method for manufacturing dual damascene structure with a trench formed first
US6350695B1 (en) Pillar process for copper interconnect scheme
KR100552815B1 (ko) 반도체 소자의 듀얼 다마신 배선 형성 방법
US7300879B2 (en) Methods of fabricating metal wiring in semiconductor devices
US6995085B2 (en) Underlayer protection for the dual damascene etching
KR100458594B1 (ko) 반도체 소자 제조 방법
JP4023236B2 (ja) 金属配線の形成方法
KR100866121B1 (ko) 반도체 소자의 금속배선 형성방법
KR100866122B1 (ko) 듀얼 다마신 공정을 이용한 금속배선 형성방법
KR100857989B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100579856B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP4220342B2 (ja) 半導体装置の製造方法
TWI469256B (zh) 一種形成雙鑲嵌結構的方法
US20050142870A1 (en) Method for manufacturing semiconductor device using dual-damascene pattern
KR100310172B1 (ko) 반도체 소자의 금속 배선층 형성 방법
US8592229B2 (en) Method for forming dual damascene structure
KR100676609B1 (ko) 구리 금속 배선의 형성 방법 및 그에 의해 형성된 구리금속 배선을 포함하는 반도체 소자

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060630

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100630

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100707

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100730

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100830

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110125