JP2002517089A5 - - Google Patents
Download PDFInfo
- Publication number
- JP2002517089A5 JP2002517089A5 JP2000551427A JP2000551427A JP2002517089A5 JP 2002517089 A5 JP2002517089 A5 JP 2002517089A5 JP 2000551427 A JP2000551427 A JP 2000551427A JP 2000551427 A JP2000551427 A JP 2000551427A JP 2002517089 A5 JP2002517089 A5 JP 2002517089A5
- Authority
- JP
- Japan
- Prior art keywords
- trench
- chamber
- processing
- substrate
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000010410 layer Substances 0.000 description 120
- 239000000758 substrate Substances 0.000 description 93
- 239000007789 gas Substances 0.000 description 80
- 238000000034 method Methods 0.000 description 51
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 50
- 238000011049 filling Methods 0.000 description 36
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 35
- 229910052710 silicon Inorganic materials 0.000 description 35
- 239000010703 silicon Substances 0.000 description 35
- 238000000151 deposition Methods 0.000 description 25
- 238000004140 cleaning Methods 0.000 description 22
- 239000000203 mixture Substances 0.000 description 21
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 20
- 239000000463 material Substances 0.000 description 19
- 239000003989 dielectric material Substances 0.000 description 18
- 238000005530 etching Methods 0.000 description 18
- CBENFWSGALASAD-UHFFFAOYSA-N ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 17
- OZAIFHULBGXAKX-UHFFFAOYSA-N precursor Substances N#CC(C)(C)N=NC(C)(C)C#N OZAIFHULBGXAKX-UHFFFAOYSA-N 0.000 description 17
- TWXTWZIUMCFMSG-UHFFFAOYSA-N nitride(3-) Chemical compound [N-3] TWXTWZIUMCFMSG-UHFFFAOYSA-N 0.000 description 16
- 230000015572 biosynthetic process Effects 0.000 description 15
- 238000005755 formation reaction Methods 0.000 description 15
- 229920002120 photoresistant polymer Polymers 0.000 description 15
- 210000002381 Plasma Anatomy 0.000 description 14
- 238000009826 distribution Methods 0.000 description 14
- 238000001878 scanning electron micrograph Methods 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 14
- KRHYYFGTRYWZRS-UHFFFAOYSA-N HF Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 12
- 238000000137 annealing Methods 0.000 description 12
- 238000010438 heat treatment Methods 0.000 description 12
- 238000009413 insulation Methods 0.000 description 12
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 12
- 229910052581 Si3N4 Inorganic materials 0.000 description 11
- HQVNEWCFYHHQES-UHFFFAOYSA-N Silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 11
- 229910052734 helium Inorganic materials 0.000 description 11
- MYMOFIZGZYHOMD-UHFFFAOYSA-N oxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 11
- 230000001276 controlling effect Effects 0.000 description 10
- 238000007796 conventional method Methods 0.000 description 10
- 239000001307 helium Substances 0.000 description 10
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium(0) Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 10
- 229910052760 oxygen Inorganic materials 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 10
- 230000035945 sensitivity Effects 0.000 description 10
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminum Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 8
- 239000006117 anti-reflective coating Substances 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 229910052757 nitrogen Inorganic materials 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 6
- 230000000694 effects Effects 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- 230000001590 oxidative Effects 0.000 description 6
- 238000000206 photolithography Methods 0.000 description 6
- 102100016908 ACKR1 Human genes 0.000 description 5
- 101710008404 GAPDH Proteins 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- 238000000576 coating method Methods 0.000 description 5
- 238000004590 computer program Methods 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 4
- GQPLMRYTRLFLPF-UHFFFAOYSA-N nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 4
- 230000003287 optical Effects 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 239000011247 coating layer Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 230000001965 increased Effects 0.000 description 3
- 238000011068 load Methods 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000000725 suspension Substances 0.000 description 3
- KLZUFWVZNOTSEM-UHFFFAOYSA-K AlF3 Chemical compound F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 description 2
- 102000014961 Protein Precursors Human genes 0.000 description 2
- 108010078762 Protein Precursors Proteins 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N Silicon carbide Chemical group [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 230000003416 augmentation Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 230000000875 corresponding Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- LYCAIKOWRPUZTN-UHFFFAOYSA-N glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 2
- XCCANNJCMHMXBZ-UHFFFAOYSA-N hydroxyiminosilicon Chemical compound ON=[Si] XCCANNJCMHMXBZ-UHFFFAOYSA-N 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 230000000873 masking Effects 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000001272 nitrous oxide Substances 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 241000208340 Araliaceae Species 0.000 description 1
- 235000003140 Panax quinquefolius Nutrition 0.000 description 1
- 206010040490 Sexually transmitted disease Diseases 0.000 description 1
- 230000003213 activating Effects 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-N ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000003247 decreasing Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000002708 enhancing Effects 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 235000005035 ginseng Nutrition 0.000 description 1
- 235000008434 ginseng Nutrition 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000006011 modification reaction Methods 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 229910052904 quartz Inorganic materials 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000000284 resting Effects 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000001429 stepping Effects 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 238000002207 thermal evaporation Methods 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N tin hydride Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- -1 vapors Substances 0.000 description 1
Description
【書類名】 明細書
【発明の名称】 浅いトレンチ絶縁のための自己平坦化誘電層を形成する方法
【特許請求の範囲】
【請求項1】
シリコン基板の上にトレンチ絶縁構造体を形成する方法であって、
化学気相堆積プロセスを使用して、前記シリコン基板の上に直接、無機反射防止コーティングを堆積する工程と、
リソグラフィプロセスを使用して前記無機反射防止コーティングおよびシリコン基板にパターニングして、それぞれがトレンチ底とトレンチ壁とを有する複数のトレンチを形成する工程であって、シリコンが前記複数のトレンチの底で露出されると共に、無機反射防止コーティングがトレンチ間の持ち上がった表面で露出される工程と、
異なる組成の表面に表面感度と成長速度とが依存する先駆物質を前記基板処理チャンバに導入し、前記トレンチ間の持ち上がった表面に露出された無機反射防止コーティング部分の上よりも速い速度で前記トレンチ底に露出する前記シリコンの上に前記誘電層が堆積する結果となるオゾン/先駆物質の比率で前記基板処理チャンバにオゾンを流して前記先駆物質と反応させて、前記基板の上で且つ前記複数のトレンチの中に誘電層を堆積させることにより、前記誘電層が平坦な誘電表面を形成するまでトレンチ充填誘電層を堆積する工程と、
を含む方法。
【請求項2】
前記パターニングする工程の後で、前記導入する工程と、流す工程と、調節する工程とに先だって、フッ化水素酸を用いた湿式エッチング処置を利用して前記トレンチを洗浄する工程をさらに含む請求項1に記載の方法。
【請求項3】
前記複数のトレンチ内にトレンチ充填誘電層を堆積する工程の後に、前記基板処理チャンバの中に酸素含有ガスを流し、前記基板を少なくとも800℃の温度に加熱して、同時に前記誘電層の密度を高くすると共に、トレンチ底とトレンチ壁の夫々に熱酸化物を形成する工程をさらに含む請求項1または2に記載の方法。
【請求項4】
異なる組成の表面に表面感度と成長速度とが依存する前記先駆物質は、TEOS(テトラエチルオルトシリケート)である、請求項1〜3のいずれか一項に記載の方法。
【請求項5】
オゾン/TEOSの比率は、10:1と20:1の間である、請求項4に記載の方法。
【請求項6】
トレンチ充填誘電層を堆積する工程は、前記基板処理チャンバ内に200から700Torrの間の圧力と、300から500℃の間の温度を生成する工程を含む、請求項1〜5のいずれか一項に記載の方法。
【請求項7】
前記無機反射防止コーティング層は1000から2000Åの間の厚さで堆積される、請求項1〜6のいずれか一項に記載の方法。
【請求項8】
無機CVD反射防止コーティング層は、オキシ窒化ケイ素または窒化ケイ素のいずれかである、請求項1〜7のいずれか一項に記載の方法。
【請求項9】
無機CVD反射防止コーティング層を堆積する工程は、シラン、一酸化二窒素、窒素分子、アンモニアおよびヘリウムを前記チャンバに導入する工程を含む、請求項1〜8のいずれか一項に記載の方法。
【請求項10】
無機CVD反射防止コーティング層は、炭化ケイ素である、請求項1〜7のいずれか一項に記載の方法。
【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、集積回路の製造に関する。特に、本発明は、浅いトレンチ絶縁のため高品質誘電層の自己平坦化堆積を提供する方法に関する。
【0002】
【従来の技術】
半導体デバイス形状は、サイズが縮小し続けており、製造されたウエハ上の1つのユニットエリアに一層多くのデバイスを設けている。これらのデバイスは、一般に、先ず、それらがウエハに作り込まれる時に互いに絶縁され、次いで、所望の特定の回路構成を生成するために相互に連結される。現今、いくつかのデバイスは、0.18μm程の小さな特徴の大きさで製造されている。例えば、導電性ラインあるいはパターン形成されたウエハなどのデバイス間のスペーシングは、同程度の大きさの凹部あるいはギャップを残して0.18μmだけ分離されている。二酸化ケイ素(SiO2)などの誘電材料の不導電層は、一般に、前述のギャップを充填するためにその特徴に堆積され、そして隣接した層の集積回路のその他の特徴から、あるいは同一の層の隣接した特徴からその特徴を絶縁する。
【0003】
誘電層は、デバイスを絶縁するための浅いトレンチ絶縁(STI)誘電物や、金属配線層間にあるいは金属化処理に先だって形成される層間誘電物(ILD)を含む様々な用途に使用されている。いくつかの場合、STIは、約0.5μm以下程の小さな特徴の大きさを有するデバイスを絶縁するために使用されている。誘電層の平坦化は、半導体デバイスの記憶密度が増え続けると、ますます重要になってくる。
【0004】
平坦化の問題は、図1aから図1gに図示されるように浅いトレンチ絶縁(通常、STI集積化と呼ばれる)を形成するための一般的処理の例示を使用して記述されている。図1aにおいて、シリコン基板110は、その上にパッド酸化物層112および窒化ケイ素などの窒化物層114を堆積している。窒化物層114は、低圧化学気相堆積(LPCVD)によって一般に堆積され、そして化学機械研磨(CMP)のためのエッチングストップとして作用する。図1bを参照すると、ボトム反射防止コーティング(BARC)116は、フォトリソグラフィ間に基板110から反射される光を吸収するため窒化物層114の上に形成される。一般に、BARC116である有機スピン‐オンガラス(SOG)は、深紫外(DUV)線および遠紫外(FUV)線を含む約248nm以下の波長を有する光のために一般的に必要とされている。フォトレジスト118は、BARC116の上に形成され、トレンチの位置を画定するマスク(図示せず)を使用して露光される。露光されたフォトレジストは、次に、トレンチを形成するために開口領域を残すように除去される。一般に、プラズマエッチングは、図1cに示されるように、トレンチ120を形成するために窒化物114、パッド酸化物112およびシリコン基板110を通って開口領域をエッチングするように行われる。残留フォトレジスト118およびBARC116を除去後、一般的には、熱酸化物122が、図1dに図示されるように、シリコン基板110へのプラズマ損傷を修復するために窒化物/パッド酸化物上とトレンチ120の表面(トレンチの底124およびトレンチの壁126)上とに成長されている。
【0005】
誘電層128は、次に、トレンチ120を充填し、そして窒化物層114を覆うために熱酸化物122の上に堆積される。この誘電層128は、トレンチ酸化物充填層と呼ばれることが多い。典型的な誘電層は、二酸化ケイ素、ケイ酸塩ガラスなどの酸化物材料から形成される。図1eに示されるように、堆積された誘電層128の表面プロフィルは、段があり、トレンチのある基板110の形状にほぼ似ている。表面プロフィルは、幅広いトレンチを有する隙間のあるフィールドにおいてよりも近接したスペースの狭いトレンチを有する密集したフィールドにおいてより一定である。図1eに見られるように、段の高さ130は、密集したフィールド134と隙間のあるフィールド132との間の誘電物プロフィルに形成される。段の高さ130のため、誘電層128を平坦化するために、誘電層堆積工程の直後にCMPを適用することはできない。何故なら、さもなければ、図1hに見られるように、隙間のあるフィールド132において皿状に凹む効果が、CMPに伴う結果として生ずるからである。その代わりに、リバースマスクおよびエッチング処置が、図1fに図示されるように、余分の酸化物をエッチングしてより平坦な表面プロフィルを得るために使用されている。この処置は、一般に、フォトレジスト堆積、リバースマスキング、硬化、エッチングされたフォトレジストの除去、エッチングバック、残留フォトレジストの除去などの工程を含んでいる。CMP処置は、次に、図1gに示されるように、充填された基板110の表面を全体にわたり平坦化するために、図1fの構造体に適用される。段の高さの影響により必要とされるリバースマスクおよびエッチング処置は、平坦化処置に対してかなりの費用および複雑さ(例えば、関連する追加されるリソグラフィ工程により)を追加する。
【0006】
上記に詳細に論じられたことから、追加のフォトリソグラフィ工程(費用のかかる装置を必要とする)を含むマルチ工程が、STIを設けるのに必要とされることが分かる。しかしながら、工程(および関連した装置、特に、費用のかかるレンズ、光ソースなどを必要とするフォトリソグラフィ装置)の数を減少することと、より経済的で、効率のよい製造プロセスを供給するために改善された結果を得ることとが望ましい。例えば、改善された結果を得るための1つの方法は、費用をかけないで自己平坦化高品質トレンチ酸化物充填層を設けることである。
【0007】
図1eに示される例示におけるトレンチ酸化物充填層のためギャップ充填誘電物128などの誘電層を堆積する多数の処置は、周知である。プロセスの1つのタイプは、ケイ酸塩ガラスなどの誘電フィルムを堆積するのにO3(オゾン)およびTEOS(テトラエチルオルトシリケート)を使用する。堆積されるこのようなフィルムは、通常、“O3/TEOSフィルム”と呼ばれる。O3/TEOSフィルムプロセスは、O3/TEOSの比が増大すると、表面感度が増大する。表面感度のため、誘電物堆積速度は、基礎層の材料の特性によって変わる。
【0008】
O3/TEOSフィルム堆積に先だって、表面に反応しない障壁層を堆積することによって表面感度を最小化することは周知である。例えば、1つの周知のプロセスは、プラズマ増強TEOS(PETEOS)堆積を含み、表面処理および薄いキャップTEOS層が後に続く。このプロセスは、望ましくない追加のプロセス工程を必要とする。別の周知の方法は、O3/TEOSの比を減少することによって表面感度を低下する。しかし、O3/TEOSの比を低下することは、望ましくないより多孔性誘電フィルムを結果として生ずることが多い。これは、誘電フィルムが絶縁のために使用されるとき、特に問題となる。この問題と取り組む1つの方法は、約500℃以上にプロセス温度を上げることであったが、プロセス温度を上げることは大抵の場合望ましくない。別の方法として、トレンチ酸化物充填層およびサンドイッチPETEOS層堆積後の追加のアニーリングプロセスが、トレンチ酸化物充填層の密度を高めるのに使用された。この方法は、しかし、余分の工程を行う必要があるという欠点を有している。
【0009】
表面感度を最小化する代わりに、トレンチのあるシリコン基板のギャップ充填を行うために、O3/TEOSフィルムの堆積速度の依存関係を利用して、トレンチの側壁を熱酸化物スペーサで覆うものもある。大気圧CVD(APCVD)O3/TEOS堆積および5%のオゾン濃度を使用すると、気泡のないギャップ充填を達成するために、側壁スペーサ上よりボトムシリコン上でのより速いフィルム成長が気泡形成を妨げたことが報告された。別のものは、O3/TEOSおよびO3‐オクタメチルシクロテトラシロキサン(OMTC)などの類似物質の表面感度を利用することによって平坦化金属間誘電(IMD)を形成する可能性を研究した。研究員は、平面性を達成するために異なる堆積速度を制御することの困難さを報告した。例えば、かなりの隆起が、アルミニウムの頂部およびアルミニウム側壁のTiN ARC層のO3/TEOSの異なる堆積速度によって生じるアルミニウム金属ラインのエッジで観察された。これら同一の研究員のある人たちは、O3−OMTCを使用する燐含有ガラス(PSG)上に設けられるアルミニウムインターコネクト上にSiO2層を堆積すると、より満足できる平坦化結果が得られることを報告した。
【0010】
上述のことに鑑みて、表面感度の高い誘電層を堆積することによって平坦性を得る試みは必ずしも成功するとは限らなかった。その上、発明者は、これらの方法は、所望の品質を有さない誘電層を生成することを発見した。
【0011】
必要とされることは、浅いトレンチ絶縁のため高品質トレンチ酸化物充填層の平坦化堆積のためにより効率のよい、また経済的な方法である。O3/TEOSフィルムなどの誘電材料の堆積速度の依存関係を効果的に利用する改善された方法が、さらに、望まれている。
【0012】
【課題を解決するための手段】
本発明の特定の実施形態は、表面感度のよい誘電材料を使用する自己平坦化高品質トレンチ充填層を形成することによって浅い絶縁集積化を提供するより効率のよい方法を提供する。本発明は、シリコントレンチに誘電材料を堆積して、トレンチ充填層の堆積後の酸化アニーリングによりトレンチ表面で熱酸化物を成長する方法を提供することによってそれを行う。この方法において、以前の方法を使用して形成される欠点は実質的に除去される。任意のトレンチ洗浄工程が、さらに、トレンチ充填層の品質およびデバイスの電気的特性を改善するために、堆積に先だって用いられることが可能である。
【0013】
本発明の1つの実施形態は、上方部分間に形成され且つトレンチ底とトレンチ壁とを有するシリコントレンチを備えるシリコン基板に誘電層を形成する方法に向けられている。基板は、基板処理チャンバに配置されている。方法は、基板の異なるレベルで異なった組成の表面に対する誘電層の堆積速度依存関係を提供する先駆物質を使用する。異なるレベルで異なった組成の表面は、トレンチ底および上方部分の材料を含む。その方法は、先駆物質、好ましくはTEOSを基板処理チャンバに導入する工程と、オゾンを基板処理チャンバに流して先駆物質と反応させて基板に誘電層を堆積する工程を含んでいる。オゾンと先駆物質との間のオゾン/先駆物質の比は、誘電層がほぼ平坦な誘電表面を形成するまで、異なった組成の表面上における誘電層の堆積速度を制御するために調節される。
【0014】
別の実施形態によれば、基板処理システムは、処理チャンバを画定するハウジングを備えている。基板ホルダは、上方部分の間に形成され且つトレンチ底とトレンチ壁とを有するシリコントレンチを含むシリコン基板を保持するために処理チャンバ内に配置されている。システムは、さらに、処理ガスを処理チャンバに導入するためのガス分配システムと、ガス分配システムを制御するためのコントローラとを備えている。メモリは、コントローラのオペレーションを管理するためにそこで実施されるコンピュータ読み取り可能プログラムを有するコンピュータ読み取り可能媒体を備えるコントローラに結合されている。コンピュータ読み取り可能プログラムは、シリコン基板に誘電層を形成するために、オゾンを含有する処理ガスと先駆物質とを処理チャンバに導入するようにガス分配システムを制御するための一連のインストラクションを備えている。先駆物質は、トレンチ底とシリコン基板の上方部分の材料とを備える、異なるレベルで異なる組成の表面に、誘電層の堆積速度の依存関係を与え、そして誘電層がほぼ平坦な誘電表面を形成するまでオゾンと先駆物質との間のオゾン/先駆物質の比を調節する。
【0015】
別の実施形態は、トレンチ表面とその上に堆積されるトレンチ充填材料とを有するトレンチを備える基板を処理する方法に向けられている。基板は、基板処理チャンバ内に配置されている。方法は、基板処理チャンバに酸素含有ガスを供給する工程と、実質的に同時にトレンチ充填材料の密度を高めると共にトレンチ表面に熱酸化物を形成するために、基板を加熱する工程を含んでいる。
【0016】
別の実施形態によれば、基板処理システムは、処理チャンバを画定するハウジングを備えている。基板ホルダが、トレンチ表面とその中に配置されるトレンチ充填材料とを有するトレンチを備える基板を保持するために処理チャンバ内に配置されている。システムは、処理ガスを処理チャンバに導入するためのガス分配システムと、基板を加熱するためのヒータと、ガス分配システムとヒータとを制御するためのコントローラとを備えている。メモリは、コントローラのオペレーションを管理するためにそこで実施されるコンピュータ読み取り可能プログラムを有するコンピュータ読み取り可能媒体を備えるコントローラに結合されている。コンピュータ読み取り可能プログラムは、酸素含有ガスを処理チャンバに導入するためにガス分配システムを制御し、そして実質的に同時に誘電層の密度を高めると共にトレンチ表面に熱酸化物を形成するように基板を加熱するべくヒータを制御するための一連のインストラクションを備えている。
【0017】
さらに、別の実施形態によれば、基板にトレンチ絶縁構造体を形成するための方法は、基板の上に、またそれに接触してCVD反射防止コーティング(CVD ARC)を形成する工程を含んでいる。フォトレジストが、CVD反射防止コーティングの上に形成される。フォトレジストの一部分は、トレンチが形成される位置を画定するために露光される。フォトレジストが、その位置で除去される。方法は、さらに、その位置にトレンチを形成するために、CVD反射防止コーティングを通っておよび基板の深さを通ってその位置でエッチングする工程を含んでいる。
【0018】
本発明の目的および利点をさらに理解するために、添付の図面に関連して行われる次の詳細な説明を参照されたい。
【0019】
【発明の実施の形態】
I.浅いトレンチ集積化の誘電層の自己平坦化堆積
本発明の特定の実施形態は、例示としてSTI集積化を使用して図示されている。本発明の様々な実施形態の利点は、図1aから図1hに図示されるような従来技術の方法と比べると容易に分かる。特に、本発明は、誘電層の品質を損なうことなく、誘電トレンチ充填層の自己平坦化堆積を提供することによってより効率のよい浅いトレンチ絶縁集積化を提供する。本発明の範囲が、必ずしもSTI集積化に限られないことが理解される。
【0020】
A.トレンチの形成
図2aおよび図2bは、一般にシリコンから製造される基板にトレンチを形成する別の方法を図示している。図2aを参照すると、第1のステップ210は、シリコン基板に直接CVD反射防止コーティング(CVD ARC)を形成することである。特に、CVD ARCを使用すると、STI集積化のために一般に用いられるパッド酸化物および窒化物層の必要性を削除する。BARCに一般に使用される有機スピンとは違って、CVD ARCは、例えば、窒化ケイ素、オキシ窒化ケイ素、炭化ケイ素などを一般に含む無機材料である。CVD ARCは、CVDシステム内の処理ガスの化学反応を増進することによって堆積される。例えば、シリコンおよび窒素および/または酸素(誘電ARCあるいはDARCとしても知られている)を含むCVD ARCは、プラズマ増強CVD(PECVD)に下記の処理ガス:シリコン含有ガス(シランあるいはTEOSなど)および窒素含有ガスおよび/または酸素含有ガスを導入することによって堆積される。一酸化二窒素(N2O)は、窒素および酸素を供給するのに使用されるが、酸素および窒素のその他のソースが、いうまでもなく、使用されることが可能である。ヘリウムあるいはアルゴンなどの不活性ガスは、一般に、処理の堆積およびフィルムの厚さを制御するために、また処理を安定させるために使用される。適切なCVD装置の例示は、Zhao et alに発行された“CVD PROCESSING CHAMBER(CVD処理チャンバ)”と題する米国特許第5、558、717号に記述されている。ガス比は、堆積されたCVD ARCの所望の光学的特徴(屈折率および吸収率)を有するフィルム組成物を得るように調節されることが可能である。LPCVDがプラズマ増強処理でなく熱処理であるためLPCVDシリコンのフィルム組成物は調整されることができないという点で、CVD ARC窒化ケイ素層は従来のLPCVD窒化ケイ素層と異なっている。CVD ARCを堆積するのに使用されることが可能ないくつかのテクニックは、発明者としてDavid Cheung、Joe Feng、Judy H. HuangおよびWai‐Fan Yauを有する“METHOD AND APPARATUS FOR DEPOSITING ANTIREFLECTIVE COATING(反射防止コーティングを堆積するための方法および装置)”と題する米国特許出願番号08/672、888;発明者としてJudy H. Huang、Wai‐Fan Yau、David CheungおよびChan‐Lon Yangを有する“METHOD AND APPARATUS FOR DEPOSITING AN ETCH STOP LAYER(エッチングストップ層を堆積するための方法および装置)”と題する米国特許出願番号08/852、787およびSPIE Proceedings(Optical/Laser Microkithography V.)第1674巻362‐375頁(1992)に発行されたTohru Ogawa、Mitunori Kimura、Yoichi TomoおよびToshiro Tsumoriによる“Novel ARC Optimization Methodology for KrF ExcimerLaser Lithography at Low K1 Factor(低K1ファクタでKrFエキシマーレーザリソグラフィのための新規なARC最適化方法論)”に記述されている。この2つの出願は、本発明の譲受人であるApplied Materials,Inc.,に譲渡されている。これらの参考資料は、参照として本願明細書に組み入れる。
【0021】
1つの実施形態において、シラン(SiH4)対N2Oの所望の比は、DARCを堆積するために選択されている。さらに、N2およびNH3は、さらに、堆積されたDARCの光学および化学特性を制御するために導入される。N2およびNH3の効果は、SiH 4 およびN 2 OがDARC特性に最小の効果を与えるかあるいは効果がない処理状況、例えば低温において、特に支配的である。処理におけるNH3およびN2の追加は、さらにフィルムの組成を変化させ、屈折率および吸収率をより自由にして、屈折率および吸収率のより細かい調節を可能にする。さらに、処理は、アルゴンより費用効果の良いヘリウムの使用と相性が良い。ヘリウムは、さらに、堆積されたDARC層の改善された応力制御をも可能にする。これにより、フィルムに、堆積後基板から剥離させる引張り過ぎが生じないようにする。
【0022】
CVD ARCは、BARC(図1b)に類似するフォトリソグラフィの間、基板から反射される光線を吸収する能力を有している。さらに、CVD ARCは、基板から反射される光線から位相が異なっている光線を反射させる反射特性を有しているので、その2つは、互いに相殺する。これは移相相殺と呼ばれている。
【0023】
CVD ARCは、さらに、上述のように、CMPのためエッチングストップとして作用する能力を有し、LPCVD窒化物層(図1g)を削除することを可能にする。さらに、LPCVD窒化物とは異なって、CVD ARCは、シリコン基板に直接行われることが可能である。パッド酸化物層(図1a)は、シリコン基板とLPCVD窒化物層との間の応力の伝達を止めるのにもはや必要とされない。その結果、単一のCVD ARC層が、パッド酸化物、LPCVD窒化物、BARCに取って代わることが可能であり、それによって、より簡単な構造体およびSTIのための基板を作成する一層効率のよい方法を結果として生じる。CVD ARCは、フォトリソグラフィと、CMPとの両方のために作用し、さらに、酸素拡散に対して良好な障壁でもある。
【0024】
図2aを参照すると、フォトレジストは、ステップ212でCVD ARCの上に形成される。特定の実施形態によれば、フォトレジストは、トレンチが形成されるトレンチ位置を画定するために露光され(ステップ214)、そして露光されたフォトレジストはそのトレンチ位置で除去される(ステップ216)。エッチングステップ218では、トレンチ位置でトレンチを形成するために、CVD ARCとシリコン基板とをエッチングすることが行われる。ステップ220で、残留フォトレジストが除去される。いくつかの特定の実施形態によれば、光学的な洗浄ステップ222が、トレンチを洗浄して、汚染物質を除去する。洗浄ステップ222は、例えば、フッ化水素酸(HF)を含有する混合物を使用して従来の湿式エッチング処置を利用することが可能である。結果として生ずる構造体が、図3aに図示され、図3aは、約1000から2000Åの厚さを有する、基板の上に形成されるCVD ARC226を有するシリコン基板224を示している。形成されたトレンチ228は、トレンチ底230とトレンチ壁232とを有している。
【0025】
図1aから図1dに図示されている従来のアプローチと比べると、図2aの方法は、トレンチ形成の間のシリコン基板へのプラズマ損傷を修復するのに従来使用されているトレンチの表面上に熱酸化物を形成する処理を削減することが可能である。発明者は、シリコントレンチの上に直接O3/TEOSなどの表面感度のよい誘電材料を堆積することが、下記により詳細に記述されるように、従来のアプローチで形成されるトレンチ充填層の品質をかなり改善することと、洗浄ステップ222が、さらに、フィルム品質を改善することを見出した。さらに、本発明者は、酸化アニーリング処理が、下記に論じられるように、トレンチ底およびトレンチ壁で熱酸化物を成長するためにトレンチ充填層の形成後使用されることが可能であることを明らかにした。
【0026】
CVD ARCは、本発明の特定の実施形態において使用されてもよい。いくつかの特定の実施形態によれば、下記に記述される次の処理ステップは、CVD ARCが使用されるかあるいは従来のBARC/窒化物/酸化物の化合物が使用されるかに拘らず、使用されてもよい。したがって、トレンチ形成後、“エッチングストップ”層という用語が、その代わりに使用され、そしてLPCVD窒化物層(パッド酸化物層と共に)あるいはCVD ARCを示すことが理解される。
【0027】
図2bに示される別の実施形態において、ステップ250から260は、図2aのステップ210から220と同一であり、フォトレジストを使用してトレンチをエッチングすることが行われる。しかし、フォトレジストが除去された後(ステップ260)、2つの追加の処理ステップが行われる。ステップ262において、熱酸化物が、図1dに示されるのと類似するトレンチ底とトレンチ壁とで形成される。トレンチ底の熱酸化物は、例えば、従来のプラズマエッチング処置を使用して、エッチングされる(ステップ264)。結果として生ずる構造体は図3bに図示され、図3bは、シリコン基板に形成されるエッチングストップ層272(CVD ARCあるいはLPCVD窒化物)およびトレンチ底276とトレンチ壁278とを有するトレンチ274を有するシリコン基板270を示している。トレンチ底276の熱酸化物を除去後、残留熱酸化物280が、トレンチ壁278に示されている。その結果、洗浄ステップ266が、有利な点として、汚染物質を除去するために、HF混合物などでの従来の湿式エッチング処理を使用して行われる。下記に論じられるように、本発明者は、この洗浄ステップ266は、堆積されるトレンチ充填層の品質を改善することを明らかにした。
【0028】
B.トレンチ充填層の堆積
図4を参照すると、トレンチのある基板(図3aの224あるいは図3bの270)が準備された後、それは、ステップ290において、処理チャンバ(図8のチャンバ15などの)に配置される。一般に、不活性ガスが、反応処理ガスが導入される前にチャンバ内の圧力を安定させるために、ステップ292においてチャンバに流される。次に、異なる組成の表面に表面感度と成長速度とが依存する先駆物質が、チャンバ(ステップ294)に導入される。適切な先駆物質の例示は、TEOSである。TEOSが液体の先駆物質であるので、適切な装置は、TEOSを蒸発して所望の流量を有する処理ガスを形成するために、バブラーアセンブリにおいてTEOSを通してヘリウムなどの分配ガスを気泡としたり、あるいはヘリウムや窒素などのキャリヤーガスを液体インジェクションシステムに導入することを指令する。オゾンガスが、TEOSと反応して基板にO3/TEOSトレンチ充填層を堆積するように、チャンバ(ステップ296)に流される。O3/TEOS層の堆積速度は、エッチングストップ層(LPCVD窒化物あるいはCVD ARCである)を含む基板の上方部分の高い表面上よりも、低いトレンチ底(シリコンである)上でより速い。低いおよび高い表面におけるO3/TEOS層の相対的な堆積速度は、O3/TEOS層がほぼ自己平坦化誘電表面を形成するまで、O3/TEOSの比を調節することによってステップ298で調節される。
【0029】
O3/TEOSの比は、O3および/またはTEOSの流量を調節することによって調節されることが可能である。例えば、予め定められたO3/TEOSの比が選択されることが可能であり、そして相対流量がステップ298においてその比を達成するように調節される。平面性を達成するために、トレンチ底からの堆積を促進するようにO3/TEOSの比を最大化することは有利な点である。約10:1より高いことが望ましく、さらに、約10:1から20:1の間がより望ましいO3/TEOSの比が、使用されることが可能である。
【0030】
トレンチ充填層の堆積が、約500℃以下の比較的低い温度で行われることが可能である。これにより、有利な点として、堆積が、アルミニウム材料を含む処理チャンバにおいて約500℃以上の高い温度で行われるとき(例えば、低いO3/TEOSの比のために誘電フィルムの密度を高めるために必要なとき)に生じる望ましくないフッ化アルミニウム形成と、フッ化アルミニウムを除去するのに必要な長い洗浄時間とを回避する。圧力および流量などのその他の処理パラメータは、選択されたO3/TEOSの比のため堆積処理を最適化するように調節されることが可能である。約200から700トルの範囲の圧力が、10:1から20:1のO3/TEOSの比で使用されることが好ましい。
【0031】
図5aおよび図5bは、図4の方法をそれぞれ使用して用意され、図3aおよび図3bの基板224、270にそれぞれ堆積される自己平坦化トレンチ充填誘電層300、302を図示している。下記により詳細に論じられるように、本方法は、トレンチ充填層の自己平坦化堆積を設けるだけでなく、高品質のこれらの層を確実にする。
【0032】
C.トレンチ充填層の処理
図6を参照すると、ステップ310、312は、トレンチが、堆積されたトレンチ充填層で充填された後、トレンチ表面で熱酸化物を成長するのに使用されることが可能な酸化アニーリング処理を示している。処理が、ここに記述されるSTI集積化以上の汎用適応性を有すると同時に、従来の熱酸化物成長(図1d)が、高品質トレンチ充填層(例えば、O3/TEOS)の形成と、図2aの方法におけるグローバル平坦化とを確実にするために削除されるので、それは、この実験例における特別の利点を有している。この次の酸化アニーリングは、熱酸化物をトレンチ表面で成長させるだけでなく、実質的に同時にトレンチ充填層の密度を高め、さらに、その品質を改善する。密集した層は、特に、絶縁のために有利である。酸化アニーリングは、ステップ310において、基板を酸素含有ガス(分子酸素、蒸気、酸素を有するあらゆる先駆物質など)に当てることによって、またステップ312において、基板を適切な温度(例えば、約800℃以上)に加熱することによって行われる。図5aの基板224が、酸化アニーリングを施されるとき、熱酸化物308が、図7に図示されるようにトレンチ228の表面に沿って成長される。酸化アニーリングは、表面感度のよい堆積が、堆積されるようなトレンチ(シリコン)においてよりも活性領域(LPCUD窒化物あるいはCVD ARC)においてより多孔性であるので、CMP処理を改善するために、CMP後行われることが望ましい。フィルム密度におけるこの差は、CMP量がトレンチ上より活性領域上でより高いので、CMPのために利点をもたらすことが可能である。
【0033】
最終ステップ314は、一般にCMPによって、選択的にトレンチ充填材料を除去して、平坦化する。このステップは、LPCVD窒化物あるいはCVD ARCであることが可能なエッチングストップ層上のトレンチ充填材料を除去する。誘電プロフィルがほぼ平坦であるので、リバースマスクおよびエッチング処置は、必要でなく、またCMPステップは、誘電プロフィルが図1fに示されるように段になっている場合より、迅速に完了されることが可能である。これは、さらに、処理時間を減少し、スループット(処理量)を増大する。
【0034】
II.例示的なCVDシステム
本発明の方法が実施される1つの適切なCVD装置は、図8に示され、図8は、チャンバ壁15aとチャンバ覆い部アセンブリ15bを備える真空チャンバまたは処理チャンバ15を有するCVDシステム10の縦断面図である。チャンバ壁15aおよびチャンバ覆い部アセンブリ15bは、図9および図10の分解斜視図に示されている。
【0035】
CVDシステム10は、処理チャンバ内の中心に置かれている加熱ペデスタル12の上に載っている基板(図示せず)に処理ガスを分配するガス分配マニホルド11を備えている。処理中、基板(例えば、半導体ウエハ)は、ペデスタル12の平坦な(あるいはわずかに凸形)表面12aに配置されている。台は、低ローディング/オフローディング位置と、マニホルドに近接する上方処理位置(図示せず)との間で制御可能に移動されることが可能である。センターボード(図示せず)は、ウエハの位置の情報を供給するセンサを備えている。
【0036】
堆積およびキャリヤーガスは、従来の平坦で円形のガス分配部材あるいは面板13aの穿孔した穴13b(図10)を通ってチャンバ15に導入される。特に、分配処理ガスは、入口マニホルド11(図8に矢印40で示されている)を通り、従来の穴のあるブロッカープレート42を通り、次にガス分配面板13aの穴13bを通ってチャンバに流れる。
【0037】
マニホルドに到達する前に、堆積およびキャリヤーガスは、ガスソース7からガス供給ライン8(図8)を通って混合システム9に投入され、混合システム9にてそれらが混ぜ合わされてからマニホルド11に送られる。一般に、各処理ガスの供給ラインは、(i)自動的に、あるいは手動で、チャンバへの処理ガスの流れをシャットオフするのに使用されることが可能であるいくつかの安全シャットオフバルブ(図示せず)と、(ii)供給ラインを通るガスの流量を測定する質量流量コントローラ(図示せず)とを備えている。有毒なガス(例えば、オゾンあるいはハロゲン化ガス)が処理に使用されるとき、いくつかの安全シャットオフバルブが、従来の構成の各ガス供給ラインに配置されている。
【0038】
CVDシステム10において行われる堆積処理は、熱処理か、あるいはプラズマ増強処理かのいずれかである。プラズマ増強処理において、RF電源装置44は、面板13aと台との間の円筒形領域内にプラズマを形成するために、処理ガス混合物を励起するように、ガス分配面板13aと台との間に電力を印加する。(この領域は、ここでは“反応領域”と呼ばれる。)プラズマの構成物質は、ペデスタル12に支持される半導体ウエハの表面に所望のフィルムを堆積するように反応する。RF電源装置44は、真空チャンバ15に導入される反応種の分解を向上するために、一般に13.56MHzの高RF周波数(RFI)で、および360KHzの低RF周波数で電力を供給する混合周波数RF電源装置である。熱処理において、RF電源装置44は使用されず、処理ガス混合物は、ペデスタル12に支持される半導体ウエハの表面に所望のフィルムを堆積するように熱により反応し、ペデスタルは、反応のため熱エネルギーを供給するために抵抗により加熱される。
【0039】
プラズマ増強堆積処理中、プラズマは、排出通路23とシャットオフバルブ24とを囲むチャンバ本体15aの壁を含む処理チャンバ全体を加熱する。プラズマが出ていない時または熱堆積処理中には、熱い液体が、高められた温度にチャンバを維持するために、処理チャンバの壁15aを通って循環される。チャンバ壁15aを加熱するのに使用される流体は、典型的な流体タイプ、すなわち、水性ベースのエチレングリコールあるいは油性ベースの熱転移流体を含んでいる。この加熱は、有利な点として、望ましくない反応物生成物の凝縮を減少または排除し、またそれらが冷たい真空通路の壁に凝縮されて、ガスが流れない期間の間、処理チャンバに逆移動する場合、処理ガスおよび処理を汚染するその他の汚染物質の揮発性生成物の排除を改善する。
【0040】
反応生成物を含有する層に堆積されないガス混合物の残りは、真空ポンプ(図示せず)によってチャンバから排出される。特に、ガスは、反応領域を囲む環状のスロット形状のオリフィス16を通って環状の排出プレナム17に排出される。環状スロット16およびプレナム17は、チャンバの円筒形側壁15a(壁の上方誘電ライニング19を含む)の上部と円形チャンバ覆い部20の下部との間のギャップによって画定される。スロットオリフィス16とプレナム17との360°の円形対称および均一性が、ウエハに均質のフィルムを堆積するように、ウエハ上に処理ガスの均一流量を達成するために重要である。
【0041】
排出プレナム17から、ガスは、排出プレナム17の横方向延在部分21の下を流れ、ビューポート(図示せず)を通りすぎて、下流側延在ガス通路23を通り、真空シャットオフバルブ24(その本体が下方チャンバ壁15aと一体化している)を通りすぎて、フォアライン(図示せず)を通って外部真空ポンプ(図示せず)に接続する排出ポートに25に流れる。
【0042】
ペデスタル12のウエハ支持円盤(アルミニウム、セラミック、あるいはそれらの組み合わせであることが好ましい)は、平行同軸円の形状で2つのフル回転をするように構成される埋め込み単一ループ埋め込み加熱器要素を使用して抵抗により加熱される。加熱要素のアウタ部分は、支持円盤の周辺に隣接して延び、インナ部分は、より小さな半径を有する同軸円の経路に沿って延びる。加熱要素へのワイヤはペデスタル12のステムを通り抜ける。
【0043】
一般に、チャンバライニング、ガス入口マニホルド面板、様々な反応器ハードウェアのいくらかあるいはすべてが、アルミニウム、アノード処理されたアルミニウム(アルマイト)、セラミックなどの材料で製造される。このようなCVD装置は、Zhao et alに発行された“CVD Processing Chamber(CVD処理チャンバ)”と題する米国特許第5、558、717号に記述されている。米国特許第5、558、717号は、本発明の譲受人であるApplied Materials, Inc.,に譲渡され、この結果参照として本願明細書に組み入れる。
【0044】
リフタメカニズムおよびモータ(図示せず)は、ウエハがチャンバ10の側面の挿入/除去開口26を通してロボットブレード(図示せず)によって、チャンバの本体の方に、またその外に移動されるとき、加熱台アセンブリ12およびそのウエハリフトピン12bを上下する。モータが、処理位置14と下方ウエハ装荷位置との間でペデスタル12を上下する。モータ、供給ライン8に接続されたバルブまたは流量コントローラ、ガス分配システム、スロットルバルブ、RF電源装置44、チャンバおよび基板加熱システムは、コントロールライン36の上のシステムコントローラ34(図8)によってすべて制御され、そのいくつかだけが示されている。コントローラ34は、スロットルバルブおよびコントローラ34の制御のもとに適切なモータによって移動されるサスセプタなどの可動メカニカルアセンブリの位置を決定するために、光学的センサからのフィードバックに依存する。
【0045】
好ましい実施形態において、システムコントローラは、ハードディスクドライブ(メモリ38)と、フロッピーディスクドライブと、プロセッサ37とを備えている。プロセッサは、シングルボードコンピュータ(SBC)と、アナログおよびデジタルインプット/アウトプットボードと、インターフェースボードと、ステッピングモータコントローラボードとを含んでいる。CVDシステム10の様々な部分は、ボード、カードケージ、コネクタの大きさおよびタイプなどを定義するVersa Modular European(VME)標準に従っている。VME標準は、さらに、16ビットデータバスと24ビットアドレスバスとを有するバス構造を定義する。
【0046】
システムコントローラ34は、CVDマシンの動きすべてを制御する。システムコントローラは、メモリ38などのコンピュータ読み取り可能媒体に格納されるコンピュータプログラムであるシステムコントロールソフトウェアを実行する。メモリ38は、ハードディスクドライブであることが好ましいが、メモリ38は、その他の種類のメモリであってもよい。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電源電力レベル、サスセプタ位置、特定処理のその他のパラメータなどを命令する一連のインストラクションを含んでいる。例えば、フロッピーディスク、あるいは互いに適切なドライブを含むその他のメモリデバイスに格納されるその他のコンピュータプログラムが、コントローラ34を作動するのに使用されてもよい。
【0047】
図11は1つの以上のチャンバを備える基板処理システムのシステムモニタおよびCVDシステム10の簡易図であり、ユーザとコントローラ34との間のインターフェースは図11に示されるCRTモニタ50aおよびライトペン50bを媒体としている。好ましい実施形態において、2つのモニタ50aが使用され、1つは、オペレータのためのクリーンルームの壁に嵌め込まれ、もう1つは、サービスの技術者のための壁の後ろにある。モニタ50aは同時に同一の情報を表示するが、1本のライトペン50bだけが使用可能とされる。ライトペン50bのチップにあるライトセンサは、CRTディスプレイによって放射される光を検知する。特定のスクリーンあるいはファンクションを選択するために、オペレータは、表示画面の指定領域に触れて、ペン50bに接したボタンを押す。触れられた領域は、その強調色を変化して、あるいは新しいメニューまたはスクリーンが、表示され、ライトペンと表示画面との間のコミュニケーションを確認する。キーボート、マウス、その他のポインティングまたはコミュニケーションデバイスなどのその他のデバイスが、ユーザにコントローラ34とやり取りさせるために、ライトペン50bの代わりに、あるいはそれに加えて、使用されてもよい。
【0048】
フィルムを堆積するプロセスは、コントローラ34によって実行されるコンピュータプログラム製品を使用して実行されることが可能である。コンピュータプログラムコードは、従来のコンピュータ読み取り可能プログラミング言語:例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン、その他のものなどで書き込まれることが可能である。適切なプログラムコードは、従来のテキストエディタを使用してシングルファイルあるいはマルチファイルに入力されて、コンピュータのメモリシステムなどのコンピュータ使用可能媒体に格納されるか、あるいは組み込まれるかである。入力されたコードテキストが高級言語である場合、コードはコンパイルされ、そして結果として生ずるコンパイラコードは、次に、プレコンパイルされたWindows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するために、システムのユーザは、オブジェクトコードを呼び出し、コンピュータシステムにメモリのコードをロードさせる。CPUは、次に、プログラムで識別されたタスクを実行するために、そのコードを読み取り、そして実行する。
【0049】
図12は、特定の実施形態によるシステム制御ソフトウェア、コンピュータプログラム70の階層型制御構造の実例のブロック図である。ライトペンインターフェースを使用して、ユーザは、CRTモニタに表示されたメニューあるいはスクリーンに応じて処理セレクタサブルーチン73に処理セットナンバーおよび処理チャンバナンバーを入力する。処理セットは、指定された処理を実行するのに必要である処理パラメータの予め定められたセットであり予め定められたセットナンバーによって識別される。処理セレクタサブルーチン73は、(i)所望の処理チャンバと、(ii)所望の処理を実行するための処理チャンバを作動するのに必要な処理パラメータの所望のセットを識別する。特定の処理を実行するための処理パラメータは、例えば、処理ガス組成(物)および流量、温度、圧力、RF電源電力および低周波数RF周波数などのプラズマコンディション、冷却ガス圧力、チャンバ壁温度などの処理コンディションに関する。これらのパラメータは、レシピの形式でユーザに提供され、ライトペン/CRTモニタインターフェースを使用して入力される。
【0050】
処理をモニタする信号は、システムコントローラのアナログおよびデジタルインプットボードによって供給され、処理を制御する信号は、CVDシステム10のアナログおよびデジタルアウトプットボードに出力される。
【0051】
処理シーケンササブルーチン75は、処理セレクタサブルーチン73から識別された処理チャンバおよび処理パラメータのセットを受け入れるための、また様々な処理チャンバのオペレーションを制御するためのプログラムコードを備えている。複数ユーザは、処理セットナンバーおよび処理チャンバナンバーを入力することが可能であり、あるいは一人のユーザは、処理セットナンバーおよび処理チャンバナンバーを入力することが可能であり、それで、シーケンササブルーチン75は、所望のシーケンスで選択された処理をスケジュールするように作動する。シーケンササブルーチン75は、(i)チャンバが使用されているかどうかを決定するため処理プログラムコードのオペレーションをモニタするステップと、(ii)使用されているチャンバにおいて実行される処理を決定するステップと、(iii)処理チャンバのアベイラビリティおよび実行される処理のタイプに基づいて所望の処理を実行するステップとを実行するためにプログラムコードを含むことが好ましい。処理チャンバをモニタする従来の方法、ポーリングなどが使用されることが可能である。いずれの処理が実行されるべきかをスケジュールするとき、シーケンササブルーチン75は、選択された処理のための所望の処理コンディションと比較した使用中の処理チャンバの現在のコンディション、要求を入力した各特定のユーザの“年齢”、システムプログラマがスケジューリングプライオリティを決定するために含むことを望むその他の関連ファクタなどを考慮する。
【0052】
シーケンササブルーチン75が、次に実行される処理チャンバおよび処理セットの組み合わせを決定すると、シーケンササブルーチン75は、シーケンササブルーチン75によって決定された処理セットにより処理チャンバ15のマルチプル処理タスク制御するチャンバマネージャサブルーチン77aから77cに特定の処理セットパラメータを通すことによって処理セットの実行を開始する。例えば、チャンバマネージャサブルーチン77aは、処理チャンバ15のスパッタリングおよびCVD処理オペレーションを制御するためのプログラムコードを備えている。チャンバマネージャサブルーチン77は、さらに、選択された処理セットを実行するのに必要なチャンバコンポーネントのオペレーションを制御する様々なチャンバコンポーネントサブルーチンの実行を制御する。チャンバコンポーネントサブルーチンの例示は、基板位置決めサブルーチン80、処理ガスサブルーチン83、圧力制御サブルーチン85、加熱器制御サブルーチン87、プラズマ制御サブルーチン90などである。処理チャンバ15において実行される処理により、その他のチャンバ制御サブルーチンが含まれることが可能であることは当業者には明らかである。オペレーションにおいて、チャンバマネージャサブルーチン77aは、実行される特定の処理に応じて、処理コンポーネントサブルーチンを選択的にスケジュールするか、あるいは呼び出す。チャンバマネージャサブルーチン77aは、処理コンポーネントサブルーチンをスケジュールし、ほとんど同じく、シーケンササブルーチン75は、次に実行される処理チャンバ15と処理セットをスケジュールする。一般的に、チャンバマネージャサブルーチン77aは、様々なチャンバコンパートメントをモニタするステップと、実行される処理セットのための処理パラメータに基づいて作動されるのに必要なコンポーネントを決定するステップと、モニタするステップと決定するステップとに応じてチャンバコンポーネントサブルーチンを実行させるステップとを含んでいる。
【0053】
特定のチャンバコンポーネントサブルーチンのオペレーションは、ここでは図12を参照して記述されている。基板位置決めサブルーチン80は、ペデスタル12に基板を載せるのに、また任意に、基板とガス分配マニホルド11との間のスペーシングを制御するために、チャンバ15における所望の高さに基板を上げるのに使用されるチャンバコンポーネントを制御するプログラムコードを備えている。基板が処理チャンバ15に載せられるとき、ペデスタル12は、基板を受けるために下げられ、その後サスセプタ12は、チャンバにおける所望の高さに上げられ、CVD処理の間、ガス分配マニホルドからの第1の距離または間隔に基板を維持する。オペレーションにおいて、基板位置決めサブルーチン80は、チャンバマネージャサブルーチン77aから送られる支持の高さに対して、処理セットパラメータに応じてペデスタル12の動きを制御する。
【0054】
処理ガス制御サブルーチン83は、処理ガス組成(物)および流量を制御するためのプログラムコードを有している。処理ガス制御サブルーチン83は、所望のガス流量を得るために、安全シャットオフバルブの開/閉位置を制御し、さらに、質量流量コントローラを増加/減少させる。処理ガス制御サブルーチン83は、すべてチャンバコンポーネントサブルーチンであるように、チャンバマネージャサブルーチン77aによって呼び出され、そしてチャンバマネージャサブルーチンから所望のガス流量に関連する処理パラメータを受ける。一般に、処理ガス制御サブルーチン83は、ガス供給ラインを開口することによって、そして繰り返して、(i)必要な大量流量コントローラを読み取り、(ii)その読み取りをチャンバマネージャサブルーチン77aから受けた所望の流量と比べ、そして(iii)必要に応じてガス供給ラインの流量を調節することによって作動する。さらに、処理ガス制御サブルーチン83は、安全でない割合のためにガス流量をモニタするステップと、安全でないコンディションが検知されるとき、安全シャットオフバルブを作動するステップとを含んでいる。
【0055】
いくつかの処理において、ヘリウムあるいはアルゴンなどの不活性ガスが、反応処理ガスが導入される前に、チャンバの圧力を安定するために、チャンバ15に流される。これらの処理のために、処理ガス制御サブルーチン83は、チャンバの圧力を安定するのに必要な時間の間、チャンバ15に不活性ガスを流すステップを含むようにプログラムされ、そして次に、上述のステップが実行される。そのうえ、処理ガス制御サブルーチン83は、処理ガスがTEOSなどの液体先駆物質から蒸発されるとき、ヘリウムなどの分配ガスをバブラーアセンブリの液体先駆物質を介してバブリングするステップか、あるいはヘリウムあるいは窒素などのキャリヤーガスを液体インジェクションシステムに導入するステップかを含むように書き込まれている。バブラーが、このタイプの処理に使用されるとき、処理ガス制御サブルーチン83は、所望の処理ガス流量を得るために、分配ガスの流量、バブラーの圧力、バブラーの温度を調節する。上述のように、所望の処理ガス流量は、処理パラメータ通りに処理ガス制御サブルーチン83に移動される。さらに、処理ガス制御サブルーチン83は、所定の処理ガス流量のために必要な値を含む格納テーブルをアクセスすることによって、所望のガス流量のために必要な分配ガス流量、バブラー圧力、バブラー温度を得るステップを含んでいる。必要な値が得られると、分配ガス流量、バブラー圧力およびバブラー温度がモニタされて、必要な値と比べ、そしてそれに従って調節される。
【0056】
圧力制御サブルーチン85は、チャンバの排出システムのスロットルバルブの開口のサイズを調節することによって、チャンバ15の圧力を制御するためのプログラムコードを含んでいる。スロットルバルブの開口のサイズは、総処理ガス流量、処理チャンバのサイズおよび排出システムのための排出セットポイント圧力に対して所望のレベルにチャンバ圧力を制御するように設定される。圧力制御サブルーチン85が呼び出されるとき、所望の、あるいは目標の圧力レベルは、パラメータとして、チャンバマネージャサブルーチン77aから受けられる。圧力制御サブルーチン85は、チャンバに接続される1つ以上の従来の圧力マノメータを読み取ることによって、チャンバ15の圧力を測定して、1つあるいは複数の測定値を目標値と比べて、目標圧力に対応する格納された圧力テーブルからPID(比例した、積分の、微分の)値を得て、そして圧力テーブルから得られたPID値によりスロットルバルブを調節するように作動する。別の方法として、圧力制御サブルーチン85は、チャンバ15を所望の圧力に調節するために、スロットルバルブを特定の開口サイズに開いたり、あるいは閉じたりするように書き込まれることが可能である。
【0057】
加熱器制御サブルーチン87は、基板20を加熱するのに使用される加熱ユニットへの電流を制御するためのプログラムコードを備えている。加熱器制御サブルーチン87は、さらに、チャンバマネージャサブルーチン77aおよび目標の、あるいはセットポイントの温度パラメータによって呼び出される。加熱器制御サブルーチン87は、ペデスタル12に位置される熱電対の電圧出力を測定することによって温度を測定し、測定された温度をセットポイント温度と比較し、そしてセットポイント温度を得るために、加熱ユニットに印加される電流を増加したり、あるいは減少したりする。温度は、格納された変換表の対応する温度を検索することによって、あるいは第4次多項式を使用して温度を計算することによって測定された電圧から得られる。埋め込みループがペデスタル12を加熱するのに使用されるとき、加熱器制御サブルーチン87は、ループに印加される電流の増加/減少を徐々に制御する。そのうえ、内蔵フェイルセーフモードが、処理安全コンプライアンスを検知するように含まれることが可能であり、そして処理チャンバ15が適切にセットアップされない場合、加熱ユニットのオペレーションを止めることが可能である。
【0058】
プラズマ制御サブルーチン90は、チャンバ15の処理電極に印加される低および高周波数RF電源電力レベルを設定するための、また使用される低周波数RF周波数のためのプログラムコードを備えている。以前に記述されたチャンバコンポーネントサブルーチンと同様に、プラズマ制御サブルーチン90は、チャンバマネージャサブルーチン77aによって呼び出される。
【0059】
上記の反応器の説明は、主に、例示のためであり、エレクトロンサイクロトロンレゾナンス(ECR)プラズマCVDデバイス、誘導結合RF高密度プラズマCVDデバイス、またはその種の他のものなどのその他のプラズマCVD装置が使用されてもよい。そのうえ、台デザイン、加熱器デザイン、RF電源電力周波数、RF電源電力接続の位置、その他の変形など上記のシステムの変形が可能である。例えば、ウエハは、サスセプタによって支持されることが可能であり、また石英水銀灯によって加熱されることが可能である。層および本発明のこのような層を形成するための方法は、特定の装置あるいは特定のプラズマ励起方法に制約されるものでない。
【0060】
III.実験およびテスト結果
下記の実験に基づく実験例は、上述の異なる方法が堆積に先だって基板にトレンチを作成するために使用されるとき、誘電フィルム品質において本発明の利点を図示するのに使用されている。実験例は、CVDチャンバ、特に、Applied Materials, Inc.,Santa Clara,Californiaによって製造され、販売されている“D×Z”あるいは“C×Z”チャンバ(200‐mm基板のサイズであり、約7リットルの容量を有する)を使用して行われた。LPCVD窒化ケイ素エッチングストップ層と0.25μmまでの異なる幅を有するトレンチとを有するシリコン基板が使用された。堆積は大気中より低い温度のCVD(SACVD)によって行われた。図4に略図が書かれている誘電堆積処置のための典型的なパラメータは、約200から700トルの圧力、約300から500℃の加熱器温度、約5,000から10,000sccm(標準立方センチメートル/分)の不活性ガス(HeあるいはN2)流量、約4,000から8,000sccmのオゾン流量、約200から500mgmのTEOS流量(ミリグラム/分)、および約12から20wt.%(重量%)のオゾン濃度を含んでいる。
【0061】
図13aから図16bに示されている実験例において、同一の処理パラメータが使用されている:、約450トルの圧力、約400℃の加熱器温度、約3000sccm(標準立方センチメートル/分)のヘリウムの流量、約5000sccmのオゾン流量、約320mgmのTEOS流量、および約12.5wt.%のオゾン濃度。これは、O3/TEOSの比が13:1となっている。観察された堆積割合は、シリコンで約3000Å/分、LPCVD窒化ケイ素で約1000Å/分、そして熱酸化物で約1050Å/分である。トレンチの深さは、約0.55μmであり、また2つのトレンチ幅、0.25μm(図13aから図16a)および1μm(図13bから図16b)が使用された。
【0062】
図13aおよび図13bは、LPCVD窒化ケイ素エッチングストップ層354を有するトレンチのある基板352に形成されるO3/TEOS層のSEM断面図を示している。トレンチは、トレンチ底およびトレンチ壁に熱酸化物を成長して、トレンチ底から熱酸化物を離してエッチングする従来の方法を使用して作成される。トレンチ充填層350は、平面性に近づく表面プロフィルを有しているが、トレンチ表面に沿って、特に、トレンチ壁に分離の形状の欠陥が観察される。望ましくない小孔をしめす気泡が、隙間のあるフィールドのトレンチのためのトレンチ壁近くのトレンチ充填層350に観察される(図13a)。これらの欠陥は、トレンチ底でのシリコン上の誘電フィルム成長とトレンチ壁での熱酸化物との間の固有応力の差の結果であると思われる。欠陥は、望ましくなく、特に絶縁のために問題がある。
【0063】
図14aおよび図14bは、LPCVD窒化ケイ素エッチングストップ層374が、CVD ARCの代わりに使用されていることを除いては、図2bに示されるものと類似するトレンチを作成する方法を使用してトレンチのある基板372に形成されるO3/TEOS層370のSEM断面図である。この方法によれば、トレンチは、トレンチ底から熱酸化物をエッチングして除去した後、洗浄される(ステップ266)。この実験例において、約1%のHFを含有する混合物を使用する湿式エッチングは、約10秒間行われるが、その他類似の洗浄処理も使用されることが可能である。図14aおよび図14bに示されるように、この洗浄ステップは、ほぼ平坦な表面を有する誘電フィルム370の品質を事実上ほぼ改善している。トレンチ表面に沿う分離は、図13aおよび図13bのものと比較すると、あまり明白でなく、また広まっていない。トレンチに隣接した小孔がかなり減少されている。堆積に先だってトレンチを洗浄することは、明らかに、応力効果を減少している。トレンチ充填層370の品質に対する改善から分かるように、洗浄ステップ266は、追加のステップを行う費用を上回る価値があるという利点を示している。
【0064】
図15aおよび図15bは、LPCVD窒化ケイ素エッチングストップ層384が、CVD ARCの代わりに使用されていることを除いては、図2aに示されるものと類似するトレンチを作成する方法を使用してトレンチのある基板382に形成されるO3/TEOS層380のSEM断面図である。この方法は、O3/TEOSフィルムの堆積前に熱酸化物の成長を排除し、それによって、応力効果を回避する。この実験例において、しかし、洗浄ステップ222は行われない。図15aおよび図15bに示されるように、ほぼ平坦なトレンチ充填層380の品質は、第1の2つの実験例(図13aから図15b)のものより非常によい。トレンチ表面に沿って目立つ分離が無く、また極めて少ない気泡が形成され、その大部分は、LPCVD窒化物層384近くに、あるいはその上に存在し、CMPによって実質的に除去される。良好な品質のトレンチ充填層380は、特に、トレンチ絶縁のために都合がよい。トレンチ表面で熱酸化物を成長し、さらに、トレンチ充填層380の密度を高めるために、図6および図7に関して上述される酸化アニーリング処置が使用されることが可能である。
【0065】
図16aおよび図16bは、LPCVD窒化ケイ素エッチングストップ層394が、CVD ARCの代わりに使用されていることを除いては、図2aに示されるものと類似するトレンチを作成する方法を使用してトレンチのある基板392に形成されるほぼ平坦なO3/TEOS層390のSEM断面図である。この方法は、堆積に先だってトレンチ洗浄ステップ222を含む図15aおよび図15bに示される堆積を行うのに使用されることが異なる。この実験例において、トレンチは、約10秒間約1%のHFを含有する混合物を使用する湿式エッチングによって洗浄される。図16aおよび図16bは、特に、より少ない気泡形成によって、図15aおよび図15bのものを超えるトレンチ充填層390の品質において多少の改善を示している。このように、任意の洗浄ステップ222は、フィルム品質における追加の改善が望まれるとき使用されることが可能である。酸化アニーリングが、さらに、トレンチ表面で熱酸化物を成長するのに使用されることが可能である。図16aのトレンチ充填層390は、段の高さ130を有する図1eに示されるトレンチ充填層128と比べられるように、事実上段の高さ効果を持たない優れた広範囲の平坦化を有する。
【0066】
上記の論議から分かるように、誘電材料が、トレンチ壁とトレンチ底とに形成されてからトレンチ底からエッチングして取り除かれた熱酸化物を有するトレンチに堆積されるとき、欠陥はトレンチ表面に沿ってまたトレンチに隣接して形成される。本発明のいくつかの実施形態は、誘電トレンチ充填材料の堆積に先だって、トレンチ洗浄ステップを適用することによって、欠陥の形成を減少している。好ましい実施形態において、従来の熱酸化物の成長は、実質的に欠陥を排除するために、堆積に先だって、排除される。その代わりとして、熱酸化物が、誘電トレンチ充填層の密度を高める酸化アニーリング処置を使用して堆積後にトレンチ表面に形成されることが好ましい。
【0067】
本方法は、誘電フィルムの品質を損なうことなく広範囲の平坦化を改善し、また費用を削減して、スループット(処理量)を増大するのに使用されることが可能である。酸化アニーリングは、自己平坦化された高品質トレンチ充填層を有するトレンチを充填後、トレンチ表面に熱酸化物を成長することを可能にさせる。トレンチを形成するべくフォトリソグラフィおよびCMPのためにCVD ARCの使用が、より効率がよく、またより簡単な構造を結果として生ずる。さらに、別の実施形態は、例えば、O3/TEOSの比、圧力、誘電物堆積のためのその他のパラメータを変えることによって、得ることが可能である。本発明の範囲は、その結果、上記の説明を参照とすることなく決定されるが、その代わりに、相当するものの全範囲に加えて、添付の請求の範囲を参照にして決定されるべきである。
【図面の簡単な説明】
【図1a】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1b】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1c】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1d】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1e】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1f】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1g】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1h】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図2a】
本発明によるトレンチを形成する方法の別の実施形態のフロー系統図である。
【図2b】
本発明によるトレンチを形成する方法の別の実施形態のフロー系統図である。
【図3a】
本発明によるCVD反射防止コーティングの使用を具体的に説明するトレンチのある基板の別の実施形態の縦断面図である。
【図3b】
本発明によるCVD反射防止コーティングの使用を具体的に説明するトレンチのある基板の別の実施形態の縦断面図である。
【図4】
本発明により形成される自己平坦化トレンチ充填層を形成する方法の実施形態のフロー系統図である。
【図5a】
本発明による自己平坦化トレンチ充填層を有する基板の別の実施形態の縦断面図である。
【図5b】
本発明による自己平坦化トレンチ充填層を有する基板の別の実施形態の縦断面図である。
【図6】
本発明によるトレンチ充填層を処理する方法の実施形態のフロー系統図である。
【図7】
本発明による酸化アニーリングで処理された図5aの基板の縦断面図である。
【図8】
本発明による化学気相堆積装置の1つの実施形態の縦断面図である。
【図9】
図8に記述されるCVDチャンバの部分の分解斜視図である。
【図10】
図8に記述されるCVDチャンバの部分の分解斜視図である。
【図11】
1つ以上のチャンバを含むマルチチャンバシステムのシステムモニタおよびCVDシステムの簡易図である。
【図12】
特定の実施形態によるシステム制御ソフトウェアコンピュータプログラムの階層制御構造の実例となるブロック図である。
【図13a】
従来技術の堆積方法を使用して形成されるトレンチ充填層のSEM(走査電子顕微鏡写真)断面図である。
【図13b】
従来技術の堆積方法を使用して形成されるトレンチ充填層のSEM(走査電子顕微鏡写真)断面図である。
【図14a】
トレンチ壁に熱酸化物を有するエッチングされたトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
【図14b】
トレンチ壁に熱酸化物を有するエッチングされたトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
【図15a】
トレンチ表面に熱酸化物なく形成されるトレンチ充填層のSEM断面図である。
【図15b】
トレンチ表面に熱酸化物なく形成されるトレンチ充填層のSEM断面図である。
【図16a】
トレンチ表面に熱酸化物を有さないトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
【図16b】
トレンチ表面に熱酸化物を有さないトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
【発明の名称】 浅いトレンチ絶縁のための自己平坦化誘電層を形成する方法
【特許請求の範囲】
【請求項1】
シリコン基板の上にトレンチ絶縁構造体を形成する方法であって、
化学気相堆積プロセスを使用して、前記シリコン基板の上に直接、無機反射防止コーティングを堆積する工程と、
リソグラフィプロセスを使用して前記無機反射防止コーティングおよびシリコン基板にパターニングして、それぞれがトレンチ底とトレンチ壁とを有する複数のトレンチを形成する工程であって、シリコンが前記複数のトレンチの底で露出されると共に、無機反射防止コーティングがトレンチ間の持ち上がった表面で露出される工程と、
異なる組成の表面に表面感度と成長速度とが依存する先駆物質を前記基板処理チャンバに導入し、前記トレンチ間の持ち上がった表面に露出された無機反射防止コーティング部分の上よりも速い速度で前記トレンチ底に露出する前記シリコンの上に前記誘電層が堆積する結果となるオゾン/先駆物質の比率で前記基板処理チャンバにオゾンを流して前記先駆物質と反応させて、前記基板の上で且つ前記複数のトレンチの中に誘電層を堆積させることにより、前記誘電層が平坦な誘電表面を形成するまでトレンチ充填誘電層を堆積する工程と、
を含む方法。
【請求項2】
前記パターニングする工程の後で、前記導入する工程と、流す工程と、調節する工程とに先だって、フッ化水素酸を用いた湿式エッチング処置を利用して前記トレンチを洗浄する工程をさらに含む請求項1に記載の方法。
【請求項3】
前記複数のトレンチ内にトレンチ充填誘電層を堆積する工程の後に、前記基板処理チャンバの中に酸素含有ガスを流し、前記基板を少なくとも800℃の温度に加熱して、同時に前記誘電層の密度を高くすると共に、トレンチ底とトレンチ壁の夫々に熱酸化物を形成する工程をさらに含む請求項1または2に記載の方法。
【請求項4】
異なる組成の表面に表面感度と成長速度とが依存する前記先駆物質は、TEOS(テトラエチルオルトシリケート)である、請求項1〜3のいずれか一項に記載の方法。
【請求項5】
オゾン/TEOSの比率は、10:1と20:1の間である、請求項4に記載の方法。
【請求項6】
トレンチ充填誘電層を堆積する工程は、前記基板処理チャンバ内に200から700Torrの間の圧力と、300から500℃の間の温度を生成する工程を含む、請求項1〜5のいずれか一項に記載の方法。
【請求項7】
前記無機反射防止コーティング層は1000から2000Åの間の厚さで堆積される、請求項1〜6のいずれか一項に記載の方法。
【請求項8】
無機CVD反射防止コーティング層は、オキシ窒化ケイ素または窒化ケイ素のいずれかである、請求項1〜7のいずれか一項に記載の方法。
【請求項9】
無機CVD反射防止コーティング層を堆積する工程は、シラン、一酸化二窒素、窒素分子、アンモニアおよびヘリウムを前記チャンバに導入する工程を含む、請求項1〜8のいずれか一項に記載の方法。
【請求項10】
無機CVD反射防止コーティング層は、炭化ケイ素である、請求項1〜7のいずれか一項に記載の方法。
【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、集積回路の製造に関する。特に、本発明は、浅いトレンチ絶縁のため高品質誘電層の自己平坦化堆積を提供する方法に関する。
【0002】
【従来の技術】
半導体デバイス形状は、サイズが縮小し続けており、製造されたウエハ上の1つのユニットエリアに一層多くのデバイスを設けている。これらのデバイスは、一般に、先ず、それらがウエハに作り込まれる時に互いに絶縁され、次いで、所望の特定の回路構成を生成するために相互に連結される。現今、いくつかのデバイスは、0.18μm程の小さな特徴の大きさで製造されている。例えば、導電性ラインあるいはパターン形成されたウエハなどのデバイス間のスペーシングは、同程度の大きさの凹部あるいはギャップを残して0.18μmだけ分離されている。二酸化ケイ素(SiO2)などの誘電材料の不導電層は、一般に、前述のギャップを充填するためにその特徴に堆積され、そして隣接した層の集積回路のその他の特徴から、あるいは同一の層の隣接した特徴からその特徴を絶縁する。
【0003】
誘電層は、デバイスを絶縁するための浅いトレンチ絶縁(STI)誘電物や、金属配線層間にあるいは金属化処理に先だって形成される層間誘電物(ILD)を含む様々な用途に使用されている。いくつかの場合、STIは、約0.5μm以下程の小さな特徴の大きさを有するデバイスを絶縁するために使用されている。誘電層の平坦化は、半導体デバイスの記憶密度が増え続けると、ますます重要になってくる。
【0004】
平坦化の問題は、図1aから図1gに図示されるように浅いトレンチ絶縁(通常、STI集積化と呼ばれる)を形成するための一般的処理の例示を使用して記述されている。図1aにおいて、シリコン基板110は、その上にパッド酸化物層112および窒化ケイ素などの窒化物層114を堆積している。窒化物層114は、低圧化学気相堆積(LPCVD)によって一般に堆積され、そして化学機械研磨(CMP)のためのエッチングストップとして作用する。図1bを参照すると、ボトム反射防止コーティング(BARC)116は、フォトリソグラフィ間に基板110から反射される光を吸収するため窒化物層114の上に形成される。一般に、BARC116である有機スピン‐オンガラス(SOG)は、深紫外(DUV)線および遠紫外(FUV)線を含む約248nm以下の波長を有する光のために一般的に必要とされている。フォトレジスト118は、BARC116の上に形成され、トレンチの位置を画定するマスク(図示せず)を使用して露光される。露光されたフォトレジストは、次に、トレンチを形成するために開口領域を残すように除去される。一般に、プラズマエッチングは、図1cに示されるように、トレンチ120を形成するために窒化物114、パッド酸化物112およびシリコン基板110を通って開口領域をエッチングするように行われる。残留フォトレジスト118およびBARC116を除去後、一般的には、熱酸化物122が、図1dに図示されるように、シリコン基板110へのプラズマ損傷を修復するために窒化物/パッド酸化物上とトレンチ120の表面(トレンチの底124およびトレンチの壁126)上とに成長されている。
【0005】
誘電層128は、次に、トレンチ120を充填し、そして窒化物層114を覆うために熱酸化物122の上に堆積される。この誘電層128は、トレンチ酸化物充填層と呼ばれることが多い。典型的な誘電層は、二酸化ケイ素、ケイ酸塩ガラスなどの酸化物材料から形成される。図1eに示されるように、堆積された誘電層128の表面プロフィルは、段があり、トレンチのある基板110の形状にほぼ似ている。表面プロフィルは、幅広いトレンチを有する隙間のあるフィールドにおいてよりも近接したスペースの狭いトレンチを有する密集したフィールドにおいてより一定である。図1eに見られるように、段の高さ130は、密集したフィールド134と隙間のあるフィールド132との間の誘電物プロフィルに形成される。段の高さ130のため、誘電層128を平坦化するために、誘電層堆積工程の直後にCMPを適用することはできない。何故なら、さもなければ、図1hに見られるように、隙間のあるフィールド132において皿状に凹む効果が、CMPに伴う結果として生ずるからである。その代わりに、リバースマスクおよびエッチング処置が、図1fに図示されるように、余分の酸化物をエッチングしてより平坦な表面プロフィルを得るために使用されている。この処置は、一般に、フォトレジスト堆積、リバースマスキング、硬化、エッチングされたフォトレジストの除去、エッチングバック、残留フォトレジストの除去などの工程を含んでいる。CMP処置は、次に、図1gに示されるように、充填された基板110の表面を全体にわたり平坦化するために、図1fの構造体に適用される。段の高さの影響により必要とされるリバースマスクおよびエッチング処置は、平坦化処置に対してかなりの費用および複雑さ(例えば、関連する追加されるリソグラフィ工程により)を追加する。
【0006】
上記に詳細に論じられたことから、追加のフォトリソグラフィ工程(費用のかかる装置を必要とする)を含むマルチ工程が、STIを設けるのに必要とされることが分かる。しかしながら、工程(および関連した装置、特に、費用のかかるレンズ、光ソースなどを必要とするフォトリソグラフィ装置)の数を減少することと、より経済的で、効率のよい製造プロセスを供給するために改善された結果を得ることとが望ましい。例えば、改善された結果を得るための1つの方法は、費用をかけないで自己平坦化高品質トレンチ酸化物充填層を設けることである。
【0007】
図1eに示される例示におけるトレンチ酸化物充填層のためギャップ充填誘電物128などの誘電層を堆積する多数の処置は、周知である。プロセスの1つのタイプは、ケイ酸塩ガラスなどの誘電フィルムを堆積するのにO3(オゾン)およびTEOS(テトラエチルオルトシリケート)を使用する。堆積されるこのようなフィルムは、通常、“O3/TEOSフィルム”と呼ばれる。O3/TEOSフィルムプロセスは、O3/TEOSの比が増大すると、表面感度が増大する。表面感度のため、誘電物堆積速度は、基礎層の材料の特性によって変わる。
【0008】
O3/TEOSフィルム堆積に先だって、表面に反応しない障壁層を堆積することによって表面感度を最小化することは周知である。例えば、1つの周知のプロセスは、プラズマ増強TEOS(PETEOS)堆積を含み、表面処理および薄いキャップTEOS層が後に続く。このプロセスは、望ましくない追加のプロセス工程を必要とする。別の周知の方法は、O3/TEOSの比を減少することによって表面感度を低下する。しかし、O3/TEOSの比を低下することは、望ましくないより多孔性誘電フィルムを結果として生ずることが多い。これは、誘電フィルムが絶縁のために使用されるとき、特に問題となる。この問題と取り組む1つの方法は、約500℃以上にプロセス温度を上げることであったが、プロセス温度を上げることは大抵の場合望ましくない。別の方法として、トレンチ酸化物充填層およびサンドイッチPETEOS層堆積後の追加のアニーリングプロセスが、トレンチ酸化物充填層の密度を高めるのに使用された。この方法は、しかし、余分の工程を行う必要があるという欠点を有している。
【0009】
表面感度を最小化する代わりに、トレンチのあるシリコン基板のギャップ充填を行うために、O3/TEOSフィルムの堆積速度の依存関係を利用して、トレンチの側壁を熱酸化物スペーサで覆うものもある。大気圧CVD(APCVD)O3/TEOS堆積および5%のオゾン濃度を使用すると、気泡のないギャップ充填を達成するために、側壁スペーサ上よりボトムシリコン上でのより速いフィルム成長が気泡形成を妨げたことが報告された。別のものは、O3/TEOSおよびO3‐オクタメチルシクロテトラシロキサン(OMTC)などの類似物質の表面感度を利用することによって平坦化金属間誘電(IMD)を形成する可能性を研究した。研究員は、平面性を達成するために異なる堆積速度を制御することの困難さを報告した。例えば、かなりの隆起が、アルミニウムの頂部およびアルミニウム側壁のTiN ARC層のO3/TEOSの異なる堆積速度によって生じるアルミニウム金属ラインのエッジで観察された。これら同一の研究員のある人たちは、O3−OMTCを使用する燐含有ガラス(PSG)上に設けられるアルミニウムインターコネクト上にSiO2層を堆積すると、より満足できる平坦化結果が得られることを報告した。
【0010】
上述のことに鑑みて、表面感度の高い誘電層を堆積することによって平坦性を得る試みは必ずしも成功するとは限らなかった。その上、発明者は、これらの方法は、所望の品質を有さない誘電層を生成することを発見した。
【0011】
必要とされることは、浅いトレンチ絶縁のため高品質トレンチ酸化物充填層の平坦化堆積のためにより効率のよい、また経済的な方法である。O3/TEOSフィルムなどの誘電材料の堆積速度の依存関係を効果的に利用する改善された方法が、さらに、望まれている。
【0012】
【課題を解決するための手段】
本発明の特定の実施形態は、表面感度のよい誘電材料を使用する自己平坦化高品質トレンチ充填層を形成することによって浅い絶縁集積化を提供するより効率のよい方法を提供する。本発明は、シリコントレンチに誘電材料を堆積して、トレンチ充填層の堆積後の酸化アニーリングによりトレンチ表面で熱酸化物を成長する方法を提供することによってそれを行う。この方法において、以前の方法を使用して形成される欠点は実質的に除去される。任意のトレンチ洗浄工程が、さらに、トレンチ充填層の品質およびデバイスの電気的特性を改善するために、堆積に先だって用いられることが可能である。
【0013】
本発明の1つの実施形態は、上方部分間に形成され且つトレンチ底とトレンチ壁とを有するシリコントレンチを備えるシリコン基板に誘電層を形成する方法に向けられている。基板は、基板処理チャンバに配置されている。方法は、基板の異なるレベルで異なった組成の表面に対する誘電層の堆積速度依存関係を提供する先駆物質を使用する。異なるレベルで異なった組成の表面は、トレンチ底および上方部分の材料を含む。その方法は、先駆物質、好ましくはTEOSを基板処理チャンバに導入する工程と、オゾンを基板処理チャンバに流して先駆物質と反応させて基板に誘電層を堆積する工程を含んでいる。オゾンと先駆物質との間のオゾン/先駆物質の比は、誘電層がほぼ平坦な誘電表面を形成するまで、異なった組成の表面上における誘電層の堆積速度を制御するために調節される。
【0014】
別の実施形態によれば、基板処理システムは、処理チャンバを画定するハウジングを備えている。基板ホルダは、上方部分の間に形成され且つトレンチ底とトレンチ壁とを有するシリコントレンチを含むシリコン基板を保持するために処理チャンバ内に配置されている。システムは、さらに、処理ガスを処理チャンバに導入するためのガス分配システムと、ガス分配システムを制御するためのコントローラとを備えている。メモリは、コントローラのオペレーションを管理するためにそこで実施されるコンピュータ読み取り可能プログラムを有するコンピュータ読み取り可能媒体を備えるコントローラに結合されている。コンピュータ読み取り可能プログラムは、シリコン基板に誘電層を形成するために、オゾンを含有する処理ガスと先駆物質とを処理チャンバに導入するようにガス分配システムを制御するための一連のインストラクションを備えている。先駆物質は、トレンチ底とシリコン基板の上方部分の材料とを備える、異なるレベルで異なる組成の表面に、誘電層の堆積速度の依存関係を与え、そして誘電層がほぼ平坦な誘電表面を形成するまでオゾンと先駆物質との間のオゾン/先駆物質の比を調節する。
【0015】
別の実施形態は、トレンチ表面とその上に堆積されるトレンチ充填材料とを有するトレンチを備える基板を処理する方法に向けられている。基板は、基板処理チャンバ内に配置されている。方法は、基板処理チャンバに酸素含有ガスを供給する工程と、実質的に同時にトレンチ充填材料の密度を高めると共にトレンチ表面に熱酸化物を形成するために、基板を加熱する工程を含んでいる。
【0016】
別の実施形態によれば、基板処理システムは、処理チャンバを画定するハウジングを備えている。基板ホルダが、トレンチ表面とその中に配置されるトレンチ充填材料とを有するトレンチを備える基板を保持するために処理チャンバ内に配置されている。システムは、処理ガスを処理チャンバに導入するためのガス分配システムと、基板を加熱するためのヒータと、ガス分配システムとヒータとを制御するためのコントローラとを備えている。メモリは、コントローラのオペレーションを管理するためにそこで実施されるコンピュータ読み取り可能プログラムを有するコンピュータ読み取り可能媒体を備えるコントローラに結合されている。コンピュータ読み取り可能プログラムは、酸素含有ガスを処理チャンバに導入するためにガス分配システムを制御し、そして実質的に同時に誘電層の密度を高めると共にトレンチ表面に熱酸化物を形成するように基板を加熱するべくヒータを制御するための一連のインストラクションを備えている。
【0017】
さらに、別の実施形態によれば、基板にトレンチ絶縁構造体を形成するための方法は、基板の上に、またそれに接触してCVD反射防止コーティング(CVD ARC)を形成する工程を含んでいる。フォトレジストが、CVD反射防止コーティングの上に形成される。フォトレジストの一部分は、トレンチが形成される位置を画定するために露光される。フォトレジストが、その位置で除去される。方法は、さらに、その位置にトレンチを形成するために、CVD反射防止コーティングを通っておよび基板の深さを通ってその位置でエッチングする工程を含んでいる。
【0018】
本発明の目的および利点をさらに理解するために、添付の図面に関連して行われる次の詳細な説明を参照されたい。
【0019】
【発明の実施の形態】
I.浅いトレンチ集積化の誘電層の自己平坦化堆積
本発明の特定の実施形態は、例示としてSTI集積化を使用して図示されている。本発明の様々な実施形態の利点は、図1aから図1hに図示されるような従来技術の方法と比べると容易に分かる。特に、本発明は、誘電層の品質を損なうことなく、誘電トレンチ充填層の自己平坦化堆積を提供することによってより効率のよい浅いトレンチ絶縁集積化を提供する。本発明の範囲が、必ずしもSTI集積化に限られないことが理解される。
【0020】
A.トレンチの形成
図2aおよび図2bは、一般にシリコンから製造される基板にトレンチを形成する別の方法を図示している。図2aを参照すると、第1のステップ210は、シリコン基板に直接CVD反射防止コーティング(CVD ARC)を形成することである。特に、CVD ARCを使用すると、STI集積化のために一般に用いられるパッド酸化物および窒化物層の必要性を削除する。BARCに一般に使用される有機スピンとは違って、CVD ARCは、例えば、窒化ケイ素、オキシ窒化ケイ素、炭化ケイ素などを一般に含む無機材料である。CVD ARCは、CVDシステム内の処理ガスの化学反応を増進することによって堆積される。例えば、シリコンおよび窒素および/または酸素(誘電ARCあるいはDARCとしても知られている)を含むCVD ARCは、プラズマ増強CVD(PECVD)に下記の処理ガス:シリコン含有ガス(シランあるいはTEOSなど)および窒素含有ガスおよび/または酸素含有ガスを導入することによって堆積される。一酸化二窒素(N2O)は、窒素および酸素を供給するのに使用されるが、酸素および窒素のその他のソースが、いうまでもなく、使用されることが可能である。ヘリウムあるいはアルゴンなどの不活性ガスは、一般に、処理の堆積およびフィルムの厚さを制御するために、また処理を安定させるために使用される。適切なCVD装置の例示は、Zhao et alに発行された“CVD PROCESSING CHAMBER(CVD処理チャンバ)”と題する米国特許第5、558、717号に記述されている。ガス比は、堆積されたCVD ARCの所望の光学的特徴(屈折率および吸収率)を有するフィルム組成物を得るように調節されることが可能である。LPCVDがプラズマ増強処理でなく熱処理であるためLPCVDシリコンのフィルム組成物は調整されることができないという点で、CVD ARC窒化ケイ素層は従来のLPCVD窒化ケイ素層と異なっている。CVD ARCを堆積するのに使用されることが可能ないくつかのテクニックは、発明者としてDavid Cheung、Joe Feng、Judy H. HuangおよびWai‐Fan Yauを有する“METHOD AND APPARATUS FOR DEPOSITING ANTIREFLECTIVE COATING(反射防止コーティングを堆積するための方法および装置)”と題する米国特許出願番号08/672、888;発明者としてJudy H. Huang、Wai‐Fan Yau、David CheungおよびChan‐Lon Yangを有する“METHOD AND APPARATUS FOR DEPOSITING AN ETCH STOP LAYER(エッチングストップ層を堆積するための方法および装置)”と題する米国特許出願番号08/852、787およびSPIE Proceedings(Optical/Laser Microkithography V.)第1674巻362‐375頁(1992)に発行されたTohru Ogawa、Mitunori Kimura、Yoichi TomoおよびToshiro Tsumoriによる“Novel ARC Optimization Methodology for KrF ExcimerLaser Lithography at Low K1 Factor(低K1ファクタでKrFエキシマーレーザリソグラフィのための新規なARC最適化方法論)”に記述されている。この2つの出願は、本発明の譲受人であるApplied Materials,Inc.,に譲渡されている。これらの参考資料は、参照として本願明細書に組み入れる。
【0021】
1つの実施形態において、シラン(SiH4)対N2Oの所望の比は、DARCを堆積するために選択されている。さらに、N2およびNH3は、さらに、堆積されたDARCの光学および化学特性を制御するために導入される。N2およびNH3の効果は、SiH 4 およびN 2 OがDARC特性に最小の効果を与えるかあるいは効果がない処理状況、例えば低温において、特に支配的である。処理におけるNH3およびN2の追加は、さらにフィルムの組成を変化させ、屈折率および吸収率をより自由にして、屈折率および吸収率のより細かい調節を可能にする。さらに、処理は、アルゴンより費用効果の良いヘリウムの使用と相性が良い。ヘリウムは、さらに、堆積されたDARC層の改善された応力制御をも可能にする。これにより、フィルムに、堆積後基板から剥離させる引張り過ぎが生じないようにする。
【0022】
CVD ARCは、BARC(図1b)に類似するフォトリソグラフィの間、基板から反射される光線を吸収する能力を有している。さらに、CVD ARCは、基板から反射される光線から位相が異なっている光線を反射させる反射特性を有しているので、その2つは、互いに相殺する。これは移相相殺と呼ばれている。
【0023】
CVD ARCは、さらに、上述のように、CMPのためエッチングストップとして作用する能力を有し、LPCVD窒化物層(図1g)を削除することを可能にする。さらに、LPCVD窒化物とは異なって、CVD ARCは、シリコン基板に直接行われることが可能である。パッド酸化物層(図1a)は、シリコン基板とLPCVD窒化物層との間の応力の伝達を止めるのにもはや必要とされない。その結果、単一のCVD ARC層が、パッド酸化物、LPCVD窒化物、BARCに取って代わることが可能であり、それによって、より簡単な構造体およびSTIのための基板を作成する一層効率のよい方法を結果として生じる。CVD ARCは、フォトリソグラフィと、CMPとの両方のために作用し、さらに、酸素拡散に対して良好な障壁でもある。
【0024】
図2aを参照すると、フォトレジストは、ステップ212でCVD ARCの上に形成される。特定の実施形態によれば、フォトレジストは、トレンチが形成されるトレンチ位置を画定するために露光され(ステップ214)、そして露光されたフォトレジストはそのトレンチ位置で除去される(ステップ216)。エッチングステップ218では、トレンチ位置でトレンチを形成するために、CVD ARCとシリコン基板とをエッチングすることが行われる。ステップ220で、残留フォトレジストが除去される。いくつかの特定の実施形態によれば、光学的な洗浄ステップ222が、トレンチを洗浄して、汚染物質を除去する。洗浄ステップ222は、例えば、フッ化水素酸(HF)を含有する混合物を使用して従来の湿式エッチング処置を利用することが可能である。結果として生ずる構造体が、図3aに図示され、図3aは、約1000から2000Åの厚さを有する、基板の上に形成されるCVD ARC226を有するシリコン基板224を示している。形成されたトレンチ228は、トレンチ底230とトレンチ壁232とを有している。
【0025】
図1aから図1dに図示されている従来のアプローチと比べると、図2aの方法は、トレンチ形成の間のシリコン基板へのプラズマ損傷を修復するのに従来使用されているトレンチの表面上に熱酸化物を形成する処理を削減することが可能である。発明者は、シリコントレンチの上に直接O3/TEOSなどの表面感度のよい誘電材料を堆積することが、下記により詳細に記述されるように、従来のアプローチで形成されるトレンチ充填層の品質をかなり改善することと、洗浄ステップ222が、さらに、フィルム品質を改善することを見出した。さらに、本発明者は、酸化アニーリング処理が、下記に論じられるように、トレンチ底およびトレンチ壁で熱酸化物を成長するためにトレンチ充填層の形成後使用されることが可能であることを明らかにした。
【0026】
CVD ARCは、本発明の特定の実施形態において使用されてもよい。いくつかの特定の実施形態によれば、下記に記述される次の処理ステップは、CVD ARCが使用されるかあるいは従来のBARC/窒化物/酸化物の化合物が使用されるかに拘らず、使用されてもよい。したがって、トレンチ形成後、“エッチングストップ”層という用語が、その代わりに使用され、そしてLPCVD窒化物層(パッド酸化物層と共に)あるいはCVD ARCを示すことが理解される。
【0027】
図2bに示される別の実施形態において、ステップ250から260は、図2aのステップ210から220と同一であり、フォトレジストを使用してトレンチをエッチングすることが行われる。しかし、フォトレジストが除去された後(ステップ260)、2つの追加の処理ステップが行われる。ステップ262において、熱酸化物が、図1dに示されるのと類似するトレンチ底とトレンチ壁とで形成される。トレンチ底の熱酸化物は、例えば、従来のプラズマエッチング処置を使用して、エッチングされる(ステップ264)。結果として生ずる構造体は図3bに図示され、図3bは、シリコン基板に形成されるエッチングストップ層272(CVD ARCあるいはLPCVD窒化物)およびトレンチ底276とトレンチ壁278とを有するトレンチ274を有するシリコン基板270を示している。トレンチ底276の熱酸化物を除去後、残留熱酸化物280が、トレンチ壁278に示されている。その結果、洗浄ステップ266が、有利な点として、汚染物質を除去するために、HF混合物などでの従来の湿式エッチング処理を使用して行われる。下記に論じられるように、本発明者は、この洗浄ステップ266は、堆積されるトレンチ充填層の品質を改善することを明らかにした。
【0028】
B.トレンチ充填層の堆積
図4を参照すると、トレンチのある基板(図3aの224あるいは図3bの270)が準備された後、それは、ステップ290において、処理チャンバ(図8のチャンバ15などの)に配置される。一般に、不活性ガスが、反応処理ガスが導入される前にチャンバ内の圧力を安定させるために、ステップ292においてチャンバに流される。次に、異なる組成の表面に表面感度と成長速度とが依存する先駆物質が、チャンバ(ステップ294)に導入される。適切な先駆物質の例示は、TEOSである。TEOSが液体の先駆物質であるので、適切な装置は、TEOSを蒸発して所望の流量を有する処理ガスを形成するために、バブラーアセンブリにおいてTEOSを通してヘリウムなどの分配ガスを気泡としたり、あるいはヘリウムや窒素などのキャリヤーガスを液体インジェクションシステムに導入することを指令する。オゾンガスが、TEOSと反応して基板にO3/TEOSトレンチ充填層を堆積するように、チャンバ(ステップ296)に流される。O3/TEOS層の堆積速度は、エッチングストップ層(LPCVD窒化物あるいはCVD ARCである)を含む基板の上方部分の高い表面上よりも、低いトレンチ底(シリコンである)上でより速い。低いおよび高い表面におけるO3/TEOS層の相対的な堆積速度は、O3/TEOS層がほぼ自己平坦化誘電表面を形成するまで、O3/TEOSの比を調節することによってステップ298で調節される。
【0029】
O3/TEOSの比は、O3および/またはTEOSの流量を調節することによって調節されることが可能である。例えば、予め定められたO3/TEOSの比が選択されることが可能であり、そして相対流量がステップ298においてその比を達成するように調節される。平面性を達成するために、トレンチ底からの堆積を促進するようにO3/TEOSの比を最大化することは有利な点である。約10:1より高いことが望ましく、さらに、約10:1から20:1の間がより望ましいO3/TEOSの比が、使用されることが可能である。
【0030】
トレンチ充填層の堆積が、約500℃以下の比較的低い温度で行われることが可能である。これにより、有利な点として、堆積が、アルミニウム材料を含む処理チャンバにおいて約500℃以上の高い温度で行われるとき(例えば、低いO3/TEOSの比のために誘電フィルムの密度を高めるために必要なとき)に生じる望ましくないフッ化アルミニウム形成と、フッ化アルミニウムを除去するのに必要な長い洗浄時間とを回避する。圧力および流量などのその他の処理パラメータは、選択されたO3/TEOSの比のため堆積処理を最適化するように調節されることが可能である。約200から700トルの範囲の圧力が、10:1から20:1のO3/TEOSの比で使用されることが好ましい。
【0031】
図5aおよび図5bは、図4の方法をそれぞれ使用して用意され、図3aおよび図3bの基板224、270にそれぞれ堆積される自己平坦化トレンチ充填誘電層300、302を図示している。下記により詳細に論じられるように、本方法は、トレンチ充填層の自己平坦化堆積を設けるだけでなく、高品質のこれらの層を確実にする。
【0032】
C.トレンチ充填層の処理
図6を参照すると、ステップ310、312は、トレンチが、堆積されたトレンチ充填層で充填された後、トレンチ表面で熱酸化物を成長するのに使用されることが可能な酸化アニーリング処理を示している。処理が、ここに記述されるSTI集積化以上の汎用適応性を有すると同時に、従来の熱酸化物成長(図1d)が、高品質トレンチ充填層(例えば、O3/TEOS)の形成と、図2aの方法におけるグローバル平坦化とを確実にするために削除されるので、それは、この実験例における特別の利点を有している。この次の酸化アニーリングは、熱酸化物をトレンチ表面で成長させるだけでなく、実質的に同時にトレンチ充填層の密度を高め、さらに、その品質を改善する。密集した層は、特に、絶縁のために有利である。酸化アニーリングは、ステップ310において、基板を酸素含有ガス(分子酸素、蒸気、酸素を有するあらゆる先駆物質など)に当てることによって、またステップ312において、基板を適切な温度(例えば、約800℃以上)に加熱することによって行われる。図5aの基板224が、酸化アニーリングを施されるとき、熱酸化物308が、図7に図示されるようにトレンチ228の表面に沿って成長される。酸化アニーリングは、表面感度のよい堆積が、堆積されるようなトレンチ(シリコン)においてよりも活性領域(LPCUD窒化物あるいはCVD ARC)においてより多孔性であるので、CMP処理を改善するために、CMP後行われることが望ましい。フィルム密度におけるこの差は、CMP量がトレンチ上より活性領域上でより高いので、CMPのために利点をもたらすことが可能である。
【0033】
最終ステップ314は、一般にCMPによって、選択的にトレンチ充填材料を除去して、平坦化する。このステップは、LPCVD窒化物あるいはCVD ARCであることが可能なエッチングストップ層上のトレンチ充填材料を除去する。誘電プロフィルがほぼ平坦であるので、リバースマスクおよびエッチング処置は、必要でなく、またCMPステップは、誘電プロフィルが図1fに示されるように段になっている場合より、迅速に完了されることが可能である。これは、さらに、処理時間を減少し、スループット(処理量)を増大する。
【0034】
II.例示的なCVDシステム
本発明の方法が実施される1つの適切なCVD装置は、図8に示され、図8は、チャンバ壁15aとチャンバ覆い部アセンブリ15bを備える真空チャンバまたは処理チャンバ15を有するCVDシステム10の縦断面図である。チャンバ壁15aおよびチャンバ覆い部アセンブリ15bは、図9および図10の分解斜視図に示されている。
【0035】
CVDシステム10は、処理チャンバ内の中心に置かれている加熱ペデスタル12の上に載っている基板(図示せず)に処理ガスを分配するガス分配マニホルド11を備えている。処理中、基板(例えば、半導体ウエハ)は、ペデスタル12の平坦な(あるいはわずかに凸形)表面12aに配置されている。台は、低ローディング/オフローディング位置と、マニホルドに近接する上方処理位置(図示せず)との間で制御可能に移動されることが可能である。センターボード(図示せず)は、ウエハの位置の情報を供給するセンサを備えている。
【0036】
堆積およびキャリヤーガスは、従来の平坦で円形のガス分配部材あるいは面板13aの穿孔した穴13b(図10)を通ってチャンバ15に導入される。特に、分配処理ガスは、入口マニホルド11(図8に矢印40で示されている)を通り、従来の穴のあるブロッカープレート42を通り、次にガス分配面板13aの穴13bを通ってチャンバに流れる。
【0037】
マニホルドに到達する前に、堆積およびキャリヤーガスは、ガスソース7からガス供給ライン8(図8)を通って混合システム9に投入され、混合システム9にてそれらが混ぜ合わされてからマニホルド11に送られる。一般に、各処理ガスの供給ラインは、(i)自動的に、あるいは手動で、チャンバへの処理ガスの流れをシャットオフするのに使用されることが可能であるいくつかの安全シャットオフバルブ(図示せず)と、(ii)供給ラインを通るガスの流量を測定する質量流量コントローラ(図示せず)とを備えている。有毒なガス(例えば、オゾンあるいはハロゲン化ガス)が処理に使用されるとき、いくつかの安全シャットオフバルブが、従来の構成の各ガス供給ラインに配置されている。
【0038】
CVDシステム10において行われる堆積処理は、熱処理か、あるいはプラズマ増強処理かのいずれかである。プラズマ増強処理において、RF電源装置44は、面板13aと台との間の円筒形領域内にプラズマを形成するために、処理ガス混合物を励起するように、ガス分配面板13aと台との間に電力を印加する。(この領域は、ここでは“反応領域”と呼ばれる。)プラズマの構成物質は、ペデスタル12に支持される半導体ウエハの表面に所望のフィルムを堆積するように反応する。RF電源装置44は、真空チャンバ15に導入される反応種の分解を向上するために、一般に13.56MHzの高RF周波数(RFI)で、および360KHzの低RF周波数で電力を供給する混合周波数RF電源装置である。熱処理において、RF電源装置44は使用されず、処理ガス混合物は、ペデスタル12に支持される半導体ウエハの表面に所望のフィルムを堆積するように熱により反応し、ペデスタルは、反応のため熱エネルギーを供給するために抵抗により加熱される。
【0039】
プラズマ増強堆積処理中、プラズマは、排出通路23とシャットオフバルブ24とを囲むチャンバ本体15aの壁を含む処理チャンバ全体を加熱する。プラズマが出ていない時または熱堆積処理中には、熱い液体が、高められた温度にチャンバを維持するために、処理チャンバの壁15aを通って循環される。チャンバ壁15aを加熱するのに使用される流体は、典型的な流体タイプ、すなわち、水性ベースのエチレングリコールあるいは油性ベースの熱転移流体を含んでいる。この加熱は、有利な点として、望ましくない反応物生成物の凝縮を減少または排除し、またそれらが冷たい真空通路の壁に凝縮されて、ガスが流れない期間の間、処理チャンバに逆移動する場合、処理ガスおよび処理を汚染するその他の汚染物質の揮発性生成物の排除を改善する。
【0040】
反応生成物を含有する層に堆積されないガス混合物の残りは、真空ポンプ(図示せず)によってチャンバから排出される。特に、ガスは、反応領域を囲む環状のスロット形状のオリフィス16を通って環状の排出プレナム17に排出される。環状スロット16およびプレナム17は、チャンバの円筒形側壁15a(壁の上方誘電ライニング19を含む)の上部と円形チャンバ覆い部20の下部との間のギャップによって画定される。スロットオリフィス16とプレナム17との360°の円形対称および均一性が、ウエハに均質のフィルムを堆積するように、ウエハ上に処理ガスの均一流量を達成するために重要である。
【0041】
排出プレナム17から、ガスは、排出プレナム17の横方向延在部分21の下を流れ、ビューポート(図示せず)を通りすぎて、下流側延在ガス通路23を通り、真空シャットオフバルブ24(その本体が下方チャンバ壁15aと一体化している)を通りすぎて、フォアライン(図示せず)を通って外部真空ポンプ(図示せず)に接続する排出ポートに25に流れる。
【0042】
ペデスタル12のウエハ支持円盤(アルミニウム、セラミック、あるいはそれらの組み合わせであることが好ましい)は、平行同軸円の形状で2つのフル回転をするように構成される埋め込み単一ループ埋め込み加熱器要素を使用して抵抗により加熱される。加熱要素のアウタ部分は、支持円盤の周辺に隣接して延び、インナ部分は、より小さな半径を有する同軸円の経路に沿って延びる。加熱要素へのワイヤはペデスタル12のステムを通り抜ける。
【0043】
一般に、チャンバライニング、ガス入口マニホルド面板、様々な反応器ハードウェアのいくらかあるいはすべてが、アルミニウム、アノード処理されたアルミニウム(アルマイト)、セラミックなどの材料で製造される。このようなCVD装置は、Zhao et alに発行された“CVD Processing Chamber(CVD処理チャンバ)”と題する米国特許第5、558、717号に記述されている。米国特許第5、558、717号は、本発明の譲受人であるApplied Materials, Inc.,に譲渡され、この結果参照として本願明細書に組み入れる。
【0044】
リフタメカニズムおよびモータ(図示せず)は、ウエハがチャンバ10の側面の挿入/除去開口26を通してロボットブレード(図示せず)によって、チャンバの本体の方に、またその外に移動されるとき、加熱台アセンブリ12およびそのウエハリフトピン12bを上下する。モータが、処理位置14と下方ウエハ装荷位置との間でペデスタル12を上下する。モータ、供給ライン8に接続されたバルブまたは流量コントローラ、ガス分配システム、スロットルバルブ、RF電源装置44、チャンバおよび基板加熱システムは、コントロールライン36の上のシステムコントローラ34(図8)によってすべて制御され、そのいくつかだけが示されている。コントローラ34は、スロットルバルブおよびコントローラ34の制御のもとに適切なモータによって移動されるサスセプタなどの可動メカニカルアセンブリの位置を決定するために、光学的センサからのフィードバックに依存する。
【0045】
好ましい実施形態において、システムコントローラは、ハードディスクドライブ(メモリ38)と、フロッピーディスクドライブと、プロセッサ37とを備えている。プロセッサは、シングルボードコンピュータ(SBC)と、アナログおよびデジタルインプット/アウトプットボードと、インターフェースボードと、ステッピングモータコントローラボードとを含んでいる。CVDシステム10の様々な部分は、ボード、カードケージ、コネクタの大きさおよびタイプなどを定義するVersa Modular European(VME)標準に従っている。VME標準は、さらに、16ビットデータバスと24ビットアドレスバスとを有するバス構造を定義する。
【0046】
システムコントローラ34は、CVDマシンの動きすべてを制御する。システムコントローラは、メモリ38などのコンピュータ読み取り可能媒体に格納されるコンピュータプログラムであるシステムコントロールソフトウェアを実行する。メモリ38は、ハードディスクドライブであることが好ましいが、メモリ38は、その他の種類のメモリであってもよい。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電源電力レベル、サスセプタ位置、特定処理のその他のパラメータなどを命令する一連のインストラクションを含んでいる。例えば、フロッピーディスク、あるいは互いに適切なドライブを含むその他のメモリデバイスに格納されるその他のコンピュータプログラムが、コントローラ34を作動するのに使用されてもよい。
【0047】
図11は1つの以上のチャンバを備える基板処理システムのシステムモニタおよびCVDシステム10の簡易図であり、ユーザとコントローラ34との間のインターフェースは図11に示されるCRTモニタ50aおよびライトペン50bを媒体としている。好ましい実施形態において、2つのモニタ50aが使用され、1つは、オペレータのためのクリーンルームの壁に嵌め込まれ、もう1つは、サービスの技術者のための壁の後ろにある。モニタ50aは同時に同一の情報を表示するが、1本のライトペン50bだけが使用可能とされる。ライトペン50bのチップにあるライトセンサは、CRTディスプレイによって放射される光を検知する。特定のスクリーンあるいはファンクションを選択するために、オペレータは、表示画面の指定領域に触れて、ペン50bに接したボタンを押す。触れられた領域は、その強調色を変化して、あるいは新しいメニューまたはスクリーンが、表示され、ライトペンと表示画面との間のコミュニケーションを確認する。キーボート、マウス、その他のポインティングまたはコミュニケーションデバイスなどのその他のデバイスが、ユーザにコントローラ34とやり取りさせるために、ライトペン50bの代わりに、あるいはそれに加えて、使用されてもよい。
【0048】
フィルムを堆積するプロセスは、コントローラ34によって実行されるコンピュータプログラム製品を使用して実行されることが可能である。コンピュータプログラムコードは、従来のコンピュータ読み取り可能プログラミング言語:例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン、その他のものなどで書き込まれることが可能である。適切なプログラムコードは、従来のテキストエディタを使用してシングルファイルあるいはマルチファイルに入力されて、コンピュータのメモリシステムなどのコンピュータ使用可能媒体に格納されるか、あるいは組み込まれるかである。入力されたコードテキストが高級言語である場合、コードはコンパイルされ、そして結果として生ずるコンパイラコードは、次に、プレコンパイルされたWindows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するために、システムのユーザは、オブジェクトコードを呼び出し、コンピュータシステムにメモリのコードをロードさせる。CPUは、次に、プログラムで識別されたタスクを実行するために、そのコードを読み取り、そして実行する。
【0049】
図12は、特定の実施形態によるシステム制御ソフトウェア、コンピュータプログラム70の階層型制御構造の実例のブロック図である。ライトペンインターフェースを使用して、ユーザは、CRTモニタに表示されたメニューあるいはスクリーンに応じて処理セレクタサブルーチン73に処理セットナンバーおよび処理チャンバナンバーを入力する。処理セットは、指定された処理を実行するのに必要である処理パラメータの予め定められたセットであり予め定められたセットナンバーによって識別される。処理セレクタサブルーチン73は、(i)所望の処理チャンバと、(ii)所望の処理を実行するための処理チャンバを作動するのに必要な処理パラメータの所望のセットを識別する。特定の処理を実行するための処理パラメータは、例えば、処理ガス組成(物)および流量、温度、圧力、RF電源電力および低周波数RF周波数などのプラズマコンディション、冷却ガス圧力、チャンバ壁温度などの処理コンディションに関する。これらのパラメータは、レシピの形式でユーザに提供され、ライトペン/CRTモニタインターフェースを使用して入力される。
【0050】
処理をモニタする信号は、システムコントローラのアナログおよびデジタルインプットボードによって供給され、処理を制御する信号は、CVDシステム10のアナログおよびデジタルアウトプットボードに出力される。
【0051】
処理シーケンササブルーチン75は、処理セレクタサブルーチン73から識別された処理チャンバおよび処理パラメータのセットを受け入れるための、また様々な処理チャンバのオペレーションを制御するためのプログラムコードを備えている。複数ユーザは、処理セットナンバーおよび処理チャンバナンバーを入力することが可能であり、あるいは一人のユーザは、処理セットナンバーおよび処理チャンバナンバーを入力することが可能であり、それで、シーケンササブルーチン75は、所望のシーケンスで選択された処理をスケジュールするように作動する。シーケンササブルーチン75は、(i)チャンバが使用されているかどうかを決定するため処理プログラムコードのオペレーションをモニタするステップと、(ii)使用されているチャンバにおいて実行される処理を決定するステップと、(iii)処理チャンバのアベイラビリティおよび実行される処理のタイプに基づいて所望の処理を実行するステップとを実行するためにプログラムコードを含むことが好ましい。処理チャンバをモニタする従来の方法、ポーリングなどが使用されることが可能である。いずれの処理が実行されるべきかをスケジュールするとき、シーケンササブルーチン75は、選択された処理のための所望の処理コンディションと比較した使用中の処理チャンバの現在のコンディション、要求を入力した各特定のユーザの“年齢”、システムプログラマがスケジューリングプライオリティを決定するために含むことを望むその他の関連ファクタなどを考慮する。
【0052】
シーケンササブルーチン75が、次に実行される処理チャンバおよび処理セットの組み合わせを決定すると、シーケンササブルーチン75は、シーケンササブルーチン75によって決定された処理セットにより処理チャンバ15のマルチプル処理タスク制御するチャンバマネージャサブルーチン77aから77cに特定の処理セットパラメータを通すことによって処理セットの実行を開始する。例えば、チャンバマネージャサブルーチン77aは、処理チャンバ15のスパッタリングおよびCVD処理オペレーションを制御するためのプログラムコードを備えている。チャンバマネージャサブルーチン77は、さらに、選択された処理セットを実行するのに必要なチャンバコンポーネントのオペレーションを制御する様々なチャンバコンポーネントサブルーチンの実行を制御する。チャンバコンポーネントサブルーチンの例示は、基板位置決めサブルーチン80、処理ガスサブルーチン83、圧力制御サブルーチン85、加熱器制御サブルーチン87、プラズマ制御サブルーチン90などである。処理チャンバ15において実行される処理により、その他のチャンバ制御サブルーチンが含まれることが可能であることは当業者には明らかである。オペレーションにおいて、チャンバマネージャサブルーチン77aは、実行される特定の処理に応じて、処理コンポーネントサブルーチンを選択的にスケジュールするか、あるいは呼び出す。チャンバマネージャサブルーチン77aは、処理コンポーネントサブルーチンをスケジュールし、ほとんど同じく、シーケンササブルーチン75は、次に実行される処理チャンバ15と処理セットをスケジュールする。一般的に、チャンバマネージャサブルーチン77aは、様々なチャンバコンパートメントをモニタするステップと、実行される処理セットのための処理パラメータに基づいて作動されるのに必要なコンポーネントを決定するステップと、モニタするステップと決定するステップとに応じてチャンバコンポーネントサブルーチンを実行させるステップとを含んでいる。
【0053】
特定のチャンバコンポーネントサブルーチンのオペレーションは、ここでは図12を参照して記述されている。基板位置決めサブルーチン80は、ペデスタル12に基板を載せるのに、また任意に、基板とガス分配マニホルド11との間のスペーシングを制御するために、チャンバ15における所望の高さに基板を上げるのに使用されるチャンバコンポーネントを制御するプログラムコードを備えている。基板が処理チャンバ15に載せられるとき、ペデスタル12は、基板を受けるために下げられ、その後サスセプタ12は、チャンバにおける所望の高さに上げられ、CVD処理の間、ガス分配マニホルドからの第1の距離または間隔に基板を維持する。オペレーションにおいて、基板位置決めサブルーチン80は、チャンバマネージャサブルーチン77aから送られる支持の高さに対して、処理セットパラメータに応じてペデスタル12の動きを制御する。
【0054】
処理ガス制御サブルーチン83は、処理ガス組成(物)および流量を制御するためのプログラムコードを有している。処理ガス制御サブルーチン83は、所望のガス流量を得るために、安全シャットオフバルブの開/閉位置を制御し、さらに、質量流量コントローラを増加/減少させる。処理ガス制御サブルーチン83は、すべてチャンバコンポーネントサブルーチンであるように、チャンバマネージャサブルーチン77aによって呼び出され、そしてチャンバマネージャサブルーチンから所望のガス流量に関連する処理パラメータを受ける。一般に、処理ガス制御サブルーチン83は、ガス供給ラインを開口することによって、そして繰り返して、(i)必要な大量流量コントローラを読み取り、(ii)その読み取りをチャンバマネージャサブルーチン77aから受けた所望の流量と比べ、そして(iii)必要に応じてガス供給ラインの流量を調節することによって作動する。さらに、処理ガス制御サブルーチン83は、安全でない割合のためにガス流量をモニタするステップと、安全でないコンディションが検知されるとき、安全シャットオフバルブを作動するステップとを含んでいる。
【0055】
いくつかの処理において、ヘリウムあるいはアルゴンなどの不活性ガスが、反応処理ガスが導入される前に、チャンバの圧力を安定するために、チャンバ15に流される。これらの処理のために、処理ガス制御サブルーチン83は、チャンバの圧力を安定するのに必要な時間の間、チャンバ15に不活性ガスを流すステップを含むようにプログラムされ、そして次に、上述のステップが実行される。そのうえ、処理ガス制御サブルーチン83は、処理ガスがTEOSなどの液体先駆物質から蒸発されるとき、ヘリウムなどの分配ガスをバブラーアセンブリの液体先駆物質を介してバブリングするステップか、あるいはヘリウムあるいは窒素などのキャリヤーガスを液体インジェクションシステムに導入するステップかを含むように書き込まれている。バブラーが、このタイプの処理に使用されるとき、処理ガス制御サブルーチン83は、所望の処理ガス流量を得るために、分配ガスの流量、バブラーの圧力、バブラーの温度を調節する。上述のように、所望の処理ガス流量は、処理パラメータ通りに処理ガス制御サブルーチン83に移動される。さらに、処理ガス制御サブルーチン83は、所定の処理ガス流量のために必要な値を含む格納テーブルをアクセスすることによって、所望のガス流量のために必要な分配ガス流量、バブラー圧力、バブラー温度を得るステップを含んでいる。必要な値が得られると、分配ガス流量、バブラー圧力およびバブラー温度がモニタされて、必要な値と比べ、そしてそれに従って調節される。
【0056】
圧力制御サブルーチン85は、チャンバの排出システムのスロットルバルブの開口のサイズを調節することによって、チャンバ15の圧力を制御するためのプログラムコードを含んでいる。スロットルバルブの開口のサイズは、総処理ガス流量、処理チャンバのサイズおよび排出システムのための排出セットポイント圧力に対して所望のレベルにチャンバ圧力を制御するように設定される。圧力制御サブルーチン85が呼び出されるとき、所望の、あるいは目標の圧力レベルは、パラメータとして、チャンバマネージャサブルーチン77aから受けられる。圧力制御サブルーチン85は、チャンバに接続される1つ以上の従来の圧力マノメータを読み取ることによって、チャンバ15の圧力を測定して、1つあるいは複数の測定値を目標値と比べて、目標圧力に対応する格納された圧力テーブルからPID(比例した、積分の、微分の)値を得て、そして圧力テーブルから得られたPID値によりスロットルバルブを調節するように作動する。別の方法として、圧力制御サブルーチン85は、チャンバ15を所望の圧力に調節するために、スロットルバルブを特定の開口サイズに開いたり、あるいは閉じたりするように書き込まれることが可能である。
【0057】
加熱器制御サブルーチン87は、基板20を加熱するのに使用される加熱ユニットへの電流を制御するためのプログラムコードを備えている。加熱器制御サブルーチン87は、さらに、チャンバマネージャサブルーチン77aおよび目標の、あるいはセットポイントの温度パラメータによって呼び出される。加熱器制御サブルーチン87は、ペデスタル12に位置される熱電対の電圧出力を測定することによって温度を測定し、測定された温度をセットポイント温度と比較し、そしてセットポイント温度を得るために、加熱ユニットに印加される電流を増加したり、あるいは減少したりする。温度は、格納された変換表の対応する温度を検索することによって、あるいは第4次多項式を使用して温度を計算することによって測定された電圧から得られる。埋め込みループがペデスタル12を加熱するのに使用されるとき、加熱器制御サブルーチン87は、ループに印加される電流の増加/減少を徐々に制御する。そのうえ、内蔵フェイルセーフモードが、処理安全コンプライアンスを検知するように含まれることが可能であり、そして処理チャンバ15が適切にセットアップされない場合、加熱ユニットのオペレーションを止めることが可能である。
【0058】
プラズマ制御サブルーチン90は、チャンバ15の処理電極に印加される低および高周波数RF電源電力レベルを設定するための、また使用される低周波数RF周波数のためのプログラムコードを備えている。以前に記述されたチャンバコンポーネントサブルーチンと同様に、プラズマ制御サブルーチン90は、チャンバマネージャサブルーチン77aによって呼び出される。
【0059】
上記の反応器の説明は、主に、例示のためであり、エレクトロンサイクロトロンレゾナンス(ECR)プラズマCVDデバイス、誘導結合RF高密度プラズマCVDデバイス、またはその種の他のものなどのその他のプラズマCVD装置が使用されてもよい。そのうえ、台デザイン、加熱器デザイン、RF電源電力周波数、RF電源電力接続の位置、その他の変形など上記のシステムの変形が可能である。例えば、ウエハは、サスセプタによって支持されることが可能であり、また石英水銀灯によって加熱されることが可能である。層および本発明のこのような層を形成するための方法は、特定の装置あるいは特定のプラズマ励起方法に制約されるものでない。
【0060】
III.実験およびテスト結果
下記の実験に基づく実験例は、上述の異なる方法が堆積に先だって基板にトレンチを作成するために使用されるとき、誘電フィルム品質において本発明の利点を図示するのに使用されている。実験例は、CVDチャンバ、特に、Applied Materials, Inc.,Santa Clara,Californiaによって製造され、販売されている“D×Z”あるいは“C×Z”チャンバ(200‐mm基板のサイズであり、約7リットルの容量を有する)を使用して行われた。LPCVD窒化ケイ素エッチングストップ層と0.25μmまでの異なる幅を有するトレンチとを有するシリコン基板が使用された。堆積は大気中より低い温度のCVD(SACVD)によって行われた。図4に略図が書かれている誘電堆積処置のための典型的なパラメータは、約200から700トルの圧力、約300から500℃の加熱器温度、約5,000から10,000sccm(標準立方センチメートル/分)の不活性ガス(HeあるいはN2)流量、約4,000から8,000sccmのオゾン流量、約200から500mgmのTEOS流量(ミリグラム/分)、および約12から20wt.%(重量%)のオゾン濃度を含んでいる。
【0061】
図13aから図16bに示されている実験例において、同一の処理パラメータが使用されている:、約450トルの圧力、約400℃の加熱器温度、約3000sccm(標準立方センチメートル/分)のヘリウムの流量、約5000sccmのオゾン流量、約320mgmのTEOS流量、および約12.5wt.%のオゾン濃度。これは、O3/TEOSの比が13:1となっている。観察された堆積割合は、シリコンで約3000Å/分、LPCVD窒化ケイ素で約1000Å/分、そして熱酸化物で約1050Å/分である。トレンチの深さは、約0.55μmであり、また2つのトレンチ幅、0.25μm(図13aから図16a)および1μm(図13bから図16b)が使用された。
【0062】
図13aおよび図13bは、LPCVD窒化ケイ素エッチングストップ層354を有するトレンチのある基板352に形成されるO3/TEOS層のSEM断面図を示している。トレンチは、トレンチ底およびトレンチ壁に熱酸化物を成長して、トレンチ底から熱酸化物を離してエッチングする従来の方法を使用して作成される。トレンチ充填層350は、平面性に近づく表面プロフィルを有しているが、トレンチ表面に沿って、特に、トレンチ壁に分離の形状の欠陥が観察される。望ましくない小孔をしめす気泡が、隙間のあるフィールドのトレンチのためのトレンチ壁近くのトレンチ充填層350に観察される(図13a)。これらの欠陥は、トレンチ底でのシリコン上の誘電フィルム成長とトレンチ壁での熱酸化物との間の固有応力の差の結果であると思われる。欠陥は、望ましくなく、特に絶縁のために問題がある。
【0063】
図14aおよび図14bは、LPCVD窒化ケイ素エッチングストップ層374が、CVD ARCの代わりに使用されていることを除いては、図2bに示されるものと類似するトレンチを作成する方法を使用してトレンチのある基板372に形成されるO3/TEOS層370のSEM断面図である。この方法によれば、トレンチは、トレンチ底から熱酸化物をエッチングして除去した後、洗浄される(ステップ266)。この実験例において、約1%のHFを含有する混合物を使用する湿式エッチングは、約10秒間行われるが、その他類似の洗浄処理も使用されることが可能である。図14aおよび図14bに示されるように、この洗浄ステップは、ほぼ平坦な表面を有する誘電フィルム370の品質を事実上ほぼ改善している。トレンチ表面に沿う分離は、図13aおよび図13bのものと比較すると、あまり明白でなく、また広まっていない。トレンチに隣接した小孔がかなり減少されている。堆積に先だってトレンチを洗浄することは、明らかに、応力効果を減少している。トレンチ充填層370の品質に対する改善から分かるように、洗浄ステップ266は、追加のステップを行う費用を上回る価値があるという利点を示している。
【0064】
図15aおよび図15bは、LPCVD窒化ケイ素エッチングストップ層384が、CVD ARCの代わりに使用されていることを除いては、図2aに示されるものと類似するトレンチを作成する方法を使用してトレンチのある基板382に形成されるO3/TEOS層380のSEM断面図である。この方法は、O3/TEOSフィルムの堆積前に熱酸化物の成長を排除し、それによって、応力効果を回避する。この実験例において、しかし、洗浄ステップ222は行われない。図15aおよび図15bに示されるように、ほぼ平坦なトレンチ充填層380の品質は、第1の2つの実験例(図13aから図15b)のものより非常によい。トレンチ表面に沿って目立つ分離が無く、また極めて少ない気泡が形成され、その大部分は、LPCVD窒化物層384近くに、あるいはその上に存在し、CMPによって実質的に除去される。良好な品質のトレンチ充填層380は、特に、トレンチ絶縁のために都合がよい。トレンチ表面で熱酸化物を成長し、さらに、トレンチ充填層380の密度を高めるために、図6および図7に関して上述される酸化アニーリング処置が使用されることが可能である。
【0065】
図16aおよび図16bは、LPCVD窒化ケイ素エッチングストップ層394が、CVD ARCの代わりに使用されていることを除いては、図2aに示されるものと類似するトレンチを作成する方法を使用してトレンチのある基板392に形成されるほぼ平坦なO3/TEOS層390のSEM断面図である。この方法は、堆積に先だってトレンチ洗浄ステップ222を含む図15aおよび図15bに示される堆積を行うのに使用されることが異なる。この実験例において、トレンチは、約10秒間約1%のHFを含有する混合物を使用する湿式エッチングによって洗浄される。図16aおよび図16bは、特に、より少ない気泡形成によって、図15aおよび図15bのものを超えるトレンチ充填層390の品質において多少の改善を示している。このように、任意の洗浄ステップ222は、フィルム品質における追加の改善が望まれるとき使用されることが可能である。酸化アニーリングが、さらに、トレンチ表面で熱酸化物を成長するのに使用されることが可能である。図16aのトレンチ充填層390は、段の高さ130を有する図1eに示されるトレンチ充填層128と比べられるように、事実上段の高さ効果を持たない優れた広範囲の平坦化を有する。
【0066】
上記の論議から分かるように、誘電材料が、トレンチ壁とトレンチ底とに形成されてからトレンチ底からエッチングして取り除かれた熱酸化物を有するトレンチに堆積されるとき、欠陥はトレンチ表面に沿ってまたトレンチに隣接して形成される。本発明のいくつかの実施形態は、誘電トレンチ充填材料の堆積に先だって、トレンチ洗浄ステップを適用することによって、欠陥の形成を減少している。好ましい実施形態において、従来の熱酸化物の成長は、実質的に欠陥を排除するために、堆積に先だって、排除される。その代わりとして、熱酸化物が、誘電トレンチ充填層の密度を高める酸化アニーリング処置を使用して堆積後にトレンチ表面に形成されることが好ましい。
【0067】
本方法は、誘電フィルムの品質を損なうことなく広範囲の平坦化を改善し、また費用を削減して、スループット(処理量)を増大するのに使用されることが可能である。酸化アニーリングは、自己平坦化された高品質トレンチ充填層を有するトレンチを充填後、トレンチ表面に熱酸化物を成長することを可能にさせる。トレンチを形成するべくフォトリソグラフィおよびCMPのためにCVD ARCの使用が、より効率がよく、またより簡単な構造を結果として生ずる。さらに、別の実施形態は、例えば、O3/TEOSの比、圧力、誘電物堆積のためのその他のパラメータを変えることによって、得ることが可能である。本発明の範囲は、その結果、上記の説明を参照とすることなく決定されるが、その代わりに、相当するものの全範囲に加えて、添付の請求の範囲を参照にして決定されるべきである。
【図面の簡単な説明】
【図1a】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1b】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1c】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1d】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1e】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1f】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1g】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図1h】
トレンチ形成、および従来技術の堆積方法を使用する誘電材料によるトレンチ充填を具体的に説明する基板の縦断面図である。
【図2a】
本発明によるトレンチを形成する方法の別の実施形態のフロー系統図である。
【図2b】
本発明によるトレンチを形成する方法の別の実施形態のフロー系統図である。
【図3a】
本発明によるCVD反射防止コーティングの使用を具体的に説明するトレンチのある基板の別の実施形態の縦断面図である。
【図3b】
本発明によるCVD反射防止コーティングの使用を具体的に説明するトレンチのある基板の別の実施形態の縦断面図である。
【図4】
本発明により形成される自己平坦化トレンチ充填層を形成する方法の実施形態のフロー系統図である。
【図5a】
本発明による自己平坦化トレンチ充填層を有する基板の別の実施形態の縦断面図である。
【図5b】
本発明による自己平坦化トレンチ充填層を有する基板の別の実施形態の縦断面図である。
【図6】
本発明によるトレンチ充填層を処理する方法の実施形態のフロー系統図である。
【図7】
本発明による酸化アニーリングで処理された図5aの基板の縦断面図である。
【図8】
本発明による化学気相堆積装置の1つの実施形態の縦断面図である。
【図9】
図8に記述されるCVDチャンバの部分の分解斜視図である。
【図10】
図8に記述されるCVDチャンバの部分の分解斜視図である。
【図11】
1つ以上のチャンバを含むマルチチャンバシステムのシステムモニタおよびCVDシステムの簡易図である。
【図12】
特定の実施形態によるシステム制御ソフトウェアコンピュータプログラムの階層制御構造の実例となるブロック図である。
【図13a】
従来技術の堆積方法を使用して形成されるトレンチ充填層のSEM(走査電子顕微鏡写真)断面図である。
【図13b】
従来技術の堆積方法を使用して形成されるトレンチ充填層のSEM(走査電子顕微鏡写真)断面図である。
【図14a】
トレンチ壁に熱酸化物を有するエッチングされたトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
【図14b】
トレンチ壁に熱酸化物を有するエッチングされたトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
【図15a】
トレンチ表面に熱酸化物なく形成されるトレンチ充填層のSEM断面図である。
【図15b】
トレンチ表面に熱酸化物なく形成されるトレンチ充填層のSEM断面図である。
【図16a】
トレンチ表面に熱酸化物を有さないトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
【図16b】
トレンチ表面に熱酸化物を有さないトレンチを洗浄後形成されるトレンチ充填層のSEM断面図である。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP98401232A EP0959496B1 (en) | 1998-05-22 | 1998-05-22 | Methods for forming self-planarized dielectric layer for shallow trench isolation |
EP98401232.8 | 1998-05-22 | ||
PCT/IB1999/000835 WO1999062108A2 (en) | 1998-05-22 | 1999-05-10 | Methods for forming self-planarized dielectric layer for shallow trench isolation |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2002517089A JP2002517089A (ja) | 2002-06-11 |
JP2002517089A5 true JP2002517089A5 (ja) | 2009-10-22 |
Family
ID=8235378
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000551427A Pending JP2002517089A (ja) | 1998-05-22 | 1999-05-10 | 浅いトレンチ分離のための自己平坦化絶縁層を形成する方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US6733955B1 (ja) |
EP (1) | EP0959496B1 (ja) |
JP (1) | JP2002517089A (ja) |
KR (2) | KR100687367B1 (ja) |
DE (1) | DE69835276T2 (ja) |
TW (1) | TW413885B (ja) |
WO (1) | WO1999062108A2 (ja) |
Families Citing this family (100)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002541664A (ja) * | 1999-04-02 | 2002-12-03 | シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー | 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。 |
TW439194B (en) * | 2000-01-24 | 2001-06-07 | United Microelectronics Corp | Manufacturing method of shallow trench isolation region |
TW479315B (en) * | 2000-10-31 | 2002-03-11 | Applied Materials Inc | Continuous depostiton process |
JP4989817B2 (ja) * | 2000-12-21 | 2012-08-01 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US6362098B1 (en) | 2001-02-28 | 2002-03-26 | Motorola, Inc. | Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate |
US7026172B2 (en) * | 2001-10-22 | 2006-04-11 | Promos Technologies, Inc. | Reduced thickness variation in a material layer deposited in narrow and wide integrated circuit trenches |
WO2003043078A2 (en) * | 2001-11-13 | 2003-05-22 | Advanced Micro Devices, Inc. | Preferential corner rounding of trench structures using post-fill oxidation |
US20070212850A1 (en) * | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US6905940B2 (en) | 2002-09-19 | 2005-06-14 | Applied Materials, Inc. | Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill |
US7431967B2 (en) * | 2002-09-19 | 2008-10-07 | Applied Materials, Inc. | Limited thermal budget formation of PMD layers |
US7456116B2 (en) * | 2002-09-19 | 2008-11-25 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7141483B2 (en) * | 2002-09-19 | 2006-11-28 | Applied Materials, Inc. | Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill |
US7335609B2 (en) * | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
US6828211B2 (en) * | 2002-10-01 | 2004-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control |
US20040074516A1 (en) * | 2002-10-18 | 2004-04-22 | Hogle Richard A. | Sub-atmospheric supply of fluorine to semiconductor process chamber |
DE10259728B4 (de) * | 2002-12-19 | 2008-01-17 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer Grabenisolationsstruktur und Verfahren zum Steuern eines Grades an Kantenrundung einer Grabenisolationsstruktur in einem Halbleiterbauelement |
KR100454849B1 (ko) * | 2002-12-20 | 2004-11-03 | 아남반도체 주식회사 | 반도체 소자의 제조방법 |
JP4161745B2 (ja) * | 2003-03-06 | 2008-10-08 | 株式会社デンソー | 光学素子およびその製造方法 |
IL155137A0 (en) * | 2003-03-27 | 2003-10-31 | Yissum Res Dev Co | A method for generating plant diversity |
US7029591B2 (en) * | 2003-04-23 | 2006-04-18 | Lsi Logic Corporation | Planarization with reduced dishing |
US7528051B2 (en) * | 2004-05-14 | 2009-05-05 | Applied Materials, Inc. | Method of inducing stresses in the channel region of a transistor |
US7176105B2 (en) | 2004-06-01 | 2007-02-13 | Applied Materials, Inc. | Dielectric gap fill with oxide selectively deposited over silicon liner |
US7642171B2 (en) * | 2004-08-04 | 2010-01-05 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US20070212847A1 (en) * | 2004-08-04 | 2007-09-13 | Applied Materials, Inc. | Multi-step anneal of thin films for film densification and improved gap-fill |
US20060264054A1 (en) * | 2005-04-06 | 2006-11-23 | Gutsche Martin U | Method for etching a trench in a semiconductor substrate |
JP5319868B2 (ja) * | 2005-10-17 | 2013-10-16 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20070102399A1 (en) * | 2005-11-07 | 2007-05-10 | Tokyo Electron Limited | Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium |
US20070249128A1 (en) * | 2006-04-19 | 2007-10-25 | Junjung Kim | Ultraviolet (UV) Radiation Treatment Methods for Subatmospheric Chemical Vapor Deposition (SACVD) of Ozone-Tetraethoxysilane (O3-TEOS) |
KR100772275B1 (ko) * | 2006-05-24 | 2007-11-01 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조 방법 |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US20090184402A1 (en) * | 2008-01-22 | 2009-07-23 | United Microelectronics Corp. | Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner. |
US8211808B2 (en) | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
US8404583B2 (en) * | 2010-03-12 | 2013-03-26 | Applied Materials, Inc. | Conformality of oxide layers along sidewalls of deep vias |
US8796106B2 (en) * | 2010-03-30 | 2014-08-05 | Stmicroelectronics S.R.L. | Isolation trenches |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
CN103972146B (zh) * | 2013-01-30 | 2016-12-28 | 中芯国际集成电路制造(上海)有限公司 | 沟槽隔离结构的形成方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US10199388B2 (en) | 2015-08-27 | 2019-02-05 | Applied Mateerials, Inc. | VNAND tensile thick TEOS oxide |
EP4089482A1 (en) * | 2015-10-13 | 2022-11-16 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
CN114784473A (zh) * | 2022-03-16 | 2022-07-22 | 上海交通大学 | 基于硅基光敏薄膜的双重折叠基片集成波导滤波巴伦 |
WO2024107573A1 (en) * | 2022-11-15 | 2024-05-23 | Lam Research Corporation | Inhibited atomic layer deposition for patterning applications |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS59193044A (ja) * | 1983-04-15 | 1984-11-01 | Matsushita Electric Ind Co Ltd | 半導体基板の製造方法 |
JPS6074637A (ja) * | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | 半導体装置の製造方法 |
JPH0779127B2 (ja) * | 1989-12-27 | 1995-08-23 | 株式会社半導体プロセス研究所 | 半導体装置の製造方法 |
JPH0779127A (ja) * | 1991-06-27 | 1995-03-20 | Nippon Dempa Kogyo Co Ltd | 多重モード型圧電振動子 |
JP2897569B2 (ja) | 1991-12-30 | 1999-05-31 | ソニー株式会社 | レジストパターン形成時に用いる反射防止膜の条件決定方法と、レジストパターン形成方法 |
JP2953349B2 (ja) * | 1991-12-30 | 1999-09-27 | ソニー株式会社 | レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置 |
EP0582724A1 (de) | 1992-08-04 | 1994-02-16 | Siemens Aktiengesellschaft | Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten |
CA2131668C (en) * | 1993-12-23 | 1999-03-02 | Carol Galli | Isolation structure using liquid phase oxide deposition |
US5447884A (en) * | 1994-06-29 | 1995-09-05 | International Business Machines Corporation | Shallow trench isolation with thin nitride liner |
EP0697723A3 (en) * | 1994-08-15 | 1997-04-16 | Ibm | Method of metallizing an insulating layer |
JPH0945687A (ja) | 1995-07-26 | 1997-02-14 | Ricoh Co Ltd | 基板表面の平坦化方法 |
DE19528746C1 (de) | 1995-08-04 | 1996-10-31 | Siemens Ag | Verfahren zum Erzeugen einer Siliziumdioxidschicht auf Oberflächenabschnitten einer Struktur |
JP3402022B2 (ja) * | 1995-11-07 | 2003-04-28 | 三菱電機株式会社 | 半導体装置の製造方法 |
KR0179554B1 (ko) * | 1995-11-30 | 1999-04-15 | 김주용 | 반도체 소자의 소자분리절연막 형성방법 |
US5968324A (en) * | 1995-12-05 | 1999-10-19 | Applied Materials, Inc. | Method and apparatus for depositing antireflective coating |
US6009827A (en) * | 1995-12-06 | 2000-01-04 | Applied Materials, Inc. | Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films |
US5648175A (en) * | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US5741626A (en) * | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
US6069055A (en) | 1996-07-12 | 2000-05-30 | Matsushita Electric Industrial Co., Ltd. | Fabricating method for semiconductor device |
US5843226A (en) * | 1996-07-16 | 1998-12-01 | Applied Materials, Inc. | Etch process for single crystal silicon |
US6562544B1 (en) * | 1996-11-04 | 2003-05-13 | Applied Materials, Inc. | Method and apparatus for improving accuracy in photolithographic processing of substrates |
US6114216A (en) * | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
TW309630B (en) * | 1996-11-23 | 1997-07-01 | Taiwan Semiconductor Mfg | Method of forming shallow trench isolation |
US5786262A (en) * | 1997-04-09 | 1998-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-planarized gapfilling for shallow trench isolation |
US5731241A (en) * | 1997-05-15 | 1998-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned sacrificial oxide for shallow trench isolation |
US5930644A (en) * | 1997-07-23 | 1999-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a shallow trench isolation using oxide slope etching |
US6121133A (en) * | 1997-08-22 | 2000-09-19 | Micron Technology, Inc. | Isolation using an antireflective coating |
US5851927A (en) * | 1997-08-29 | 1998-12-22 | Motorola, Inc. | Method of forming a semiconductor device by DUV resist patterning |
US6287990B1 (en) * | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
KR20000013397A (ko) * | 1998-08-07 | 2000-03-06 | 윤종용 | 트렌치 격리 형성 방법 |
-
1998
- 1998-05-22 DE DE69835276T patent/DE69835276T2/de not_active Expired - Fee Related
- 1998-05-22 EP EP98401232A patent/EP0959496B1/en not_active Expired - Lifetime
-
1999
- 1999-05-10 KR KR1020007013140A patent/KR100687367B1/ko not_active IP Right Cessation
- 1999-05-10 WO PCT/IB1999/000835 patent/WO1999062108A2/en active IP Right Grant
- 1999-05-10 JP JP2000551427A patent/JP2002517089A/ja active Pending
- 1999-05-10 KR KR1020067014383A patent/KR100692090B1/ko not_active IP Right Cessation
- 1999-05-10 US US09/701,065 patent/US6733955B1/en not_active Expired - Fee Related
- 1999-07-01 TW TW088108479A patent/TW413885B/zh not_active IP Right Cessation
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2002517089A5 (ja) | ||
KR100687367B1 (ko) | 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법 | |
US6020035A (en) | Film to tie up loose fluorine in the chamber after a clean process | |
EP0874391B1 (en) | Process for depositing a Halogen-doped SiO2 layer | |
US5908672A (en) | Method and apparatus for depositing a planarized passivation layer | |
JP4230561B2 (ja) | Teos/オゾン−シリコン酸化物の表面感度の除去のための方法 | |
US6156149A (en) | In situ deposition of a dielectric oxide layer and anti-reflective coating | |
JP4138052B2 (ja) | フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置 | |
JP4176864B2 (ja) | 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法 | |
JP4351304B2 (ja) | 化学的及び物理的エッチバックを使用してギャップ埋込み能力を改善する方法 | |
US6899763B2 (en) | Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes | |
US8143174B2 (en) | Post-deposition treatment to enhance properties of Si-O-C low K films | |
US20110151142A1 (en) | Pecvd multi-step processing with continuous plasma | |
US6875558B1 (en) | Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI) | |
KR100569807B1 (ko) | 기판의 증착막에서 플루오르를 통해 격리성질을 제어하는 반도체장치의 제어 방법 및 시스템 | |
JP2005518087A (ja) | Bpsg膜のcvdの為の方法 | |
US6753270B1 (en) | Process for depositing a porous, low dielectric constant silicon oxide film | |
EP1054444A1 (en) | Process for depositing a porous, low dielectric constant silicon oxide film | |
EP1050600B1 (en) | Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film | |
EP1079426A1 (en) | Integration scheme using selfplanarized dielectric layer for shallow trench isolation (STI) |