JP2002506489A - 表面を上にして半導体基板を処理するための枚様式電気化学的電着セル - Google Patents

表面を上にして半導体基板を処理するための枚様式電気化学的電着セル

Info

Publication number
JP2002506489A
JP2002506489A JP55332299A JP55332299A JP2002506489A JP 2002506489 A JP2002506489 A JP 2002506489A JP 55332299 A JP55332299 A JP 55332299A JP 55332299 A JP55332299 A JP 55332299A JP 2002506489 A JP2002506489 A JP 2002506489A
Authority
JP
Japan
Prior art keywords
substrate
electrodeposition
anode
support member
cathode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP55332299A
Other languages
English (en)
Inventor
エズディ ドルディ
ジョー スティーブンス
ロイ エドワーズ
ロバート ビー ローレンス
マイケル シュガーマン
マーク デノメ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002506489A publication Critical patent/JP2002506489A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • C25D17/08Supporting racks, i.e. not for suspending
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/16Regeneration of process solutions
    • C25D21/18Regeneration of process solutions of electrolytes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/20Electroplating using ultrasonics, vibrations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

(57)【要約】 本発明は、一般に、基板に対して均一な金属層を電気化学的に被着するための装置および方法を提供する。より詳細には、本発明は半導体基板の処理面を上に向けて処理するための電気化学的電着セルであって、基板支持部材と、該基板の電着表面に接続されたカソードと、前記基板支持部材の上方に配置されたアノードと、前記アノードおよび基板電着表面を流体的に接続させる電着溶液を供給するための電着溶液入口を具備する電気化学的電着セルを提供する。好ましくは、前記アノードは液体透過性構造内に配置された消耗性金属源を具備し、また前記アノードおよび一つのキャビティーリングは、電着液を保持し且つ前記基板表面に分配するためのキャビティーを形成する。好ましくは、前記基板支持部材は、基板支持面に配置された真空ポートを有する真空チャックを具備し、該真空ポートは処理の際に吸引を与え、旦つ基板搬送の際の裏面汚染を防止するためにガス流をブローオフするように働く。また、この基板支持部材は処理の際に回転および振動して、基板の電着表面経の電着を向上させる。本発明のもう一つの側面は、電着溶液の捕集カップおよびリンス液の捕集カップを具備した二重捕集カップシステムを提供する。この二重捕集カップシステムは、処理の際に電着溶液およびリンス液の分離を提供し、また電着システムの異なる溶液のための再循環システムを提供する。本発明はまた、基板表面に電力を供給するための装置であって、電源に電気的に接続された環状リングを具備し、該リングは前記基板表面の周縁部に電気的に接触する接点部分を有する装置を提供する。好ましくは、前記接点部分は金属含浸エラストマーリングのような環状表面を具備し、基板の周縁部との連続的または実質的に連続的な接触を提供する。本発明のもう一つの側面は、電気化学的電着のために基板を保持する装置であって、基板支持面を有する基板ホルダと、電源に電気的に接続された環状リングとを具備し、該環状リングは前記基板表面の周縁部に電気的に接触する接点部分を有する装置を提供する。

Description

【発明の詳細な説明】 表面を上にして半導体基板を処理するための 枚様式電気化学的電着セル 〔関連出願の相互参照〕 この出願は、1998年4月21日に出願された「半導体基板を処理するための枚様 式電気化学的蒸着セル」と題する米国暫定出願第60/082,494号の利益を主張する 。 〔発明の背景〕 発明の分野 本発明は、一般には基板上への材料層の堆積に関する。より具体的には、本発 明は基板上への材料層の電着に関する。 関連技術の背景 サブクオーターミクロンの多層メタライゼーションは、次世代の超大規模集積 回路(ULSI)における重要な技術である。この技術の核心をなす多層配線は、高 アスペクト比の孔(コンタクトホールおよびビアホールを含む)、ラインおよび 他の特徴で形成される配線構造の平坦化を必要とする。信頼性をもってこれら配 線構造を形成することは、ULSIの成功にとって極めて重要であり、個々の基板お よびダイの回路密度および品質を向上する継続的な努力にとって非常に重要であ る。 回路密度が増大するに伴って、ビアホール、コンタクトホールおよび他の構造 、並びにそれらの間の誘電体材料の幅は250ナノメータ未満にまで減少する一方 、誘電体層の厚さは実質的に一定のままであり、その結果、構造物のアスペクト 比(即ち、高さを幅で割った値)は増大している。多くの従来の被着プロセスは 、アスペクト比が4:1、特に10:1を超えた場合には、その構造を埋めるのが困難 である。従って、現在行われている多大な努力は、4:1以上の高いアスペクト比 を有する空隙のない狭い構造の形成に向けられている。更に、構造物の幅が減少 したときに、装置の電流は一定のままであるか又は増大し、これは当該構造にお ける電流密度を増大させる。 アルミニウム(Al)およびその合金は、知覚可能な電気抵抗が低く、二酸化シ リコン(SiO2)に対する接着性に優れ、パターンニングが容易で、高純度の形態 で得ることができることから、半導体プロセスにおける配線およびプラグの形成 に従来使用されている材料である。しかし、アルミニウムの電気抵抗は銅のよう な更に導電性の他の金属よりも高く、またエレクトロマイグレーションを受ける 。エレクトロマイグレーションは、当該導電体を流れる高電流に応答した導電体 金属イオンの移動と考えられ、製造時の不良とは異なり、回路が動作している間 に金属回路内に生じる現象である。エレクトロマイグレーションは、導電体内に 空隙の形成をもたらす。空隙は、導電体の断面積が該導電体を流れる電流量を支 えるには不充分な大きさにまで集積および/または成長し、回路の遮断を生じる 可能性がある。また、空隙が形成されると熱の伝達に利用可能な面積も同様に減 少し、導電体不良の危険が増大する。この問題はときには、アルミニウムに銅を ドープして、該材料の緻密テクスチャーまたは結晶構造を制御することにより克 服される。しかし、アルミニウムにおけるエレクトロマイグレーションは、電流 密度の増大に伴って益々問題になる。 銅およびその合金の抵抗はアルミニウムよりも低く、また、そのエレクトロマ イグレーションに対する耐性はアルミニウムに比較して著しく高い。これらの特 徴は、高レベルの集積化および装置速度の増大に際して経験する高い電流密度を 支えるために重要である。また、銅は良好な熱伝導性を有しており、高純度状態 で入手できる。従って、銅は、半導体基板上の、サブクオーターミクロンで且つ 高アスペクト比の配線構造を充填する金属として選択されてきている。 半導体装置の製造に銅を使用することは望ましいが、アスペクト比10:1でビア ホール幅0.1μのような、非常に高いアスペクト比構造の中に銅を被着するにた めの製造法の選択は制限される。銅をCVD蒸着するための前駆体は殆ど開発され ておらず、また、このような構造への物理的気相成長は、空隙形成のために不充 分な結果をもたらす。 このようなプロセス制限の結果として、従来は回路基板上へのラインの製造に 限定されてきた電着(メッキ)が、現在では、半導体装置のビアホールおよびコ ンタクトホールを埋めるために使用されている。金属メッキは当該技術において 一般に周知であり、種々の技術によって達成される。しかし、多くの障害が、ナ ノメータサイズで且つアスペクト比の高い構造を持った反動他基板上経の一貫し た信頼性のある電着を妨げる。一般に、これらの障害は、均一な厚さの金属層を 形成するための、基板電着表面の全体に亘る均一な電力分布および均一な電流密 度に関するものである。 半導体基板上に金属を電着するための現在の設計は、噴流電着装置構成に基づ いている。図1は、単純化された噴流電着装置の断面図である。一般に、噴流電 着機10は、頂部開口を有する電解質容器12と、電解質容器12の上方に配置された 基板ホルダ14と、電解質容器12の底部に配置されたアノード16と、基板18に接触 するカソード20とを含んでいる。カソード20は、基板18の周縁にバイアスを与え るように、該基板の周囲に均一に分布された複数の接触ピンを具備している。こ の接触ピンは、一般に、接触ピンの近傍で基板表面に高い電流密度を与え、基板 表面における不均一な電着をもたらす。半導体基板18は、円筒状の電解質容器12 の上の所定距離に配置され、電解質が、基板電着表面に直角に衝突する。基板18 の露出縁における電流の分散効果および電解質の不均一な流れのために、噴流電 着装置10は、特に基板の縁部近傍の領域および中心おいて不均一な電流分布を与 え、これは金属の不均一な電着を生じる。基板18の中心における電解質流の不均 一さは、基板18を回転させることによって改善することができる。しかし、基板 の境界または縁部が近接するので、電着の不均一さは更に悪化する。 更に、噴流電着装置10は、基板の表面を下に向けた電着のために基板を裏返さ なければならないので、基板の搬送において別の困難を呈する。一般に、基板は 、基板支持面を備えたロボットブレードを有するロボットによって搬送され、基 板は被処理面を上に向けて搬送される。好ましくは、該基板表面が損傷を受ける 危険を排除するように、ロボットブレードは被処理面に接触しない。噴流電着装 置10では面を下に向けた処理が必要なので、面を上に向けた搬送位置から面を下 に向けた処理位置へと基板を裏返すために別の装置を必要とする。 従って、ナノメータサイズで高アスペクト比の構造を有する半導体基板上に銅 を電着して銅の層を形成するための、信頼性があり且つ一貫した銅の電着技術が 必要とされている。また、基板の処理およびスループットの増大を可能にするよ うな、面を上に向けた電着システムが必要とされている。更に、基板表面に均一 な電力分布を与えるための装置、および基板表面に均一な電着を与えるための電 着システムが必要とされている。 〔発明の概要〕 本発明は、一般に、基板に対して均一な金属層を電気化学的に被着するための 装置および方法を提供する。より詳細には、本発明は半導体基板の被処理面を上 に向けて処理するための電気化学的電着セルであって、基板支持部材と、該基板 の電着表面に接続されたカソードと、前記基板支持部材の上方に配置されたアノ ードと、前記アノードおよび基板電着表面を流体的に接続する電着溶液を供給す るための電着溶液入口とを具備する電気化学的電着セルを提供する。好ましくは 、前記アノードは、液体透過性構造内に配置された消耗性金属源を具備し、また 前記アノードおよび一つのキャビティーリングは、電着液を保持し且つこれを前 記基板表面に分配するためのキャビティーを形成する。 本発明はまた、被処理面を上に向けた電着のための基板支持部材を提供する。 好ましくは、この基板支持部材は、基板支持面に配置された真空ポートを有する 真空チャックを具備し、該真空ポートは処理の際の吸引を与え、且つ基板搬送の 際の裏面汚染を防止するためにガス流をブローオフするように働く。また、この 基板支持部材は処理の際に回転および振動して、基板の電着表面への電着を向上 させる。 本発明のもう一つの側面は、電着溶液の捕集カップおよびリンス液の捕集カッ プを具備した、二重捕集カップシステムを提供する。この二重捕集カップシステ ムは、処理の際に電着溶液およびリンス液の分離を提供し、また電着システムの 異なる溶液のための再循環システムを提供する。 本発明はまた、基板表面に電力を供給するための装置であって、電源に電気的 に接続された環状リングを具備し、該リングは前記基板表面の周縁部に電気的に 接触する接点部分を有する装置を提供する。好ましくは、前記接点部分は金属含 浸エラストマーリングのような環状表面を具備し、基板の周縁部との連続的また は実質的に連続的な接触を提供する。本発明は、前記連続的な環状接点部分を介 して、前記基板電着面の全体に亘って均一な電流密度を与えることにより、基板 電着表面に均一な電力分布を提供する。本発明はまた前記環状リングの接点部分 と前記電着表面との間にシールを与えることにより、前記基板裏面の処理溶液汚 染を防止する。 本発明のもう一つの側面は、電気化学的電着のために基板を保持する装置であ って、基板支持面を有する基板ホルダと、電源に電気的に接続された環状リング とを具備し、該環状リングは前記基板表面の周縁部に電気的に接触する接点部分 を有する装置を提供する。前記基板ホルダは、好ましくは、処理の際に基板ホル ダに回転運動および/または振動を与えて電着の均一性を高めるために、一以上 のアクチュエータに接続される。好ましくは、該基板ホルダは基板支持面を有す る真空チャックを具備し、また処理溶液による汚染から基板の裏面をシールする ために、基板支持表面の回りにO-リングが配置される。 〔図面の簡単な説明〕 上記の特徴、利点および本発明の目的を達成する方法が詳細に理解できるよう に、添付の図面に示した実施例を参照して、上記で概要を説明した本発明のより 具体的な説明を提供する。 しかし、添付の図面は本発明の典型的な実施例を与えるに過ぎないものであり 、本発明の範囲を限定するものではなく、本発明については、同等に有効な他の 実施例が可能であることに留意すべきである。 図1は、単純化された噴流式電着装置の断面図である。 図2は、電気化学的電着セルを一部切り欠いた斜視図でああり、電気化学的電 着セルの内部部品を示している。 図3は、電着セル200の模式的断面図であり、電気化学的電着セル200の中へ基 板202を搬送するロボットブレードを示している。 図4は、電気化学的電着セル200の模式的断面図であり、本発明に従って処理 位置にある基板支持部材204に配置された基板202を有している。 図5は、上昇したリフト点に配置された基板を有する、搬送位置における基板 支持部材204の断面図である。 図6は、基板支持部材204の別の実施例を示す断面図であり、二つの別々の液 体導管および二重レベルのリップシールを示している。 図7は、カソードクランプの下から見た斜視図であり、複数の接触パッドを備 えた接点部分の別の組み合わせを有している。 図8は、カソードクランプリングのもう一つの実施例を模式的に示す部分断面 図である。 図9は、カソードクランプリングの部分断面図であり、該クランプリングの接 点部分のもう一つの実施例を示している。 図10は、金属含浸エラストマーリング350の実施例の一部を示す透視斜視図 である。 図11は、電着溶液捕集カップ246の頂面図である。 図12は、ドリップ抑制用アノード/キャビティーリングアセンブリーの一実 施例を示す電気化学的電着セル200の模式的断面図であり、基板支持部材204は、 本発明に従ってリンス位置に配置して示されている。 図13は、上記カソードクランプリングの上方に配置されたシャッタプレート 238の頂面図であり、アノード/キャビティーリングアセンブリーからの残留電 着溶液のドリップを抑制するための、別の解決策を示している。 図14は、アノード/キャビティーリングアセンブリーのためのサブチャンバ ーを有する、電気化学的電着セルの側面図である。 図15は、電着溶液捕集カップ246の底面図であり、電着溶液捕集カップ246の 底面に配置された三つのリンス噴出口を示している。 図16は、リンス捕集カップ264の頂面図である。 〔好ましい実施例の詳細な説明〕 本発明は、一般に、基板が被電着面を「上に向けて」配置される電気化学的電 着セルを提供する。電着溶液は、該セルの頂部を通して、露出された基板電着面 の全体にポンプ輸送され、基板の周囲に設けた周辺ドレイン捕集カップ内に回収 される。加えて、該セルは、電気化学的に電着された基板をその場で洗浄および /またはリンスするための手段を含んでいる。 図2は、電気化学的電着セルを一部切り欠いて示す斜視図であり、当該電気化 学的電着セルの内部部品を示している。一般に、電気化学的電着セル200は、基 板支持部材204と、カソードクランプリング210と、カソードクランプリング210 上方のアノードプレート230と、電着溶液を基板上の電気化学的電着セル200の中 、または電着すべき基板表面の流れ方向に供給する電着溶液入口240とを具備す る。 電気化学的電着セル200はセル囲い100を含んでおり、該囲いは、囲い蓋102と 、囲い側壁104と、囲い底部106とを具備している。囲い側壁104は、基板を電気 化学的電着セル200の中および外へと基板を搬送するためのスリット開口280と、 囲い側壁104の外表面に配置されて基板搬送操作の際にのみ開き、処理の際には スリット開口280を覆って密封された処理環境を与えるスリット弁282とを含んで いる。囲い側壁104の内部表面から内側に延びたドリップ避け284が、好ましくは スリット開口280の上方に配置され、開口280が電着溶液を直接受けるのを防いで 、処理溶液がスリット開口280を通して漏出するのを防止する。 図3を参照すると、電気化学的電着セル200は基板支持部材204と共に示されて いる。図4も同様であるが、この場合は、電気化学的電着セル200が電着/処理 位置に示されている。アノードプレート230は、電気化学的電着セル200頂部のキ ャビティーリング236内に配置されている。該アノードプレート230は、電源90に 電気的に接続されている。基板支持部材204は、電気化学的電着セル200の底部に 配置されている。カソードクランプリング210は、好ましくは環状の電着溶液捕 集カップ246によって支持され、基板支持部材204とアノードプレート230との間 に位置する電気化学的電着セル200の中間部分に配置されている。このアノード クランプリング210は電気化学的電着セル200の中に配置されており、載置/搬送 位置(図3)から処理位置(図4)への基板支持部材204の移動が、カソードクラ ンプリング210を、環状電着溶液捕集カップ246から離して僅かに持ち上げるよう になっている。処理位置に移動すると、電着溶液ポンプ92(これは電着溶液入口 240に接続されている)は、電着溶液を電着溶液貯留容器94から電気化学的電着 セル200の中にポンプ輸送する。電着溶液出口258は、好ましくは電着溶液捕集カ ップ246の電着溶液ドレイン244に接続され、電着溶液を、電気化学的電着セル20 0を通して再循環させるために電着溶液貯留容器94へと戻す。 図3は、電気化学的電着セル200の模式的断面図であり、基板203を電気化学的 電着セル200の中に搬送するロボットブレード88を示している。また、図5は、本 発明に従う搬送位置にある基板支持部材204の模式的断面図である。図2Aと図 3Aとを比較することにより、基板をロードおよびアンロードするシーケンスが 分かる。最初に図3を参照すると、ロボットブレード88は、スロット開口28を通 して基板202を電気化学的電着セル200の中に搬入し、基板202を基板支持部材204 の上に配置する。この基板搬送位置において、基板支持部材204は電気化学的電 着セル200の低部へと完全に後退する。次いで、図5に示すように、複数のリフト ピン322が基板支持部材204の中の垂直内腔324を通して延出し、基板202をロボッ トブレード88の上に持ち上げる。次いで、ロボットブレード88は、チャンバーか ら外へと後退し、スリット弁282がスリット開口280を閉鎖する。 図5を参照すると、基板支持部材204は、絶縁材料製の真空チャック290と、カ ソードクランプリング210へのカソード接続を与える導電性ベースプレート292と を具備している。真空チャック290は、処理の際に、基板202を基板支持表面206 上に固定する。好ましくは、一以上の真空ポート294が基板支持部材204に配設さ れ、基板支持表面206上に配置された一以上の真空チャンネル296に接続されて、 真空吸引により基板202を固定する。真空チャンネル296は、一般に基板支持部材 の表面全体に、(図2に示すように)ウエッブ状に均一に配置される。 基板支持表面206を取り囲む凹部300の中には、O-リングまたは二重O-リング を備えた外部シール298が配設されており、真空チャックが駆動されたときに、 基板202の裏面215と基板支持表面206との間に真空を形成する。この外部シール2 98はまた、電着溶液および他の処理溶液による基板裏面の汚染に対するシールを 提供する。基板裏面の汚染を排除することは、電着後の裏面洗浄プロセスの必要 性をなくし、システムのコストおよび複雑さを低減する。 基板支持表面206に真空通路を与えるために、真空チャック290内の真空導管30 2が、真空ポート294および真空チャンネル296を、回転シャフト306内の中央真空 導管304に接続する。回転シャフト306は、シャフトスリーブ308を通して延出し 、プラットホーム342上に配置された回転アクチュエータ310に接続される。また 、シャフトスリーブ308がプラットホーム342上に配置されて、回転シャフト306 に 対して固定された垂直関係を維持する。回転シャフト306とシャフトスリーブ308 との間に配設された一組のリップシール314は、シャフトスリーブ308内での回転 シャフトの回転運動を可能にする一方、回転シャフト306の外部表面とシャフト スリーブ308の内部表面との間のシール領域316を与える。中央真空導管304は、 中央真空導管304とシール領域316とを流体的に接続する開口部312を含んでいる 。真空出口318は、シャフトスリーブ308を通って延び、シール領域316に流体的 に接続する。真空ポンプ360は真空出口318に接続されて、真空出口318、シール 領域316開口部312、中央真空導管304、真空導管302、真空ポート294、および真 空チャンネル296を通して真空吸引を与え、基板202を基板支持表面206上に保持 する。 基板と基板支持部材204との間に陽圧を与えるために、ガス供給源372に接続さ れたガスポンプ370が、制御弁374を介して真空出口318に選択的に接続され、真 空ポート294にブローオフガスを供給する。このブローオフガスは、基板が基板 支持部材204の上に持ち上げられて、電気化学的電着セル200から搬送されるとき に、残留リンス剤が処理された基板の裏面を汚染するのを防止する。制御弁374 は、ガスポンプ370が駆動して、ポンプが真空ポート294へとブローオフガスをポ ンプ輸送するときに、真空ポンプ360への接続を遮断する。また、制御弁274は、 真空ポンプ360が駆動されたときにガス供給源372およびガスポンプ370への接続 を遮断して、基板202を支持部材204上に保持する。真空ポート294は、ブローオ フガスを基板202の裏面に向けて吹き付け、残留リンス剤が基板202の裏面215に 達するのを妨げる。 図6は、基板支持部材204の別の実施例の断面図であり、二つの別々の流体導 管および二重レベルのリップシールを示している。以下では、二つの別々の流体 のための流体供給システムを説明するが、この流体供給システムは、流体導管お よびリップシールの数を増加することにより、多くの別々の流体を収容するよう に適合させることができる。図6に示す実施例は、別々の流体導管を通して、二 つの別々の流体を基板支持面206に供給しながら回転することができる、基板支 持部材204を提供する。好ましくは、二組の別々の流体チャンネル396A,396Bお よび流体ポート394A,394Bが基板支持面214に配設され、また真空チャック内の 二組の流体導管402A,402Bが、回転シャフト306を通って伸びる二組の中央流体 導管404A,404Bに接続される。第一の中央導管404Aは第一の開口412Aを含んでお り、該開口は、第一の中央流体導管404Aと、第一の組のリップシール414Aでシー ルされた第一のシール領域416Aとを流体的に接続する。第一の流体入口418Aはシ ャフトスリーブ308を通って延出し、第一のシール領域416Aに流体的に接続され る。第一の流体供給源420Aは、第一のポンプ422Aを通して第一の流体入口418Aに 接続される。同様に、第二の中央流体導管404Bは第二の開口412Bを含んでおり、 該開口は、第二の中央流体導管404Bと、第二の組のリップシール414Bでシールさ れた第二のシール領域416Bとを流体的に接続する。第二の流体入口418Bはシャフ トスリーブ308を通って延出し、第二のシール領域416Bに流体的に接続される。 第二の流体供給源420Bは、第二のポンプ422Bを通して第二の流体入口418Bに接続 される。回転シャフト306とシャフトスリーブ308との間に配設されたリップシー ルの組414A,414Bは、シャフトスリーブ308内における回転シャフト306の自由な 回転運動を可能にする一方、回転シャフト306の外部表面とシャフトスリーブ308 の内部表面との間にシール領域416A,416Bを提供する。従って、基板支持部材20 4を回転させながら、二つの別々の流体を基板支持面214へ同時に供給することが できる。或いは、ポンプ422A,422Bのうちの一つが真空ポンプに置き換えられ、 別のルートでの真空吸引および基板支持面214へのガスの供給を提供する。もう 一つの変形例としては、ガスポンプ422Aおよび422Bの両方が二つの真空ポンプに 置き換えられ、基板支持面214における異なった真空領域を提供する。更に、処 理の要求に応じて、二以上の真空ポンプまたは流体ポンプを使用してもよい。上 記の各シール領域は、好ましくは一組のリップシール(即ち、二つのりプシール )を使用するが、後続のシール領域(即ち、第一のシール領域以外)は、一つの 追加のリップシールのみを必要とする。例えば、三つのリップシールは二つのシ ール領域を形成することができ、そのうちの一つは第一のリップシールと第二の リップシールの間にあり、もうひとつは第二のリップシールと第三のリップシー ルの間にある。 図5を再度参照すると、回転シャフト306は、複数のリフトピン322を有するリ フトピンプラットホームを通って延びている。好ましくは四組のリフトピン322 が、内腔324を通り、基板支持部材204を通って、基板202を基板支持面206の上に 持ち上げる。リフトプラットフォームアクチュエータ326は、リフトピンプラッ トホーム320を垂直方向に動かして、基板202を電気化学的電着セル200への搬入 および搬出のために、持ち上げたり下降させたりする。好ましくは、リフトプラ ットホームアクチュエータ326は、シャフトスリーブ308の外部表面に配置されて おり、リフトピンプラットホーム320の移動を駆動するプッシュロッド327を含ん でいる。リフトピンプラットホーム320を上昇させるために、リフトピンプラッ トホームアクチュエータ326は、プッシュロッド327を伸ばしてリフトピンプラッ トホーム320の底面に接触させ、リフトピンプラットホーム320を上方に押し出す 。リフトピンプラットホーム320を下降させるために、リフトピンプラットホー ムアクチュエータ326はプッシュロッド327を後退させて、リフトピンプラットホ ーム320を解放する。リフトピンプラットホームアクチュエータ326のプッシュロ ッド327が完全に後退すると、プッシュロッド327はリフトピンプラットホーム32 0に接触せず、リフトピンプラットホーム320は、シャフトスリーブ308の上方の 回転シャフト306の外部表面から伸びるプラットホーム隆起部329上に静止する。 リフトピンプラットホーム320が回転シャフト306と一緒に回転するように、一 以上の垂直タブ328が、回転シャフト306の外部表面の上部からリフトピンプラッ トホーム320の一以上の適合した垂直溝330の中へと延びる。このタブ328はまた 、リフトピンプラットホームがリフトピンプラットホームアクチュエータ326に よって移動されるときに、リフトピンプラットホーム320を垂直に案内する。 好ましくはポリエチレン製の可撓性ベローズ332が、夫々のリフトピン322の周 囲に配置されて、電着溶液、リンス溶液および他のプロセス化学薬品に対するス プラッシュシールを提供する。この可撓性ベローズ332は、リフトピンプラット ホーム320の頂部表面から、基板支持部材204の導電性ベースプレート292の底面 に取りつけられる。可撓性ベローズ332は、リフトピンプラットホーム320がリフ トピンプラットホームアクチュエータ326によって上昇すると収縮し、リフトピ ンプラットホーム320がプラットホーム隆起部329上に静止しているときには伸張 する。また、夫々の可撓性ベローズ332は、僅かな側方への負荷を受けたとき、 例えば、基板支持部材が回転を加速または減速するときにも密封性を維持する。 電着溶液、リンス溶液および他のプロセス化学薬品が電気化学的電着セル200 の中央に配置された部品、例えばリフトプラットホームアクチュエータ326およ びシャフトスリーブ308に接触するのを防止するために、リフトピンプラットホ ーム320の下部表面の外側にスプラッシュガード333が取りつけられる。スプラッ シュガード333は、上方に延びる内部容器壁336の外側に放射状に配設された、円 筒状の下部延設部334を含んでいる。内部容器壁336は、電気化学的電着セル200 の囲い底106から上方への円筒状延設部であり、前記セル200は、出口259を通し てシステムらポンプ排出すべきプロセス溶液を保持する。スプラッシュガード33 4および内部容器壁336は十分な重なりを形成しており、処理の際にリフトピンプ ラットホーム320がその最高位置まで上昇したときにも、スプラッシュガード344 の先端と内部容器壁336の先端との間には重なりが存在する(図4)。 基板支持部材204に回転運動を与えるために、回転アクチュエータ310がプ ラットホーム342上に配置され、回転シャフト306に接続される。回転アクチュエ ータ310は、回転シャフト306をシャフトスリーブ308内で自由に回転させる。基 板支持部材204を垂直に動かすために、プラットホーム342に接続されたアクチュ エータ346は、シャフト344を伸張および後退させる。アクチュエータ346は囲い1 00の外側で囲い底部106に配置され、シャフト344は囲い底106を通って延出して 、プラットホーム342の底部表面に取り付けられる。基板支持部材204が電気化学 的電着セル200内で上昇および下降するときに、回転シャフト306との固定された 垂直関係を維持するために、シャフトスリーブ308もまたプラットホーム342に配 設される。また、好ましくは、アクチュエータ346は基板支持部材204に振動を与 えて、基板電着表面への電着を向上させる。或いは、基板支持部材204にバイブ レータ(図示せず)を取りつけて、振動を与えることもできる。 図3および図4を参照して、カソードクランプリング210および電着溶液捕集 カップ246の構造、動作および配置を説明する。捕集カップ246は、電気化学的電 着セル200の囲い側壁104から、カソードクランプリング210の底面220へと内側に 延出した環状構造体である。カソードクランプリング210は、好ましくは、下方 に向かう傾斜を有する外側部分を含んでおり、これは捕集カップ246の内側端部 と重なって、電着溶液が捕集カップ246の中に流れるのを補助する。内側端部250 は、カソードクランプリング210底面の凹部254に対応した隆起部252を含んでい る。この隆起部252は、基板支持部材204が電着位置に係合されていないときに、 カソードクランプリング210を支持する。図4に示すように、基板支持部材が電着 位置に係合されると、カソードクランプリング210は隆起部252から持ち上げられ 、基板電着表面214上に支持される。 電力は、カソードクランプリング210の接点部分208を介して、カソードクラン プリング210により基板電着表面214に供給される。カソードクランプリング210 に電力を与えるために、一以上のカソード接点216が、基板支持部材204の導電性 ベースプレート292底面に固定され且つ半径方向外側に向けて延出して、カソー ドクランプリング210の底面220に電気的に接触する。好ましくは、カソード接点 216はバネ負荷された金属ストリップからなり、これは、処理に際して基板支持 部材204が回転および/または振動するときに、カソードクランプリングの底面2 20との定常的な電気的接触を維持する。或いは、カソードクランプリング210は 、接続ワイヤ(図示せず)を介して電源に固定的に接続される。 基板支持部材204および回転シャフト306を回転させながらカソードクランプリ ング210に電力を提供するために、シャフトスリーブ308の頂部に回転カソード接 続部340が配置されて、電源90に接続される。回転シャフト306は、好ましくは導 電性材料からなり、回転カソード接続部340は、回転シャフト306の外部表面に可 動的に接触して、回転シャフト306が回転する際の回転シャフト306との電気的導 通を維持する。回転カソード接続部340は、好ましくは、一対のリングスケール3 43の間に配設された、複数の導電性ボールベアリング341からなる。好ましくは 、回転シャフト304が回転する際の回転カソード接続部340の導電性を向上するた めに、この回転カソード接続部340には水銀が充填される。 好ましくは、カソードクランプリング210は、処理に際して電着溶液に露出さ れる基板電着表面上の電着領域を定める中央開口部をもった、環状の導電性部材 からなる。このカソードクランプリング210は、カソード接点216および基板支持 部材204を介して電源90に電気的に接続され、また、基板電着表面214に接触し、 基板電着表面214へ電力(電圧および電流)を与えて電気化学的電着プロセスを 可能にする接点部分208を含んでいる。この接点部分208は、好ましくは基板202 の周縁上で、最小半径距離(しかし、基板電着表面214上の種金属層に電気的に 接触するための十分な距離)だけ内側に延びている。好ましくは、接点部分208 は、基板電着表面の周縁部との連続的な接触を与える環状表面を含んでいる。カ ソードと基板電着表面との間の連続的な電気的インターフェースを与えることに よって、電力は基板電着表面214上で均一に分布する。個別の接点フィンガー構 成に比較して、電気的インターフェースにおける増大はまた、個別的なカソード 接点ピンで生じる縁取り効果(これは不均一な電着を生じる)を最小限にする。 或いは、接点部分208は、基板電着表面214の周縁部分に実質的に接触するように 配置された、複数の接点パッド217(図7に示す)からなる。 接点部分208と基板電着表面214との間の摩擦力のために、カソードクランプリ ング20が基板202と係合している間、カソードクランプリング210は基板支持部材 204と共に回転する。好ましくは、カソードクランプリング210は、底面220に配 置されてカソード接点216を収容する複数のロック溝(図示せず)を含んでいる 。カソード接点216をロック溝に嵌合させた状態では、カソードクランプリング2 10は、接点部分208と基板電着表面214の間の摩擦力に依存することなく、基板支 持部材204と同期して回転する。 図8は、カソードクランプリングのもう一つの実施例を示す部分断面図である 。この実施例において、カソードクランプリング210は、金属電着表面214の周縁 部に電気的に接触する金属含浸エラストマーリング350を備えた接点部分208を含 んでいる。金属含浸エラストマーリング350は、カソードクランプリング210にお ける段差表面209の隆起部351に配置される。この金属含浸エラストマーリング35 0は、電着溶液およびプロセスにより影響を受けない接着剤により、カソードク ランプリング210の段差表面209に固定される。或いは、金属含浸エラストマーリ ング350は、螺子またはボルトのようなファスナー(図示せず)によって、カソ ードクランプリング210の段差表面209に固定される。もう一つの変形例では、カ ソードクランプリング210は、段差表面に配設された環状のあり継ぎ溝(図示せ ず)を含んでおり、これは金属含浸エラストマーリング350を挟み込んで保持す る。 金属含浸エラストマーリング350は、疎水性エラストマーマトリックス内に配 置された、金属の粒子もしくは短ワイヤを介して電気的導通を提供する。図9は 、 金属含浸エラストマーリング350の一部を切り欠いて示す斜視図である。この金 属含浸エラストマーリング350は、一般に、外側エラストマーリング352、内側エ ラストマーリング354、および内側エラストマーリング352と外側エラストマーリ ング354の間にサンドイッチされた金属リング356とからなる。好ましくは、金属 リング356は、エラストマーリング35の頂面からエラストマーリング350の底面へ と角度αの傾斜で延びる複数の個別の金属ワイヤ358からなる。金属ワイヤ358は 、カソードクランプリング210から基板電着表面214へと電力を導通させる。金属 ワイヤ358の頂部端357はカソードクランプリング210に接触し、また金属ワイヤ3 58の底部端359は基板電着表面214に接触する。金属ワイヤ358の傾斜各αは、個 々の金属ワイヤが相互に摺動し、また角度αを必要に応じて増大することにより 、金属含浸エラストマーリング350が圧縮されて基板電着表面上にシールを形成 すると共に、基板電着表面214に対する電気的接触を与える能力を高める。金属 含浸エラストマーリングの一例は、Shin-Etsu Handotai America,Inc.,Vancou ver,Washingtonから入手可能である。金属含浸エラストマーリング350は、基板 電着表面214との従順な接触インターフェースを提供し、これはカソードクラン プリング210の接点部分208による基板表面214の掻き傷を減少させる。金属含浸 エラストマーリング350はまた、エラストマーマトリックス中の金属導電体が該 金属導体の性質を変化させる処理溶液に露出されないように、プロセス溶液から 接点インターフェースをシールする。上記では金属含浸マトリックスの一実施例 を説明したが、本発明は、カソードクランプリング210の接点部分208として使用 するための、エラストマーマトリックス内に異なる構成の導電体粒子を有する金 属含浸エラストマーの他の実施例も想定している。 図10は、クランプリングの接点部分のもう一つの実施例を示す、カソードク ランプリングの一部断面図である。この実施例において、カソードクランプリン グ210の接点部分208は、カソードクランプリング210の底面209から下方への導電 性金属の環状延設部を具備している。この下方への環状延設部は、好ましくは楔 形の環状リングである。内側の同心円O-リング211および外側の同心円O-リン グ213は、接点部分208を取り囲むカソードクランプリング210の底面209に取りつ けられる。これらのO-リング211および213は、接点部分208が電力を基板電着表 面214に導通させる一方、電気化学的電着プロセスの際に接点部分208のための密 封環境を提供する。 図8を再度参照すると、カソードクランプリング210用支持体のもう一つの実 施例は、カソードクランプリングと捕集カップ246の内部端との間の動力学的結 合を利用する。動力学的結合の利用は、電着溶液捕集カップ246との関係におけ るカソードクランプリングのように、同心円部分の正の配置を可能にする。この 動力学的結合は、一般に、内側端部250の頂面上にある複数の座部363と、ボール ベアリング361の頂部を収容するカソードクランプリング210底面の対応する溝36 2とに部分的に配設された、複数のボールベアリング361(一つだけ図示する)か らなっている。好ましくは、この動力学的結合は、カソードクランプリング210 をセンタリングする三つのボールベアリングを使用する。一つのボールベアリン グはクランプリング210の半径方向の位置に配置されるのに対して、他の二つの ボールベアリングは角部位置に配置される。 図11(ここには電着溶液捕集カップ246が示されている)を参照すると、好 ましくは、二つの電着溶液ドレイン244が、電気化学的電着セル200の半径方向反 対側のコーナーに配置される。図3および図4を再度参照すると、電着溶液捕集 カップ246は、電気化学的電着セル200の中間部分に配設されて、電着溶液を 一以上の電着溶液ドレイン244に向けさせる。処理の際、電着溶液は電着溶液入 口240を通ってキャビティー242の中にポンプ輸送され、アノードプレート230を 通って基板電着表面214上へと通過し(図4参照)、カソードクランプリング210 の上を通って、捕集カップ246の電着溶液ドレイン244の中へと流れる。捕集カッ プ246は、内側端部250から電着溶液ドレイン244へと下方に向けて傾斜した頂面2 48を含んでおり、カソードクランプリングから溢れた電着溶液を電着溶液ドレイ ン244へと向けさせる。電着溶液ドレイン244の大きさ(内径)並びに頂面248の 傾斜および長さは、電着溶液が捕集カップ246から溢れて隆起部252を越えて零れ ないような特定の流速に順応するように適合される。電着溶液ドレイン244は電 着溶液出口258に接続されて、処理された電着溶液を電着溶液貯留容器94へと輸 送する。次いで、電着溶液は電着溶液入口240へとポンプ輸送され、電気化学的 電着セル200を通して再循環する。 図3および図4を再度参照すると、一般に円筒状の構造を有するキャビティー リング236が電気化学的電着セル200の頂部に配置されて、アノードプレート203 および該アノードプレート230を通して分配される電着溶液を保持する。アノー ドプレート230は、キャビティーリング236の内部表面から内側に向けて延びた隆 起部232上の、キャビティーリング236底部に配置される。キャビティーリング23 6の内部表面234およびアノードプレート230の頂面は、アノードプレート230を通 して分配される電着溶液を保持するための、キャビティー242を形成する。囲い 蓋102に配設された電着溶液入口240は、キャビティー242の中に電着溶液を供給 する。電着溶液入口240は電着溶液ポンプ92に接続され、該ポンプは、電着溶液 貯留容器94から電着溶液をポンプ輸送する。 好ましくは、アノードプレート230は基板電着表面214と実質的に等しい形状を 有しており、電着溶液を基板電着表面214の全体に均一に分配させるための複数 の穿孔を含んでいる。アノードプレート230は電気的に電源90に接続されており 、好ましくは、電着溶液に溶解して基板電着表面214に電着される金属粒子を与 えることができる消耗性金属を含んでいる。電着溶液が電力を供給されたアノー ドプレート230を通過するときに、金属イオンは、消耗性金属のアノードプレー ト230から電着溶液の中へと解離する。 或いは、アノードプレート230は、電極と、多孔性セラミックプレートのよう な流体透過膜の中に包み込まれた消耗性金属粒子とからなる。紺消耗性アノード プレートに対する代替物は、電着液を通過させるために穿孔された、または多孔 性の非消耗性アノードプレートである。しかし、非消耗性アノードプレートを用 いるときの電着溶液は、プロセスにおいて電着されるべき金属粒子を継続的に補 充するための金属粒子供給源を必要とする。 電着プロセスを向上させるために、電着溶液を撹拌する撹拌機237が、好まし くはキャビティーリング236に取り付けられる。撹拌機237は、一般に、キャビテ ィーリング236の振動により電着溶液に振動を伝えるための、メガ音波または超 音波フィンガーを具備する。 電着プロセスが完了した後は、電着溶液は更にセル200の中にポンプ輸送され ることはなく、電着溶液はセル200から電着溶液ドレイン244を通して排出される 。 しかし、幾らかの電着溶液はアノードプレート230およびキャビティーリング236 上に集まり、処理された基板電着表面214上に滴下する。電着相の後にアノード /キャビティーリングアセンブリーから基板電着表面への残留電着溶液の滴下を 抑制するために、アノード/キャビティーリングアセンブリーは、好ましくは基 板上の領域から遠くに移動される。 図12は、ドリップを抑制するためのアノード/キャビティーリングアセンブ リーの一実施例を示しており、ここで、基板支持部材204は本発明に従うリンス 位置に配置された状態で示されている。好ましくは、キャビティーリング236お よびアノードプレート230のアセンブリーは、垂直中心面で分割された二つの対 称な半型からなっている。夫々の判型にはアクチュエータ237が接続されており 、電着プロセスの後に、アノード/キャビティーリングアセンブリーを引っ張っ て離間させる。アノード/キャビティーリングアセンブリーの各半型は、残留電 着溶液が電着溶液捕集カップ246の中に滴下するように、電着溶液捕集カップ246 上の領域に移動される。 図13は、カソードクランプリング210の上に配置されたシャッタープレート2 38の頂面図であり、アノード/キャビティーリングアセンブリーからの残留電着 溶液の滴下を抑制するための別の解決策を示している。シャッタープレート238 が、アノードキャビティーリングアセンブリーとカソードクランプリング210と の間の領域に移動して、残留電着溶液の雫が処理された基板電着尿面を汚染する のを阻止する。好ましくは、シャッタープレート238は、回転シャッターアクチ ュエータ239に取り付けられて、電着プロセスの間はシャッタープレートチャン バー237の中に後退する。電着相が完了すると、回転シャッタアクチュエータ239 は、シャッタプレート238をアノード/キャビティーリングアセンブリーの下へ と回転させ、残留電着溶液の滴下を阻止する。 図14は、アノード/キャビティーリングアセンブリーのためのサブチャンバ ーを有する電気化学的電着セルの側面図である。アノード/キャビティーリング アセンブリーは、回転アセンブリーアクチュエータ241に取り付けられており、 該アクチュエータは、プロセスの電着相の後に、アノード/キャビティーリング アセンブリーをサブチャンバー243の中に移動させる。アノードプレート230およ びキャビティーリング236をサブチャンバー243の中に移動させることにより、残 留電着溶液はサブチャンバー243の中に滴下し、処理された基板電着面の汚染が 防止される。 電着溶液の層は、プロセスの電着相の後に、典型的には処理された基板電着面 上に残される。処理された基板電着面から残留電着溶液を除去するために、該表 面の上にリンス剤を噴霧し、次いで基板を回転して乾燥させる。図3に戻ると、 リンス剤貯留容器96はリンス剤を供給するが、該容器96は、リンス剤ポンプ97を 介してリンス剤マニホルド261に接続されている。一以上のリンス噴霧口260がリ ンス剤マニホルド261に接続されて、脱イオン水または窒素ガスのようなリンス 剤を処理された基板電着面に噴霧する。 次に、図12を参照すると、基板支持部材204は本発明に従うリンス位置に配 置された状態で示されている。好ましくは、一以上のリンス噴霧口260が、電着 液捕集カップ246の内側端部250の底面262に配設される。リンス噴霧口260は、電 気化学的電着プロセスの完了後、基板支持部材214がリンス位置へ下降したとき に、基板電着表面の上にリンス剤をスプレーする。リンス位置において、基板支 持部材204は、リンス噴霧口260により定められる水平面の下で、且つリンス捕集 カップ264により定められる水平面よりも上に配置される。 図15は、電着溶液捕集カップ246の底面図であり、電着溶液捕集カップ246の 底面に配設された三つのリンス噴出口260を示している。好ましくは、リンス噴 出口260は、処理された基板電着表面214の上にリンス剤の霧を噴霧する。このリ ンス剤は、処理された基板電着表面240上に集まってリンス剤のシート作用を生 じ、処理された基板電着表面24から残留電着溶液を除去する。次いで、基板支持 部材204が回転して基板をスピン乾燥し、処理された基板電着表面214からリンス 剤を除去する。 図16は、リンス捕集カップ264の頂面図である。図12および図16を参照 すると、リンス捕集カップ264は、電着溶液捕集カップ246の下に配置されており 、また電気化学的電着セル200の囲い側壁104から内側に延びて、溢れたリンス剤 および残留する電着溶液をリンスドレイン270へと向けさせる。リンス捕集カッ プ264の内側端部266は、基板支持部材204の周囲の輪郭を描く開口部を形成して 、基 板支持部材204の通過を可能にする。リンス捕集カップ264は、内側端部266から リンスドレイン270へと下方に向けて傾斜した頂面264を含んでいる。リンス噴霧 口260は、処理された基板電着表面214の上にリンス剤を噴霧して該電着表面を洗 浄し、基板電着表面214に残留している過剰の電着溶液を除去する。基板をスピ ン乾燥するときに、リンス剤は電着された基板表面上をリンス捕集カップ264へ と流れ、リンス剤をセル200の底部へと排出するリンスドレイン270に流れる。電 気化学的電着セル200の下方部分は捕集ボールとして働き、囲い底部106の出口25 9は、使用済みのリンス溶液を精製機98へと戻し、次いで、後続のリンス工程で 再使用するために、(図3に示すように)リンス溶液貯留容器96へと戻す。次い で、リンス剤は電気化学的電着セル200から出口259を通ってリンス剤貯留容器95 の中へとポンプ輸送される。 動作に際して、基板202はロボットブレード88により、完全に後退している基 板支持部材204の上を、スリット開口280を通して電気化学的電着セル200の中に 搬送される。図3は電気化学的電着セル200の模式的断面図であり、電気化学的 電着セル200の中へ基板202を搬送するロボットブレードを示している。基板搬送 の間はスリット弁282が開いており、その上に基板202を有するロボットブレード 88は、スリット開口280を通して電気化学的電着セル200に侵入する。基板202は 基板支持部材204の上に配置されており、リフトピンプラットホーム320が上昇す る。基板202は、押し上げロッド327を伸ばすリフトプラットホームアクチュエー タ326により上昇されるリフトピンプラットホーム320上のリフトピン272によっ て、ロボットブレード88の上方に持ち上げられる。次いで、ロボットブレード88 は電気化学的電着セル200から後退し、スリット弁282が閉じて処理環境を密封す る。図3は、電気化学的電着セル200の模式的断面図であり、基板支持部材204の 上に配置されてリフトピン272に支持された基板を示している。リフトプラット ホームアクチュエータ326は、プッシュロッド326を後退させてリフトピンプラッ トホーム320を下降させ、基板202を基板支持表面206および外側O-リング298の 上に配置する。バキュームチャック290が真空吸引を行って基板202を基板支持表 面206上に保持し、外側シール(O-リング)298が基板202の裏面を処理化学薬品 からシールする。 次いで、アクチュエータ346が支持部材204を処理位置まで上昇させる。図4は 、本発明に従う処理位置で基板支持部材204上に配設された、基板202を有する電 気化学的電着セル200の模式的断面図である。処理位置において、基板202はカソ ードクランプリング210に係合し、カソードクランプリング210の接点部分208を 通して基板電着表面214に電力が供給される。電着溶液は、囲い頂部102の溶液入 口240を通して、アノードプレート230上のキャビティーリング236の中にポンプ 輸送される。電着溶液はアノードプレート230を通って基板電着表面214へと通過 し、その上に金属層を堆積する。 電着プロセスに際して、回転アクチュエータ310は、回転シャフト306を介して 1分当たり約10回転(RPM)から約50RPMで、基板支持部材204を中心軸の回りに 回転させ、また、アクチュエータ306は基板支持部材204に振動を与える。基板支 持部材204の回転および振動は、基板電着表面214に対する電着溶液の均一な露出 を与え、その上への均一な電着を促進する。電着の均一性は連続的なカソード接 触によっても改善され、これは基板電着表面214の全体に均一な電流密度を分布 させるカソードクランプリング210により与えられる。 基板電着表面の高アスペクト比構造の埋め込みを向上させるために、プロセス の電着相の際に、電着/脱電着スキームが適用される。この電着/脱電着スキー ムは、一般に、カソードとアノードとの間の電着溶液を通して流れる電流を周期 的に反転させることを含んでいる。電着周期の間、カソードおよびアノードは正 常にバイアスされて、カソード上への電気化学的電着を生じさせる。脱電着周期 の間、カソードおよびアノードはバイアスを反転されて電流が反転され、電着表 面の脱電着を生じる。しかし、脱電着の際に、電着周期に比較して短い周期に高 い電流が印加されると、脱電着周期は、高アスペクト比構造の孔の開口部で凸状 効果またはブリッジ効果を排除し、その後の電着周期での構造埋め込みを向上さ せる。 電着溶液が基板電着表面214の上を流れた後、電着溶液はカソードクランプリ ング210上を電解質捕集カップ246の中へと流れる。ついで、電着溶液は電解質ド レイン244を通って流れ、電気化学的電着セル200から出口258を通してポンプ輸 送される。好ましくは、電着プロセスの最後まで、電着溶液は電気化学的電着セ ル200を通して再循環される。次いで、電着溶液は次の電着プロセスまで、電気 化学的電着セル200から電解質貯留容器94の中に排出される。好ましくは、電着 溶液が排出されるときに、回転アクチュエータ310は、遠心力により基板電着表 面をスピン乾燥するのに十分な速度で基板支持部材204を回転させる。基板支持 部材204は、好ましくは、少なくとも100RPMで回転して基板202をスピン乾燥する 。 電着プロセスの後に、アクチュエータは基板支持部材204をリンス位置にまで 下降させる。基板202は、好ましくは、リンス噴霧口260により定められる水平面 よりも下方で、且つリンス捕集カップ264の先端で定められる水平面よりも上方 に配置される。リンス噴霧口260は、リンス剤を処理された電着表面214の上に噴 霧し、電着表面を洗浄し、基板電着表面214に残留している過剰の電着溶液を除 去する。リンスプロセスを終了するためには、基板支持部材204を少なくとも約1 00RPMの速度で回転させて、遠心力により基板電着表面214を乾燥させる。リンス 剤は、リンスドレイン270を通してセル200の底部へ排出され、出口259を通して 、セル200からリンス剤貯留容器96へとポンプ輸送される。 リンスプロセスの後、アクチュエータ346は完全に後退し、基板支持部材204を 図3に示す搬送位置へと下降させる。真空チャック290は真空吸引を停止して基 板202を解放し、リフトプラアットホームアクチュエータ326がプッシュロッド32 7を伸ばして、リフトピンプラットホーム320およびリフトピン272を上昇させ、 処理された基板202を基板支持表面206よりも上に持ち上げる。リフトピン272が 基板202を基板支持表面206よりも上に持ち上げたときに、ブローオフガスが真空 チャック290を通して真空ポート294からポンプ輸送され、基板202の裏面縁部に 向けられたガス流を与える。このブローオフガスは、残留するリンス剤が基板20 2の裏面215を汚染するのを防止する。スリット弁282が開き、ロボットブレード8 8がスリット280を通して電気化学的電着セル200の中に延びる。ロボットブレー ド88は上昇した基板202の下に配置され、リフトピン272が下降して、基板202を ロボットブレード88上に配置する。次いで、ロボットブレード88は処理された基 板と共に電気化学的電着セル200から後退し、プロセスは次の未処理基板のため に繰り返される。 上記は本発明の好ましい実施例に向けられているが、本発明のの基本的な範囲 を逸脱することなく、本発明の更なる実施例を案出してもよい。本発明の範囲は 後述の請求の範囲によって決定される。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スティーブンス ジョー アメリカ合衆国 カリフォルニア州 95123 サン ホセ エニング アベニュ ー 5653 (72)発明者 エドワーズ ロイ アメリカ合衆国 カリフォルニア州 95030 ロス ガトス モントクレア ロ ード 215 (72)発明者 ローレンス ロバート ビー アメリカ合衆国 カリフォルニア州 95032 ロス ガトス エドマンド ドラ イヴ 15822 (72)発明者 シュガーマン マイケル アメリカ合衆国 カリフォルニア州 94117 サン フランシスコ ベルヴェデ ール ストリート 134 (72)発明者 デノメ マーク アメリカ合衆国 カリフォルニア州 95134 サン ホセ ガレリア ドライヴ 418―#8 【要約の続き】 カップおよびリンス液の捕集カップを具備した二重捕集 カップシステムを提供する。この二重捕集カップシステ ムは、処理の際に電着溶液およびリンス液の分離を提供 し、また電着システムの異なる溶液のための再循環シス テムを提供する。本発明はまた、基板表面に電力を供給 するための装置であって、電源に電気的に接続された環 状リングを具備し、該リングは前記基板表面の周縁部に 電気的に接触する接点部分を有する装置を提供する。好 ましくは、前記接点部分は金属含浸エラストマーリング のような環状表面を具備し、基板の周縁部との連続的ま たは実質的に連続的な接触を提供する。本発明のもう一 つの側面は、電気化学的電着のために基板を保持する装 置であって、基板支持面を有する基板ホルダと、電源に 電気的に接続された環状リングとを具備し、該環状リン グは前記基板表面の周縁部に電気的に接触する接点部分 を有する装置を提供する。

Claims (1)

  1. 【特許請求の範囲】 1.基板電着表面に金属を電着するための方法であって: a)基板を、その電着表面を上に向けて基板支持部材の上に保持すること と; b)カソードを、前記基板電着表面に電気的に接触させることと; c)アノードを、前記基板電着表面の上に配置することと; d)電着溶液を、前記アノードから前記基板電着表面に流すこととを具備 する方法。 2.請求項1に記載の方法であって、前記基板を保持するステップが、前記基 板支持部材と前記基板の裏面との間に真空吸引を与えることを具備する方法。 3.請求項2に記載の方法であって、前記基板を保持するステップが、更に、 前記基板支持部材と前記基板の裏面との間に周縁シールを提供することを具備す る方法。 4.請求項1に記載の方法であって、前記カソードを前記基板表面に電気的に 接触させる方法が、 i)導電性のクランプリングを前記カソードに接続することと ii)前記基板表面の周縁部を、前記クランプリングの接点部分に接触さ せることとを具備した方法。 5.請求項4に記載の方法であって、前記接点部分が環状部分である方法。 6.請求項1に記載の方法であって、前記アノードは、該アノードを通して電 着溶液を流すために穿孔されている方法。 7.請求項1に記載の方法であって、前記アノードは消耗性アノードである方 法。 8.請求項1に記載の方法であって、更に、 e)前記アノードから前記基板電着表面へと電着液を流しながら、前記基 板を回転させることを具備した方法。 9.請求項1に記載の方法であって、 e)前記アノードから前記基板電着表面へと電着溶液を流しながら、前記 基板を振動させることを具備した方法。 10.請求項1に記載の方法であって、前記電着溶液が電着溶液貯留容器から ポンプ輸送される方法。 11.請求項10に記載の方法であって、更に、 e)前記電着溶液を排出して、前記電着溶液貯留容器へと戻すことを具備 した方法。 12.請求項11に記載の方法であって、更に、 f)前記基板電着表面をリンス剤でリンスすることを具備した方法。 13.請求項11に記載の方法であって、前記基板電着表面をリンスするステ ップが、前記基板電着表面の上にリンス剤を噴霧することを具備した方法。 14.請求項13に記載の方法であって、前記リンス剤が、リンス剤貯留容器 からリンス噴霧口へとポンプ輸送される方法。 15.請求項13に記載の方法であって、更に、 g)前記リンス剤を排出して、前記リンス剤貯留容器へと戻すことを具備 した方法。 16.請求項13に記載の方法であって、更に、 h)前記リンス剤を精製機で精製することを具備した方法。 17.請求項12に記載の方法であって、更に、 g)前記基板をスピン乾燥することを具備した方法。 18.請求項1に記載の方法であって、更に、 e)前記電着溶液を、前記アノードの上に配設したキャビティーリングの 中に供給することを具備した方法。 19.請求項18に記載の方法であって、更に、 f)前記電着溶液を流しながら、前記キャビティーリングを移動させるこ とを具備した方法。 20.請求項18に記載の方法であって、更に、 f)前記アノードと前記基板電着表面との間に配設されたシャッタープレ ートを移動させることを具備した方法。 21.請求項1に記載の方法であって、更に、 e)前記基板を前記基板支持部材よりも上に持ち上げることと、 f)前記基板を持ち上げた状態で、前記基板裏面の周縁部にブローオフガ スを向けることを具備した方法。 22.基板電着表面を有する基板に金属を電着するための装置であって: a)前記基板を、前記基板電着表面を上に向けて保持するように適合され た基板支持部材と; b)前記基板電着表面に接続されたカソードと; c)前記基板支持部材の上方に配置されたアノードと; d)前記アノードと前記基板電着表面とを流体的に接続する電着溶液を供 給するための電着溶液入口と; e)前記アノードおよび前記カソードに接続された電源とを具備する装置 。 23.請求項22に記載の装置であって、前記基板支持部材が真空チャックを 具備する装置。 24.請求項22に記載の装置であって、前記基板支持部材は、前記基板を収 容するための基板収容表面に環状シールを含む装置。 25.請求項22に記載の装置であって、前記基板支持部材は回転可能な部材 である装置。 26.請求項22に記載の装置であって、前記基板支持部材は振動部材である 装置。 27.請求項22に記載の装置であって、前記基板支持部材は、基板裏面縁部 をブローオフするためのガス出口を含む装置。 28.請求項22に記載の装置であって、前記カソードは導電性の環状クラン プリングを具備する装置。 29.請求項22に記載の装置であって、前記カソードはカソード電源に固定 的に接続される装置。 30.請求項22に記載の装置であって、前記基板支持部材は、前記カソード に係合するカソード接点アセンブリーを含む装置 31.請求項22に記載の装置であって、前記前記アノードは穿孔プレートを 具備する装置。 32.請求項22に記載の装置であって、前記アノードは、液体透過性の囲い 内に配設された消耗性金属源を具備する装置。 33.請求項22に記載の装置であって、前記アノードは非消耗性アノードで ある装置。 34.請求項22に記載の装置であって、更に、 e)前記電着溶液入口の下に配置されたキャビティーリングを具備し、該 キャビティーリングおよび前記アノードが、前記電着溶液を保持するためのキャ ビティーを形成する装置。 35.請求項34に記載の装置であって、更に、 f)前記キャビティーリングを実質的に水平な面の回りに移動させるよう に、前記キャビティーリングに接続されたアクチュエータを具備する装置。 36.請求項22に記載の装置であって、更に、 e)前記アノードと前記基板表面との間に配設されたシャッタープレート と、 f)前記シャッタープレートを実質的に水平な面の回りで移動させるよう に、前記シャッタープレートに接続されたアクチュエータとを具備する装置。 37.請求項22に記載の装置であって、更に、 e)前記基板表面にリンス剤を噴霧するように、前記基板電着表面の上に 配設されたリンス噴霧口を具備する装置。 38.基板電着表面を有する基板に金属を電着するための装置であって: a)囲いと; b)前記基板電着表面を上に向けて基板を保持するように適合された、前 記囲いの底部に配設された基板支持部材と; c)前記基板電着表面に電気的に接触するように適合されたカソードクラ ンプリングと; d)前記基板支持部材の上方に配置されたアノードと; e)前記アノードと前記基板電着表面とを流体的に接続するように適合さ れた、電着溶液供給源とを具備する装置。 39.請求項38に記載の装置であって、更に、 f)前記囲いの内側表面から前記カソードクランプリングの底部へと延び る捕集カップを具備した装置。 40.請求項38に記載の装置であって、前記捕集カップは電着溶液を排出す るためのドレインを含む装置。 41.請求項38に記載の装置であって、前記捕集カップはカソードクランプ リング支持体を含む装置。 42.請求項38に記載の装置であって、前記捕集カップは前記カソード゛ク ランプリングに動力学的に接続される装置。 43.電気化学的電着セルの中に基板を支持するための装置であって: a)基板を、その電着表面を上に向けて前記電気化学的電着セル内に支持 するように適合された基板支持部材を具備する装置。 44.基板電着表面に金属を電着するための装置であって: a)囲いと; b)前記囲いの底部に配設された基板支持部材と; c)前記基板電着表面に電気的に接続されたカソードクランプリングと; d)前記基板支持部材の上方に配置されたアノードと; e)前記アノードと前記基板電着表面とを流体的に接続する電着溶液を供 給するように適合された電着溶液入口と; f)前記囲いの内部表面から前記カソードクランプリングの底部表面へと 延びる捕集カップとを具備する装置。 45.基板電着表面に電力を供給するための装置であって: a)電源に電気的に接続された環状リングを具備し、該環状リングは、前 記基板電着表面の周縁部に電気的に接触する接点部分を有する装置。 46.電気化学的電着のために基板を保持するための装置であって: a)その上に基板を保持するように適合された基板支持表面を有する基板 ホルダと; b)電源に電気的に接続された環状リングとを具備し、該環状リングは、 前記基板電着表面の周縁部に電気的に接触する接点部分を有する装置。 47.基板表面に電力を供給するための方法であって: a)電源に電気的に接続された環状リングを提供することと; b)前記環状リング上の接点部分を、前記基板表面の周縁部分に電気的に 接触させることとを具備した方法。 48.電気化学的電着のために基板を保持するための方法であって: a)基板支持表面を有する基板ホルダを提供することと; b)前記基板支持表面の上に基板を配置することと; c)電源に電気的に接続された環状リングを提供することと; d)前記環状リング上の接点部分を、基板表面の周縁部分に電気的に接触 させることとを具備した方法。 49.半導体基板に電着するための方法であって: 電着表面を有する半導体基板をロボットにより電着セル内に配置し、その 際に前記電着表面は上を向き、前記セルは(i)前記電着表面を取り囲い且つこ れに電気的に接触するカソードリング、および(ii)前記電着表面の上方に配 置されたアノードを具備することと; 前記カソードリングおよび基板をユニットとして回転させることと; 前記電着表面に電力を供給し、それによって金属イオンを前記電着表面に 析出させることと; 前記半導体基板を前記電着セルから取り出すこととを具備する方法。
JP55332299A 1998-04-21 1999-04-21 表面を上にして半導体基板を処理するための枚様式電気化学的電着セル Pending JP2002506489A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8249498P 1998-04-21 1998-04-21
US60/082,494 1998-04-21
PCT/US1999/008784 WO1999054920A2 (en) 1998-04-21 1999-04-21 Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Publications (1)

Publication Number Publication Date
JP2002506489A true JP2002506489A (ja) 2002-02-26

Family

ID=22171573

Family Applications (1)

Application Number Title Priority Date Filing Date
JP55332299A Pending JP2002506489A (ja) 1998-04-21 1999-04-21 表面を上にして半導体基板を処理するための枚様式電気化学的電着セル

Country Status (6)

Country Link
US (3) US6416647B1 (ja)
EP (1) EP0992062A2 (ja)
JP (1) JP2002506489A (ja)
KR (1) KR20010014064A (ja)
TW (1) TW589408B (ja)
WO (1) WO1999054920A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100980051B1 (ko) * 2002-06-21 2010-09-06 가부시키가이샤 에바라 세이사꾸쇼 기판홀더 및 도금장치

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6726823B1 (en) * 1998-11-28 2004-04-27 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US20040104120A1 (en) * 1998-11-28 2004-06-03 Hui Wang Method and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US20050205111A1 (en) * 1999-10-12 2005-09-22 Ritzdorf Thomas L Method and apparatus for processing a microfeature workpiece with multiple fluid streams
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US6423636B1 (en) * 1999-11-19 2002-07-23 Applied Materials, Inc. Process sequence for improved seed layer productivity and achieving 3mm edge exclusion for a copper metalization process on semiconductor wafer
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
TWI228548B (en) 2000-05-26 2005-03-01 Ebara Corp Apparatus for processing substrate and apparatus for processing treatment surface of substrate
US6454927B1 (en) 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US7112121B2 (en) * 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7153410B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US6610189B2 (en) * 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US6478937B2 (en) * 2001-01-19 2002-11-12 Applied Material, Inc. Substrate holder system with substrate extension apparatus and associated method
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6908540B2 (en) 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
JP3681670B2 (ja) * 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20070062647A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Method and apparatus for isolative substrate edge area processing
US6855235B2 (en) * 2002-05-28 2005-02-15 Applied Materials, Inc. Anode impedance control through electrolyte flow control
US6843897B2 (en) * 2002-05-28 2005-01-18 Applied Materials, Inc. Anode slime reduction method while maintaining low current
US9624596B2 (en) 2002-07-22 2017-04-18 Ebara Corporation Electrochemical deposition method
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040084318A1 (en) * 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040104119A1 (en) * 2002-12-02 2004-06-03 Applied Materials, Inc. Small volume electroplating cell
US20040108213A1 (en) * 2002-12-09 2004-06-10 Talasek Robert T. Plating bath composition control
US7270735B2 (en) * 2003-01-21 2007-09-18 Seagate Technology Llc System and method for holding and releasing a workpiece for electrochemical machining
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7146994B2 (en) * 2003-03-17 2006-12-12 Novellus Systems, Inc. Active rinse shield for electrofill chemical bath and method of use
WO2004112093A2 (en) * 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
JP2005029830A (ja) * 2003-07-10 2005-02-03 Ebara Corp めっき装置及びめっき方法
US20050051437A1 (en) * 2003-09-04 2005-03-10 Keiichi Kurashina Plating apparatus and plating method
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050098198A1 (en) * 2003-11-10 2005-05-12 Reg Yang Washing device for packaging the image
US7044476B2 (en) * 2003-11-25 2006-05-16 N&K Technology, Inc. Compact pinlifter assembly integrated in wafer chuck
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050121329A1 (en) * 2003-12-05 2005-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Thrust pad assembly for ECP system
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
KR101248182B1 (ko) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7371312B2 (en) * 2004-03-31 2008-05-13 Intel Corporation Using cell voltage as a monitor for deposition coverage
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7226860B2 (en) * 2004-04-28 2007-06-05 Taiwan Semiconductor Manfacturing Co. Ltd. Method and apparatus for fabricating metal layer
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US7292427B1 (en) * 2004-10-12 2007-11-06 Kla-Tencor Technologies Corporation Pin lift chuck assembly for warped substrates
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
TWI259538B (en) * 2004-11-22 2006-08-01 Au Optronics Corp Thin film transistor and fabrication method thereof
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US8420435B2 (en) * 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US9508886B2 (en) 2007-10-06 2016-11-29 Solexel, Inc. Method for making a crystalline silicon solar cell substrate utilizing flat top laser beam
US20090107545A1 (en) * 2006-10-09 2009-04-30 Soltaix, Inc. Template for pyramidal three-dimensional thin-film solar cell manufacturing and methods of use
US7566390B2 (en) * 2004-12-15 2009-07-28 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7155319B2 (en) 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
TW200707640A (en) * 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20070238265A1 (en) * 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
JP2006299367A (ja) * 2005-04-22 2006-11-02 Yamamoto Mekki Shikenki:Kk 電気めっき試験器
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US8153318B2 (en) 2006-11-08 2012-04-10 Alan Devoe Method of making a fuel cell device
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US8029937B2 (en) 2006-05-11 2011-10-04 Alan Devoe Solid oxide fuel cell device and system
JP4937655B2 (ja) * 2006-07-18 2012-05-23 株式会社東設 電気めっき装置
US7999174B2 (en) * 2006-10-09 2011-08-16 Solexel, Inc. Solar module structures and assembly methods for three-dimensional thin-film solar cells
US8035028B2 (en) * 2006-10-09 2011-10-11 Solexel, Inc. Pyramidal three-dimensional thin-film solar cells
US8293558B2 (en) * 2006-10-09 2012-10-23 Solexel, Inc. Method for releasing a thin-film substrate
US8193076B2 (en) 2006-10-09 2012-06-05 Solexel, Inc. Method for releasing a thin semiconductor substrate from a reusable template
US20080264477A1 (en) * 2006-10-09 2008-10-30 Soltaix, Inc. Methods for manufacturing three-dimensional thin-film solar cells
US8512581B2 (en) * 2006-10-09 2013-08-20 Solexel, Inc. Methods for liquid transfer coating of three-dimensional substrates
US20100304521A1 (en) * 2006-10-09 2010-12-02 Solexel, Inc. Shadow Mask Methods For Manufacturing Three-Dimensional Thin-Film Solar Cells
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8278013B2 (en) 2007-05-10 2012-10-02 Alan Devoe Fuel cell device and system
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8227128B2 (en) 2007-11-08 2012-07-24 Alan Devoe Fuel cell device and system
US8343684B2 (en) 2008-03-07 2013-01-01 Alan Devoe Fuel cell device and system
KR100957366B1 (ko) 2008-03-13 2010-05-12 현대자동차주식회사 연료전지 스택 분리판용 접합설비의 지그장치
US20100144080A1 (en) * 2008-06-02 2010-06-10 Solexel, Inc. Method and apparatus to transfer coat uneven surface
WO2010062639A1 (en) 2008-10-28 2010-06-03 Alan Devoe Fuel cell device and system
US20100101730A1 (en) * 2008-10-29 2010-04-29 Jusung Engineering Co., Ltd. Substrate processing apparatus
US20100148319A1 (en) * 2008-11-13 2010-06-17 Solexel, Inc. Substrates for High-Efficiency Thin-Film Solar Cells Based on Crystalline Templates
US8288195B2 (en) * 2008-11-13 2012-10-16 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
MY160251A (en) * 2008-11-26 2017-02-28 Solexel Inc Truncated pyramid -structures for see-through solar cells
US8906218B2 (en) 2010-05-05 2014-12-09 Solexel, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
JP2012515453A (ja) * 2009-01-15 2012-07-05 ソレクセル、インコーポレイテッド 多孔質シリコン電解エッチングシステム及び方法
MY162405A (en) * 2009-02-06 2017-06-15 Solexel Inc Trench Formation Method For Releasing A Thin-Film Substrate From A Reusable Semiconductor Template
US9209474B2 (en) 2009-03-06 2015-12-08 Alan Devoe Fuel cell device
US8828517B2 (en) 2009-03-23 2014-09-09 Solexel, Inc. Structure and method for improving solar cell efficiency and mechanical strength
WO2010120850A1 (en) * 2009-04-14 2010-10-21 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (cvd) reactor
US9099584B2 (en) * 2009-04-24 2015-08-04 Solexel, Inc. Integrated three-dimensional and planar metallization structure for thin film solar cells
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
WO2010129719A1 (en) 2009-05-05 2010-11-11 Solexel, Inc. High-productivity porous semiconductor manufacturing equipment
US8445314B2 (en) * 2009-05-22 2013-05-21 Solexel, Inc. Method of creating reusable template for detachable thin film substrate
EP2436028B1 (en) * 2009-05-29 2016-08-10 Solexel, Inc. See-through three-dimensional thin-film solar cell semiconductor substrate and methods of manufacturing
US20130233378A1 (en) 2009-12-09 2013-09-12 Solexel, Inc. High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods using semiconductor wafers
US8241940B2 (en) 2010-02-12 2012-08-14 Solexel, Inc. Double-sided reusable template for fabrication of semiconductor substrates for photovoltaic cell and microelectronics device manufacturing
JP2011190530A (ja) * 2010-02-16 2011-09-29 Canon Anelva Corp シャッター装置及び真空処理装置
KR20110106178A (ko) * 2010-03-22 2011-09-28 삼성전자주식회사 기판 처리 장치 및 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011156657A2 (en) 2010-06-09 2011-12-15 Solexel, Inc. High productivity thin film deposition method and system
EP2601687A4 (en) 2010-08-05 2018-03-07 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
CN103228223B (zh) 2010-10-11 2016-07-06 库克医学技术有限责任公司 具有可脱离可枢转钳口的医疗设备
US9728435B2 (en) 2010-10-21 2017-08-08 Ebara Corporation Plating apparatus and plating method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9748414B2 (en) 2011-05-20 2017-08-29 Arthur R. Zingher Self-activated front surface bias for a solar cell
US8967935B2 (en) * 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
SG10201605873QA (en) * 2011-07-19 2016-09-29 Ebara Corp Plating apparatus and plating method
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
NL2009689A (en) * 2011-12-01 2013-06-05 Asml Netherlands Bv Support, lithographic apparatus and device manufacturing method.
US9023555B2 (en) 2012-02-24 2015-05-05 Alan Devoe Method of making a fuel cell device
EP2817842B1 (en) 2012-02-24 2016-04-13 Alan Devoe Method of making a fuel cell device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
CN102936744B (zh) * 2012-11-29 2015-04-29 成都瑞迪机械实业有限公司 用于孔类零件的镀铬工装
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN104576280B (zh) * 2013-10-23 2017-10-20 中微半导体设备(上海)有限公司 等离子体处理腔室及其去夹持装置和方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6222145B2 (ja) 2015-03-11 2017-11-01 トヨタ自動車株式会社 金属皮膜の成膜装置およびその成膜方法
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170053822A1 (en) * 2015-08-23 2017-02-23 Camtek Ltd. Warped wafers vacuum chuck
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107768270B (zh) * 2016-08-16 2020-04-07 沈阳芯源微电子设备股份有限公司 一种防止反溅液体污染晶片的装置
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
ES2928391T3 (es) 2017-02-08 2022-11-17 Picosun Oy Aparato de deposición o de limpieza con estructura móvil y método de funcionamiento
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
WO2019191636A1 (en) * 2018-03-29 2019-10-03 Applied Materials, Inc. Substrate cleaning components and methods in a plating system
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
WO2019204513A1 (en) * 2018-04-20 2019-10-24 Applied Materials, Inc. Cleaning components and methods in a plating system
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6971922B2 (ja) * 2018-06-27 2021-11-24 株式会社荏原製作所 基板ホルダ
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20210062652A (ko) * 2018-09-27 2021-05-31 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7233266B2 (ja) * 2018-10-25 2023-03-06 東京エレクトロン株式会社 ステージ装置および処理装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11352711B2 (en) * 2019-07-16 2022-06-07 Applied Materials, Inc. Fluid recovery in semiconductor processing
JP2021044336A (ja) * 2019-09-10 2021-03-18 キオクシア株式会社 半導体製造装置
CN111681984B (zh) * 2020-06-10 2023-09-15 上海御微半导体技术有限公司 一种片材承载装置及晶圆检测设备
US11602064B2 (en) * 2020-09-01 2023-03-07 Applied Materials, Inc. Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
US11848218B2 (en) * 2020-10-22 2023-12-19 Applied Materials, Inc. Semiconductor chamber component cleaning systems
EP4015674A1 (en) * 2020-12-16 2022-06-22 Semsysco GmbH System for a surface treatment of a substrate with a fluid
CN112795970B (zh) * 2020-12-30 2021-12-21 安徽持恒电子科技有限公司 一种电路板印刷用镀铜装置

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
CA1050924A (en) 1975-03-11 1979-03-20 Hans-Gerhard Creutz Electrodeposition of copper
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
EP0076569B1 (en) 1981-10-01 1986-08-27 EMI Limited Electroplating arrangements
JPS58182823A (ja) 1982-04-21 1983-10-25 Nec Corp 半導体ウエハ−のメツキ装置
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4428815A (en) 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4510176A (en) 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS63118093A (ja) 1986-11-05 1988-05-23 Tanaka Electron Ind Co Ltd 電子部品の錫めつき方法
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4874476A (en) 1987-04-13 1989-10-17 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
US5024746A (en) 1987-04-13 1991-06-18 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4861452A (en) 1987-04-13 1989-08-29 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
JP2675309B2 (ja) 1987-09-19 1997-11-12 パイオニア株式会社 無電解めっき方法及びその装置
JPH01255684A (ja) 1988-04-01 1989-10-12 Nec Corp 半導体ウェハーの製造装置
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5168887A (en) * 1990-05-18 1992-12-08 Semitool, Inc. Single wafer processor apparatus
US5168886A (en) * 1988-05-25 1992-12-08 Semitool, Inc. Single wafer processor
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02205697A (ja) 1989-02-03 1990-08-15 Nec Corp バンプメッキ装置
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5156174A (en) * 1990-05-18 1992-10-20 Semitool, Inc. Single wafer processor with a bowl
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
JPH04131395A (ja) 1990-09-21 1992-05-06 Toshiba Corp 半導体ウエハのメッキ方法及び装置
DE69231971T2 (de) 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
JP2697773B2 (ja) 1991-03-11 1998-01-14 日本エレクトロプレイテイング・エンジニヤース 株式会社 メッキ方法
JP3200468B2 (ja) 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JP2654314B2 (ja) 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
JPH0617291A (ja) 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JP3308333B2 (ja) * 1993-03-30 2002-07-29 三菱電機株式会社 電解メッキ装置,及び電解メッキ処理方法
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5837120A (en) * 1994-09-30 1998-11-17 Electroplating Technologies, Inc. Method and apparatus for electrochemical processing
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5879520A (en) * 1994-08-26 1999-03-09 Griego; Thomas P. Rotary electrodeposition apparatus
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
WO1997027348A1 (en) * 1996-01-23 1997-07-31 Minnesota Mining And Manufacturing Company Apparatus and method for electroplating a metal onto a substrate
FR2745589B1 (fr) * 1996-02-29 1998-04-30 Snecma Piece hybride a haut rapport resistance-masse et procede de realisation
JP3462970B2 (ja) * 1997-04-28 2003-11-05 三菱電機株式会社 メッキ処理装置およびメッキ処理方法
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
CN1222641C (zh) * 1998-02-12 2005-10-12 Acm研究公司 电镀设备及方法
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6228232B1 (en) * 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6383352B1 (en) * 1998-11-13 2002-05-07 Mykrolis Corporation Spiral anode for metal plating baths
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
DE19855742C1 (de) * 1998-12-03 2000-09-14 Mtu Muenchen Gmbh Bürstendichtung mit abgewinkelten Borsten
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6270635B1 (en) * 1999-04-27 2001-08-07 Advanced Micro Devices, Inc. Consistent plating system for electroplating
JP2002086327A (ja) 2000-01-27 2002-03-26 Seiko Epson Corp 加工用治具

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100980051B1 (ko) * 2002-06-21 2010-09-06 가부시키가이샤 에바라 세이사꾸쇼 기판홀더 및 도금장치

Also Published As

Publication number Publication date
US20020157960A1 (en) 2002-10-31
WO1999054920A2 (en) 1999-10-28
EP0992062A2 (en) 2000-04-12
US20040020781A1 (en) 2004-02-05
US6416647B1 (en) 2002-07-09
KR20010014064A (ko) 2001-02-26
US6599402B2 (en) 2003-07-29
TW589408B (en) 2004-06-01
WO1999054920A3 (en) 2000-04-06

Similar Documents

Publication Publication Date Title
JP2002506489A (ja) 表面を上にして半導体基板を処理するための枚様式電気化学的電着セル
US6436267B1 (en) Method for achieving copper fill of high aspect ratio interconnect features
US6254760B1 (en) Electro-chemical deposition system and method
JP4766579B2 (ja) 電気化学堆積装置
US6844274B2 (en) Substrate holder, plating apparatus, and plating method
US7387717B2 (en) Method of performing electrolytic treatment on a conductive layer of a substrate
US6267853B1 (en) Electro-chemical deposition system
US7497932B2 (en) Electro-chemical deposition system
US20050087441A1 (en) Revolution member supporting apparatus and semiconductor substrate processing apparatus
TWI654337B (zh) 為求在電阻性基板上獲得最佳電鍍性能之晶圓緣部金屬化
CN1636267A (zh) 电化学边缘和斜面清洁工艺及系统
EP1793017B1 (en) Plating apparatus and plating liquid removing method
US20040173454A1 (en) Apparatus and method for electro chemical plating using backsid electrical contacte
JP2003313697A (ja) 液処理装置及び液処理方法
JP2003027290A (ja) 液処理装置および液処理方法