JP2002312410A - 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体 - Google Patents

論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体

Info

Publication number
JP2002312410A
JP2002312410A JP2001114709A JP2001114709A JP2002312410A JP 2002312410 A JP2002312410 A JP 2002312410A JP 2001114709 A JP2001114709 A JP 2001114709A JP 2001114709 A JP2001114709 A JP 2001114709A JP 2002312410 A JP2002312410 A JP 2002312410A
Authority
JP
Japan
Prior art keywords
scan
circuit
flop
flip
scan flip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001114709A
Other languages
English (en)
Other versions
JP4512934B2 (ja
Inventor
Hiroshi Sato
浩志 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2001114709A priority Critical patent/JP4512934B2/ja
Priority to US10/033,938 priority patent/US6687890B2/en
Publication of JP2002312410A publication Critical patent/JP2002312410A/ja
Application granted granted Critical
Publication of JP4512934B2 publication Critical patent/JP4512934B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

(57)【要約】 【課題】トレードオフの関係にある開発期間の短縮化と
低消費電力化の両方を達成する。 【解決手段】(S1)論理設計された論理回路を読み込
み、(S2)該論理回路に含まれる複数のフリップフロ
ップの各々を、スキャンアウト部にさらにラッチ回路が
付加されたLULスキャンフリップフロップ(LUL−
SFF)で置換し、さらに該複数のLUL−SFFを縦
続接続してスキャン回路を形成し、(S3)これをレイ
アウト設計する。そして、該スキャン回路のLUL−S
FFを通常のスキャンフリップフロップ(SFF)で置
換し、得られたレイアウトを用いてこの置換後の論理回
路の静的タイミング解析を行い、その結果に基づき、タ
イミング調整が必要なSFFのみこれをLUL−SFF
で置換する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、論理設計された集
積回路、特にコンピュータで自動レイアウトすることが
可能なゲートアレイ(SOGを含む)を用いたASIC
に対するレイアウト設計及びタイミング調整の方法及び
装置並びにこの方法を実行するためのプログラム及びこ
のプログラムが記録されたコンピュータ読み取り可能な
記録媒体に関する。
【0002】
【従来の技術】半導体集積回路の高集積化及び高機能化
に伴い、回路規模が増大して故障検出用テストパターン
数が膨大になる。より少ないテストパターン数で高い故
障検出率を得るために、半導体集積回路ではスキャンパ
ス方式が採用されている。
【0003】この方式では、図3に示すような集積回路
10を論理設計した場合に、組み合わせ回路11に接続
されたフリップフロップ12〜15を図5に示すよう
に、通常動作モードとスキャンモードとを有するスキャ
ンフリップフロップ12A〜15Aで置換してこれらを
縦続接続することにより、スキャン回路を形成する。ス
キャンフリップフロップ12A〜15Aは互いに同一構
成であり、例えば図6に示すように構成されている。図
5では回路構成を簡単化して示しているが、実際にはス
キャンフリップフロップが集積回路10A内に多数散在
している。このため、スキャン回路がシフトレジスタと
して機能するスキャンモードである場合、タイミングエ
ラーが生じやすい。
【0004】静的タイミング解析では、集積回路10A
をレイアウト設計し、配線の容量及び抵抗を計算し、セ
ル及びセル間の信号伝播遅延時間を求め、タイミングエ
ラーが発生するかどうかを計算により判定する。あるス
キャンフリップフロップでタイミングエラーが発生する
と判定された場合には、エラーを解消するためにその前
段のスキャンフリップフロップのセルが例えば図6に示
すようなLUL(ロックアップラッチ)付スキャンフリ
ップフロップ13Bのセルで置換される。
【0005】ところが、集積回路10Aが最適レイアウ
ト設計されていることと、通常のスキャンフリップフロ
ップよりもLUL付スキャンフリップフロップの方がセ
ル面積が広いことから、セル置換により隣のセルとオー
バラップする可能性が大きい。このため、セルの移動及
びクロック配線の引き直しをする必要が発生し、これに
より新たなタイミングエラーを引き起こす可能性があ
る。タイミングエラーが発生するとレイアウト設計をや
り直す必要があり、その度にタイミング検証及びタイミ
ング調整を行わなければならず、開発期間が増大する原
因となる。
【0006】この問題は、スキャン回路を最初からLU
L付スキャンフリップフロップのみで構成することによ
り解決される。
【0007】しかし、この場合、回路面積が増大するの
みでなく、消費電力が増大するという新たな問題が生ず
る。
【0008】
【発明が解決しようとする課題】特にASICでは短納
期化が要求されており、集積回路の開発期間短縮化を図
る必要がある。また、携帯電子機器に用いられる集積回
路は、電池長寿命化のために消費電力の低減化を図る必
要がある。
【0009】本発明の目的は、このような事情に鑑み、
トレードオフの関係にある開発期間の短縮化と低消費電
力化の両方を達成することが可能な、論理設計された集
積回路に対するレイアウト設計及びタイミング調整の方
法及び装置並びにこの方法を実行するためのプログラム
及びこのプログラムが記録されたコンピュータ読み取り
可能な記録媒体を提供することにある。
【0010】
【課題を解決するための手段及びその作用効果】本発明
による論理設計された集積回路のレイアウト設計及びタ
イミング調整方法の一態様では、(a)論理設計された
第1論理回路に対し、該第1論理回路に含まれる複数の
フリップフロップの各々を、第1スキャンフリップフロ
ップのスキャンアウト部にさらにラッチ回路が付加され
た第2スキャンフリップフロップで置換し、さらに該複
数の第2スキャンフリップフロップを縦続接続して第1
スキャン回路を形成することにより、第2論理回路を生
成し、(b)該第2論理回路をレイアウト設計し、
(c)第2論理回路に対し、該第1スキャン回路の各第
2スキャンフリップフロップを第1スキャンフリップフ
ロップで置換して得られる第3論理回路の静的タイミン
グ解析を行い、その結果に基づきタイミング調整する。
【0011】第1スキャンフリップフロップよりも第2
スキャンフリップフロップの方がセル面積が広いので、
この構成によれば、タイミング調整によりセルの移動や
クロック配線の引き直しをする必要がなく、これによ
り、タイミング調整とレイアウト変更の繰り返し回数が
低減され、集積回路の開発期間を短縮することができ
る。
【0012】また、タイミング調整のために必要な部分
のみ第2スキャンフリップフロップを用いているので、
集積回路の消費電力を低減することができる。
【0013】したがって、従来ではトレードオフの関係
にあった開発期間の短縮化と低消費電力化の両方を達成
することができる。
【0014】本発明の他の目的、構成及び効果は以下の
説明から明らかになる。
【0015】
【発明の実施の形態】以下、図面を参照して本発明の一
実施形態を説明する。
【0016】図1は、本発明の一実施形態の、論理設計
された集積回路に対するレイアウト設計及びタイミング
調整装置のハードウェア構成を示す概略ブロック図であ
る。
【0017】この集積回路は、コンピュータ1による自
動レイアウトが可能なものであり、例えばゲートアレイ
を用いたASICである。
【0018】この装置のハードウエア構成は一般的なコ
ンピュータシステムであり、コンピュータ1に、入力デ
ータ及び処理プログラムが格納された記憶装置2と、処
理結果であるレイアウトが格納される記憶装置3と、操
作者がコンピュータ1に対し指示を与えるための入力装
置4と、指示内容や処理進行状況などを表示する表示装
置5とが接続されている。
【0019】この入力データは、例えば図3に示すよう
な論理設計された集積回路10のデータ(ネットリスト
を含む、以下同様)と、セルデータ(セル遅延時間を含
む、以下同様)と、レイアウトに基づきゲート間信号伝
播遅延時間を計算するのに用いられる配線抵抗率及び配
線間容量計算式中の係数値(遅延パラメータ値)を含
む。このセルデータは、スキャン回路を構成するセルと
これに接続されるセルのデータであり、図6に示すよう
なスキャンフリップフロップ13A及び図7に示すよう
なLUL付スキャンフリップフロップ13Bのセルと、
タイミング調整用バッファゲートのセルと、スキャン回
路に接続された組み合わせ回路11内のセルのデータを
含む。記憶装置2及び3にそれぞれ格納された論理集積
回路及びレイアウトのデータは、後述のように更新され
る。
【0020】ここで、図6に示すスキャンフリップフロ
ップ13Aについて概説する。
【0021】スキャンフリップフロップ13Aは、マス
タースレーブ型であって、公知の構成であり、スキャン
モードSMに応じデータ入力端Dとスキャンイン端SI
の信号の一方を選択して出力するセレクタ20と、この
出力が供給されるマスターラッチ回路21と、回路21
の出力が供給されるスレーブラッチ回路22とを備えて
いる。図6中、23〜28はPMOSトランジスタとN
MOSトランジスタとが並列接続された転送ゲートであ
り、30〜40はCMOSインバータである。
【0022】上記構成において、スキャンモードSMが
高レベルのときには転送ゲート23及び24がそれぞれ
オン及びオフになってスキャンイン端SIの信号が選択
され、スキャンモードSMが低レベルのときには転送ゲ
ート23及び24がそれぞれオフ及びオンになってデー
タ入力端Dの信号が選択される。
【0023】クロック入力端Cが低レベルのときには、
転送ゲート25〜28がそれぞれオン、オフ、オフ、及
びオンになり、スレーブラッチ回路22がデータを保持
した状態でセレクタ20の出力がマスターラッチ回路2
1に取り込まれる。次にクロック入力端Cが高レベルに
遷移すると、転送ゲート25〜28がそれぞれ前記と逆
の状態になって、マスターラッチ回路21が入力データ
をラッチすると共にスレーブラッチ回路22がマスター
ラッチ回路21の出力を取り込む。データ出力端Q及び
スキャンアウト端SOの論値値は、インバータ32のそ
れと同一であり、データ出力端*Qの論理値はデータ出
力端Qのそれを反転したものである。
【0024】図7のLUL付スキャンフリップフロップ
13Bは、図6のインバータ40をLULラッチ回路4
1で置換した構成であり、LULラッチ回路41は、転
送ゲート42及び43と、インバータ44及び45とを
備えている。転送ゲート42及び43のオン/オフはそ
れぞれスレーブラッチ回路22の転送ゲート27及び2
8のそれと逆であり、スレーブラッチ回路22がデータ
を保持している時にはLULラッチ回路41はスレーブ
ラッチ回路22の出力を取り込み、スレーブラッチ回路
22が入力を取り込んでいる時にはLULラッチ回路4
1はデータを保持する。これにより、スキャンアウト端
SOの信号はデータ出力端Qの信号よりクロックの半周
期だけ遅れる。
【0025】図2は、上記プログラムによる処理の内容
を示す概略フローチャートである。
【0026】コンピュータ1は、入力装置4からの指示
に基づき記憶装置2に格納されたプログラムをロードし
てこれを実行することにより、以下の処理を行う。
【0027】(S1)論理設計された回路のデータを記
憶装置2から読み込む。この回路は、例えば図3に示す
ような集積回路10であり、組み合わせ回路11と、フ
リップフロップ12〜15からなる順序回路とを有す
る。図3では簡単化のために両回路を分離して示してい
るが、実際には多数のフリップフロップが集積回路10
内に散在している。
【0028】(S2)フリップフロップ12〜15をそ
れぞれ図4に示すようにLUL付スキャンフリップフロ
ップ12B〜15Bで置換し、さらに、LUL付スキャ
ンフリップフロップ12B〜15Bをそれぞれのスキャ
ンイン端SI及びスキャンアウト端SOに関し縦続接続
することにより、スキャン回路を形成する。このスキャ
ン回路では、初段のLUL付スキャンフリップフロップ
12Bのスキャンイン端SIが集積回路10Bのスキャ
ンイン入力端子SINに接続され、最終段のLUL付ス
キャンフリップフロップ15Bのスキャンアウト端SO
がバッファゲート16を介して集積回路10Bのスキャ
ンアウト出力端子SOUTに接続されている。また、L
UL付スキャンフリップフロップ12B〜15Bのスキ
ャンモードSMが集積回路10Bのスキャンモード入力
端子*SMに接続されている。LUL付スキャンフリッ
プフロップ12B〜15Bは互いに同一構成である。
【0029】記憶装置2に格納されている論理回路のデ
ータを、この論理回路に対し該スキャン回路が形成され
たものに変更することにより、論理回路のデータで更新
する。
【0030】(S3)図4の集積回路10Bをレイアウ
ト設計し、その結果を記憶装置3に格納する。
【0031】これにより、通常のスキャンフリップフロ
ップよりもサイズが大きいLUL付スキャンフリップフ
ロップ12B〜15Bの配置領域が確保されるので、以
下に述べるようにLUL付スキャンフリップフロップ1
2B〜15Bをそれぞれスキャンフリップフロップ12
A〜15Aで置換し、タイミング調整の必要に応じその
一部をLUL付スキャンフリップフロップ12Bで置換
しても、後述のステップS13においてセルの移動やク
ロック配線の引き直しをする必要がなくなる。
【0032】(S4)LUL付スキャンフリップフロッ
プ12B〜15Bをそれぞれ図5に示すようにスキャン
フリップフロップ12A〜15Aで置換したスキャン回
路について、スキャンモードSMが低レベルである時
(スキャンモード時)のセル及びセル間信号伝播遅延時
間を含むスタンダードディレイフォーマット(SDF)
ファイルを作成する。この置換は、記憶装置2に格納さ
れている論理回路に反映される。この場合、セル名が変
わるが、セルの入出力ピンの数及び名称は変わらない。
セル遅延時間は、記憶装置2に格納さているスキャンフ
リップフロップのセルデータに含まれているものを読み
出すことにより得られる。ゲート間遅延時間は、記憶装
置2に格納されている論理回路のネットリストと、その
ネットに対応した、ステップS3のレイアウト上のネッ
トの形状及び寸法と、記憶装置2に格納されている上記
遅延パラメータ値とから求められる。レイアウトをセル
置換後のものに更新しないのは、更新の影響が小さく、
また、後述のステップS12でレイアウト変更を伴うタ
イミング調整を行うからである。
【0033】(S5)図5のスキャン回路のスキャンモ
ード時における静的タイミング解析を、スタティックタ
イミングアナライザ(STAルーチン)で行う。
【0034】(S6)この静的タイミング解析によりタ
イミングエラーが発生した場合にはステップS7へ進
み、そうでない場合にはステップS9へ進む。タイミン
グエラーは通常、発生する。
【0035】図8は、図5のスキャンフリップフロップ
14Aでタイミングエラーが生ずる場合を示すタイミン
グチャートであり、スキャンフリップフロップ13Aの
クロック入力端C、スキャンイン端SI及びスキャンア
ウト端SOでのクロック信号CLK1、スキャンデータ
信号S1及びS2と、スキャンフリップフロップ14A
のクロック入力端C及びスキャンイン端SIでのクロッ
ク信号CLK2及びスキャンデータ信号S2aを示す。
【0036】クロック信号CLK1の立ち上がりでスキ
ャンデータ信号S1がS2として保持される。クロック
信号CLK2はクロック信号CLK1よりΔtだけ遅延
している。スキャンデータ信号S2aもS2に対し遅延
している。実際の回路では、プロセスのばらつきや温度
変動などによりスキャンデータ信号S2aの遅延量がば
らつくので(Δtもばらつくが、簡単化のために図8で
はこれが一定であるとしている)、タイミングエラーが
発生するかどうかの判断で考慮される。
【0037】例えばスキャンフリップフロップ13Aが
クロック信号CLK1の立ち上がりで2値D1を保持す
るとき、スキャンフリップフロップ14Aはクロック信
号CLK2の立ち上がりでスキャンデータ信号S2aの
2値D0を保持する必要がある。しかし、図8の場合に
はこれを保持できない場合があるので、スキャンフリッ
プフロップ14Aにおいてタイミングエラー(ホールド
エラー)が発生したと判定される。
【0038】(S7)タイミングエラーが発生したスキ
ャンフリップフロップ14Aの前段のスキャンフリップ
フロップ13Aを、LUL付スキャンフリップフロップ
13Bで置換することにより、タイミング調整する。こ
の置換は、ステップS4と同様に、記憶装置2に格納さ
れている論理回路に対してのみ反映(回路変更)され、
レイアウトには反映されない。
【0039】図9は、図5のスキャンフリップフロップ
13AをLUL付スキャンフリップフロップ13Bで置
換した集積回路10Cを示す。
【0040】図10は、この置換により図9のスキャン
フリップフロップ14Aでのタイミングエラーが解消し
た場合を示すタイミングチャートであり、LUL付スキ
ャンフリップフロップ13Bのクロック入力端C、スキ
ャンイン端SI及びスキャンアウト端SOでのクロック
信号CLK1、スキャンデータ信号S1及びS2bと、
スキャンフリップフロップ14Aのクロック入力端C及
びスキャンイン端SIでのクロック信号CLK2及びス
キャンデータ信号S2cを示す。
【0041】LUL付スキャンフリップフロップ13B
は、上述のようにクロック信号CLK1の立ち下がりで
データをLULラッチ回路41に保持するので、スキャ
ンデータ信号S2bは図8の信号S2よりも半周期遅
れ、これにより、スキャンフリップフロップ14Aはク
ロック信号CLK2の立ち上がりでスキャンデータ信号
S2cを保持することができ、タイミングエラーが解消
する。
【0042】(S8)ステップS7で変更された後の順
序回路(図9のスキャンフリップフロップ12A、13
B、14A及び15A)及びこれに接続されたセルに関
し、ステップS4と同様にしてSDFファイルを作成す
る。
【0043】(S9)この順序回路に関し、スキャンモ
ード入力端子*SMが高レベル(通常動作モード)であ
る場合について静的タイミング解析を行う。
【0044】(S10)ステップS7で回路が変更され
ているので、この順序回路に関し、スキャンモードであ
る場合について新たなタイミングエラーが発生する可能
性がある。そこで、このスキャン回路に関し、スキャン
モード時の静的タイミング解析を行う。
【0045】(S11)ステップS9及びS10でタイ
ミングエラーがなければ処理を終了し、あればステップ
S12へ進む。
【0046】(S12)ステップS9又はS10でタイ
ミングエラーが生じた場合には、バッファゲートを付加
して信号を遅延させることによりタイミング調整する。
図11は、ステップS9及びS10でのタイミングエラ
ーに対しそれぞれバッファゲート17及び18を付加し
た場合を示す。バッファゲート17及び18はいずれも
偶数個のインバータを縦続接続したものである。既にス
テップS7でLUL付スキャンフリップフロップ13B
を用いてタイミング調整が行われているので、ここでの
スキャンモード時のタイミング調整は微調整であり、タ
イミング調整が必要な場合はバッファゲートの付加で充
分である。
【0047】(S13)この回路変更に対応して、記憶
装置2に格納されているバッファセルを用い、レイアウ
トを変更する。ステップS8〜S13のループの初回で
は、ステップS4及びステップS7での回路変更に対し
ても、記憶装置2に格納されているスキャンフリップフ
ロップ及びLUL付スキャンフリップフロップのセルを
用いてレイアウトを変更する。次に、ステップS8へ戻
る。
【0048】本実施形態によれば、図4に示すようにL
UL付スキャンフリップフロップでスキャン回路を形成
してレイアウト設計した後に該LUL付スキャンフリッ
プフロップを通常のスキャンフリップフロップで置換し
て図5に示す回路に変換し、この回路に対し静的タイミ
ング解析を行い、エラーが生じた場合に、対応するスキ
ャンフリップフロップをLUL付スキャンフリップフロ
ップで置換しているので、この置換によりセルの移動や
クロック配線の引き直しをする必要がなく、これによ
り、ステップS8〜S13での繰り返し回数が低減さ
れ、集積回路の開発期間を短縮することができる。
【0049】また、必要な部分のみLUL付スキャンフ
リップフロップを用いているので、集積回路の消費電力
を低減することができる。
【0050】さらに、ステップS4及びS7では回路変
更をレイアウトに反映させず、ステップS13において
反映させるので、回路変更毎にレイアウトを変更する場
合よりも処理時間を短縮することができる。
【0051】なお、本発明には外にも種々の変形例が含
まれる。
【0052】例えば、図2のステップS4及びS7にお
いて回路変更をレイアウトに反映させる構成であっても
よい。
【0053】また、図2のステップ7において、(LU
L付スキャンフリップフロップのセル面積)−(通常の
スキャンフリップフロップのセル面積)≧(タイミング
エラー解消可能な1以上のバッファセルの合計面積)で
あれば、LUL付スキャンフリップフロップの替わりに
バッファセルを付加してタイミング調整を行ってもよ
い。
【0054】さらに、本実施形態では集積回路がゲート
アレイを用いて形成される場合を説明したが、本発明
は、コンピュータによる自動レイアウトが可能なスタン
ダードセル方式の集積回路やフルカスタム集積回路に対
しても適用可能である。
【図面の簡単な説明】
【図1】本発明の一実施形態の、論理設計された集積回
路に対するレイアウト設計及びタイミング調整装置のハ
ードウェア構成を示す概略ブロック図である。
【図2】プログラムによる処理手順を示す概略フローチ
ャートである。
【図3】図2のステップS1の説明図である。
【図4】図2のステップS2の説明図である。
【図5】図2のステップS4の説明図である。
【図6】スキャンフリップフロップの回路図である。
【図7】ロックアップラッチ付スキャンフリップフロッ
プの回路図である。
【図8】図5のスキャンフリップフロップ14Aでタイ
ミングエラーが生ずる場合を示すタイミングチャートで
ある。
【図9】図2のステップS7の説明図である。
【図10】図9のスキャン回路でタイミングエラーが解
消した場合を示すタイミングチャートである。
【図11】図2のステップS12の説明図である。
【符号の説明】
1 コンピュータ 2、3 記憶装置 4 入力装置 5 表示装置 10、10A〜10D 集積回路 11 組み合わせ回路 12〜15 フリップフロップ 12A、13A、14A、15A スキャンフリップフ
ロップ 12B、13B、14B、15B LUL付スキャンフ
リップフロップ 16〜18 バッファゲート 20 セレクタ 21 マスターラッチ回路 22 スレーブラッチ回路 23〜28、42、43 転送ゲート 30〜39、44、45 インバータ C クロック入力端 D データ入力端 SI スキャンイン端 SO スキャンアウト端 *SM スキャンモード入力端子 SIN スキャンイン入力端子 SOUT スキャンアウト出力端子 CLK クロック入力端子
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/04 H01L 27/04 A H03K 3/037 Fターム(参考) 5B046 AA08 BA04 JA01 5F038 CA17 CD09 CD12 CD13 DT06 EZ20 5F064 AA03 BB02 BB07 BB19 CC12 EE02 EE42 EE43 EE47 5J043 AA03 EE01 HH01 JJ10 KK01 KK03 (54)【発明の名称】 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの 方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な 記録媒体

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 (a)論理設計された第1論理回路に対
    し、該第1論理回路に含まれる複数のフリップフロップ
    の各々を、第1スキャンフリップフロップのスキャンア
    ウト部にさらにラッチ回路が付加された第2スキャンフ
    リップフロップで置換し、さらに該複数の第2スキャン
    フリップフロップを縦続接続して第1スキャン回路を形
    成することにより、第2論理回路を生成し、 (b)該第2論理回路をレイアウト設計し、 (c)第2論理回路に対し、該第1スキャン回路の各第
    2スキャンフリップフロップを第1スキャンフリップフ
    ロップで置換して得られる第3論理回路の静的タイミン
    グ解析を行い、その結果に基づきタイミング調整する、 ことを特徴とする論理設計された集積回路のレイアウト
    設計及びタイミング調整方法。
  2. 【請求項2】 上記ステップ(c)では、 (c1)上記第2論理回路のネットリストと上記レイア
    ウト設計により得られたレイアウトとに基づいて、上記
    第1スキャン回路の各第2スキャンフリップフロップを
    第1スキャンフリップフロップで置換して得られる第2
    スキャン回路の、スキャンモード時のセル間の第1信号
    伝播遅延時間を求め、 (c2)該第1信号伝播遅延時間及び該第1スキャンフ
    リップフロップのセル遅延時間に基づいて、該第2スキ
    ャン回路のスキャンモード時の静的タイミング解析を行
    い、 (c3)該静的タイミング解析により第1スキャンフリ
    ップフロップでタイミングエラーが発生した場合には、
    該エラーが発生した第1スキャンフリップフロップの前
    段の第1スキャンフリップフロップを第2スキャンフリ
    ップフロップで置換して該第2スキャン回路を第3スキ
    ャン回路に変更することによりタイミング調整する、 ことを特徴とする請求項1記載の方法。
  3. 【請求項3】 上記ステップ(c)ではさらに、 (c4)上記第3論理回路に対し上記第2スキャン回路
    を上記第3スキャン回路で置換した第4論理回路のネッ
    トリストと上記レイアウト設計により得られたレイアウ
    トとに基づいて、該第2スキャン回路のセル及び該第2
    スキャン回路に接続されたセルを含むセル群に関するセ
    ル間の第2信号伝播遅延時間を求め、該第2信号伝播遅
    延時間及び該セル群の各セルのセル遅延時間に基づい
    て、該第3スキャン回路が通常動作モードである場合及
    びスキャンモードである場合の静的タイミング解析を行
    い、 (c5)該第4論理回路の静的タイミング解析により該
    第3スキャン回路でタイミングエラーが発生した場合に
    は、エラーが発生した第1又は第2スキャンフリップフ
    ロップとその前段の第1又は第2スキャンフリップフロ
    ップとの間にバッファセルを挿入することによりタイミ
    ング調整を行う、 ことを特徴とする請求項2記載の方法。
  4. 【請求項4】 論理設計された第1論理回路のデータ
    と、第1スキャンフリップフロップ及び該第1スキャン
    フリップフロップのスキャンアウト部にラッチ回路が付
    加されている第2スキャンフリップフロップのデータ
    と、プログラムとが格納される記憶装置と、 該プログラムに従って動作するコンピュータとを有し、
    該コンピュータは該データを読み出し該プログラムに従
    って、 (a)該第1論理回路に対し、該第1論理回路に含まれ
    る複数のフリップフロップの各々を、該第2スキャンフ
    リップフロップで置換し、さらに該複数の第2スキャン
    フリップフロップを縦続接続して第1スキャン回路を形
    成することにより、第2論理回路を生成し、 (b)該第2論理回路をレイアウト設計し、 (c)該第2論理回路に対し、該第1スキャン回路の各
    第2スキャンフリップフロップを第1スキャンフリップ
    フロップで置換して得られる第3論理回路の静的タイミ
    ング解析を行い、その結果に基づきタイミング調整し、 (d)該タイミング調整の結果を出力する、 ことを特徴とする論理設計された集積回路のレイアウト
    設計及びタイミング調整装置。
  5. 【請求項5】 上記コンピュータは上記プログラムに従
    って、上記ステップ(c)において、 (c1)上記第2論理回路のネットリストと上記レイア
    ウト設計により得られたレイアウトとに基づいて、上記
    第1スキャン回路の各第2スキャンフリップフロップを
    第1スキャンフリップフロップで置換して得られる第2
    スキャン回路の、スキャンモード時のセル間の第1信号
    伝播遅延時間を求め、 (c2)該第1信号伝播遅延時間及び該第1スキャンフ
    リップフロップのセル遅延時間に基づいて、該第2スキ
    ャン回路のスキャンモード時の静的タイミング解析を行
    い、 (c3)該静的タイミング解析により第1スキャンフリ
    ップフロップでタイミングエラーが発生した場合には、
    該エラーが発生した第1スキャンフリップフロップの前
    段の第1スキャンフリップフロップを第2スキャンフリ
    ップフロップで置換して該第2スキャン回路を第3スキ
    ャン回路に変更することによりタイミング調整する、 ことを特徴とする請求項4記載の装置。
  6. 【請求項6】 上記コンピュータは上記プログラムに従
    って、上記ステップ(c)においてさらに、 (c4)上記第3論理回路に対し上記第2スキャン回路
    を上記第3スキャン回路で置換した第4論理回路のネッ
    トリストと上記レイアウト設計により得られたレイアウ
    トとに基づいて、該第2スキャン回路のセル及び該第2
    スキャン回路に接続されたセルを含むセル群に関するセ
    ル間の第2信号伝播遅延時間を求め、該第2信号伝播遅
    延時間及び該セル群の各セルのセル遅延時間に基づい
    て、該第3スキャン回路が通常動作モードである場合及
    びスキャンモードである場合の静的タイミング解析を行
    い、 (c5)該第4論理回路の静的タイミング解析により該
    第3スキャン回路でタイミングエラーが発生した場合に
    は、エラーが発生した第1又は第2スキャンフリップフ
    ロップとその前段の第1又は第2スキャンフリップフロ
    ップとの間にバッファセルを挿入することによりタイミ
    ング調整を行う、 ことを特徴とする請求項5記載の装置。
  7. 【請求項7】 コンピュータに対し、 (a)論理設計された第1論理回路のデータと、第1ス
    キャンフリップフロップ及び該第1スキャンフリップフ
    ロップのスキャンアウト部にラッチ回路が付加されてい
    る第2スキャンフリップフロップのデータと、プログラ
    ムとが格納された記憶装置から、これらのデータを読み
    出させ、 (b)該第1論理回路に対し、該第1論理回路に含まれ
    る複数のフリップフロップの各々を、該第2スキャンフ
    リップフロップで置換し、さらに該複数の第2スキャン
    フリップフロップを縦続接続して第1スキャン回路を形
    成することにより、第2論理回路を生成させ、 (c)該第2論理回路をレイアウト設計させ、 (d)該第2論理回路に対し該第1スキャン回路の各第
    2スキャンフリップフロップを第1スキャンフリップフ
    ロップで置換して得られる第3論理回路の静的タイミン
    グ解析を行わせ、その結果に基づきタイミング調整さ
    せ、 (e)該タイミング調整の結果を出力させる、 ことを特徴とするプログラム。
  8. 【請求項8】 上記プログラムは上記コンピュータに対
    し、上記ステップ(d)において、 (d1)上記第2論理回路のネットリストと上記レイア
    ウト設計により得られたレイアウトとに基づいて、上記
    第1スキャン回路の各第2スキャンフリップフロップを
    第1スキャンフリップフロップで置換して得られる第2
    スキャン回路の、スキャンモード時のセル間の第1信号
    伝播遅延時間を求めさせ、 (d2)該第1信号伝播遅延時間及び該第1スキャンフ
    リップフロップのセル遅延時間に基づいて、該第2スキ
    ャン回路のスキャンモード時の静的タイミング解析を行
    わせ、 (d3)該静的タイミング解析により第1スキャンフリ
    ップフロップでタイミングエラーが発生した場合には、
    該エラーが発生した第1スキャンフリップフロップの前
    段の第1スキャンフリップフロップを第2スキャンフリ
    ップフロップで置換して該第2スキャン回路を第3スキ
    ャン回路に変更することによりタイミング調整させる、 ことを特徴とする請求項7記載のプログラム。
  9. 【請求項9】 上記プログラムは上記コンピュータに対
    し、上記ステップ(d)においてさらに、 (d4)上記第3論理回路に対し上記第2スキャン回路
    を上記第3スキャン回路で置換した第4論理回路のネッ
    トリストと上記レイアウト設計により得られたレイアウ
    トとに基づいて、該第2スキャン回路のセル及び該第2
    スキャン回路に接続されたセルを含むセル群に関するセ
    ル間の第2信号伝播遅延時間を求めさせ、該第2信号伝
    播遅延時間及び該セル群の各セルのセル遅延時間に基づ
    いて、該第3スキャン回路が通常動作モードである場合
    及びスキャンモードである場合の静的タイミング解析を
    行わせ、 (d5)該第4論理回路の静的タイミング解析により該
    第3スキャン回路でタイミングエラーが発生した場合に
    は、エラーが発生した第1又は第2スキャンフリップフ
    ロップとその前段の第1又は第2スキャンフリップフロ
    ップとの間にバッファセルを挿入することによりタイミ
    ング調整を行わせる、 ことを特徴とする請求項8記載のプログラム。
  10. 【請求項10】 請求項7乃至9のいずれか1つに記載
    のプログラムが記録されていることを特徴とするコンピ
    ュータ読み取り可能な記録媒体。
JP2001114709A 2001-04-13 2001-04-13 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体 Expired - Fee Related JP4512934B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2001114709A JP4512934B2 (ja) 2001-04-13 2001-04-13 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体
US10/033,938 US6687890B2 (en) 2001-04-13 2002-01-03 Method for layout design and timing adjustment of logically designed integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001114709A JP4512934B2 (ja) 2001-04-13 2001-04-13 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体

Publications (2)

Publication Number Publication Date
JP2002312410A true JP2002312410A (ja) 2002-10-25
JP4512934B2 JP4512934B2 (ja) 2010-07-28

Family

ID=18965725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001114709A Expired - Fee Related JP4512934B2 (ja) 2001-04-13 2001-04-13 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体

Country Status (2)

Country Link
US (1) US6687890B2 (ja)
JP (1) JP4512934B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7401308B2 (en) 2004-09-17 2008-07-15 Fujitsu Limited Timing analysis apparatus, timing analysis method, and computer product

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6591407B1 (en) * 2000-03-01 2003-07-08 Sequence Design, Inc. Method and apparatus for interconnect-driven optimization of integrated circuit design
JP2003006253A (ja) * 2001-06-20 2003-01-10 Mitsubishi Electric Corp ロジック回路設計方法およびその方法をコンピュータに実行させるプログラム
US6766274B2 (en) * 2001-08-06 2004-07-20 Texas Instruments Incorporated Determining the failure rate of an integrated circuit
JP2005149313A (ja) * 2003-11-18 2005-06-09 Toshiba Corp 半導体集積回路の設計方法および半導体集積回路
US7146551B2 (en) * 2005-01-20 2006-12-05 Hewlett-Packard Development Company, L.P. Method and system of modifying data in functional latches of a logic unit during scan chain testing thereof
DE602005022230D1 (de) * 2005-03-23 2010-08-19 Freescale Semiconductor Inc Verfahren zur race-verhinderung und einrichtung mit race-verhinderungsfähigkeiten
WO2012124117A1 (ja) * 2011-03-17 2012-09-20 富士通株式会社 タイミングエラー除去方法、設計支援装置、及びプログラム
JP2015106594A (ja) * 2013-11-28 2015-06-08 富士通株式会社 診断装置、診断装置の制御方法、および診断装置の制御プログラム
US10726189B2 (en) 2018-07-23 2020-07-28 Sandisk Technologies Llc Less-pessimistic static timing analysis for synchronous circuits
TWI722360B (zh) * 2018-11-13 2021-03-21 大陸商創意電子(南京)有限公司 測試系統與測試方法
KR20210045075A (ko) 2019-10-16 2021-04-26 삼성전자주식회사 반도체 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050848A (ja) * 1996-08-02 1998-02-20 Oki Electric Ind Co Ltd 集積回路の配置配線設計方法
JP2000148809A (ja) * 1998-11-05 2000-05-30 Nec Ic Microcomput Syst Ltd スキャンテスト方法及びスキャンテスト装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059819A (en) * 1986-12-26 1991-10-22 Hitachi, Ltd. Integrated logic circuit
US5257223A (en) * 1991-11-13 1993-10-26 Hewlett-Packard Company Flip-flop circuit with controllable copying between slave and scan latches
JPH07262254A (ja) 1994-03-18 1995-10-13 Fujitsu Ltd 半導体集積回路の設計支援装置
US5717700A (en) * 1995-12-04 1998-02-10 Motorola, Inc. Method for creating a high speed scan-interconnected set of flip-flop elements in an integrated circuit to enable faster scan-based testing
US5812561A (en) * 1996-09-03 1998-09-22 Motorola, Inc. Scan based testing of an integrated circuit for compliance with timing specifications
JPH10242809A (ja) * 1997-02-26 1998-09-11 Nec Corp スキャン用フリップフロップ回路
US6389566B1 (en) * 1998-06-02 2002-05-14 S3 Incorporated Edge-triggered scan flip-flop and one-pass scan synthesis methodology

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050848A (ja) * 1996-08-02 1998-02-20 Oki Electric Ind Co Ltd 集積回路の配置配線設計方法
JP2000148809A (ja) * 1998-11-05 2000-05-30 Nec Ic Microcomput Syst Ltd スキャンテスト方法及びスキャンテスト装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7401308B2 (en) 2004-09-17 2008-07-15 Fujitsu Limited Timing analysis apparatus, timing analysis method, and computer product

Also Published As

Publication number Publication date
US20020157074A1 (en) 2002-10-24
JP4512934B2 (ja) 2010-07-28
US6687890B2 (en) 2004-02-03

Similar Documents

Publication Publication Date Title
US3783254A (en) Level sensitive logic system
US5878055A (en) Method and apparatus for verifying a single phase clocking system including testing for latch early mode
US11500018B2 (en) Asynchronous circuits and test methods
US7944237B2 (en) Adjustable hold flip flop and method for adjusting hold requirements
US11121707B2 (en) Programmable clock skewing for timing closure
JP2002312410A (ja) 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体
US7584393B2 (en) Scan test circuit and method of arranging the same
US10354706B1 (en) Delaying start of user design execution
US7822909B2 (en) Cross-bar switching in an emulation environment
US10658062B2 (en) Simultaneous scan chain initialization with disparate latches
US6381704B1 (en) Method and apparatus for altering timing relationships of non-overlapping clock signals in a microprocessor
WO2004042786A2 (en) High-frequency scan testability with low-speed testers
US20110181331A1 (en) Integrated circuit with leakage reduction in static nets
US6237117B1 (en) Method for testing circuit design using exhaustive test vector sequence
US5912900A (en) Method and system for testing self-timed circuitry
JPH10133768A (ja) クロックシステム、半導体装置、半導体装置のテスト方法、及びcad装置
JP2012185127A (ja) 半導体装置およびそのテスト方法
US9960771B2 (en) Hum generation using representative circuitry
JP2004127012A (ja) 同期式回路およびその設計方法
JP2004037264A (ja) スキャン機能付きフリップフロップ回路およびスキャンテスト回路
JP2872098B2 (ja) テスト機能付きカウンタ装置
JP2004294213A (ja) スキャン機能付フリップフロップ回路およびスキャンテスト回路ならびにスキャンテスト回路配線方法
JPH08213895A (ja) 信号タイミング調整回路
JP2002062338A (ja) 電子装置およびその検査方法
JP2005189230A (ja) 半導体集積回路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080121

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080728

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100427

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100427

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130521

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140521

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees