JP2001525491A - B2h6核形成ステップを用いた低抵抗率タングステン - Google Patents

B2h6核形成ステップを用いた低抵抗率タングステン

Info

Publication number
JP2001525491A
JP2001525491A JP2000523396A JP2000523396A JP2001525491A JP 2001525491 A JP2001525491 A JP 2001525491A JP 2000523396 A JP2000523396 A JP 2000523396A JP 2000523396 A JP2000523396 A JP 2000523396A JP 2001525491 A JP2001525491 A JP 2001525491A
Authority
JP
Japan
Prior art keywords
deposition
gas
tungsten
substrate
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000523396A
Other languages
English (en)
Inventor
アルフレッド マック,
ケヴィン ライ,
シッシー ルン,
デニス ソーヴェイジュ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001525491A publication Critical patent/JP2001525491A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 タングステン膜を基板に堆積させる複数ステップの化学気相堆積プロセスである。この堆積プロセスの第1のステップは、タングステン含有ソース、III族又はV族の水素化物と還元剤を含むプロセスガスが基板処理チャンバの、第1の圧力レベルに以下に維持されている堆積ゾーンに流入する核形成ステップを含んでいる。この第1の堆積段階では、他のプロセス変数は、タングステン膜を基板上に堆積させるに適した条件に維持される。次に、第1の段階後の第2の堆積段階では、堆積ゾーンに対するIII族又はV族の水素化物の流入が停止され、その後で、堆積ゾーン内の圧力画題1の圧力レベルを上回る第2の圧力レベルに増加され、他のプロセスパラメータは第2のタングステン膜を基板に堆積させるに適した条件に維持される。ある好ましい実施形態では、タングステン含有ソースの流れはIII族又はV族の水素化物の流れに連れて停止され、5〜30秒という期間後に、タングステン含有ソースの流れは、堆積ゾーン内の圧力が第2の圧力レベルまで増加すると再び開始される。

Description

【発明の詳細な説明】
【0001】 (関連出願に対するクロスリファレンス) 本出願は、1997年5月16日に提出され、ラビ・ラジャゴパラン(Ravi Ra
jagoparan)、スチーブ・ガナエム(Steve Ghanayem)、マナブ・ヤマザキ、ケイイ
チ・オーツカ及びユージ・マエダを共同発明者として持つ「B26を用いた低抵
抗率タングステン(W)」という題名の米国特許出願第08/857,658号
に関連する。この第08/857,658号出願は、本出願の譲受者であるアプ
ライドマテリアルズ社に譲受されている。
【0002】 (発明の背景) 本発明は集積回路の製造に関する。特に、本発明は、抵抗率が減少し密着性が
強い改良されたタングステン(W)膜を形成するための技法、更に方法と装置を
提供する。
【0003】 半導体基板上にタングステンを堆積することは、1部の集積回路(IC)の構
造を形成する際の共通したステップである。例えば、タングステンは、半導体基
板の部分を電気的にコンタクトさせるために共通して用いられる。これらの電気
的コンタクトは通常、基板上に形成された、酸化シリコンなどの絶縁層に空いた
開口を通って与えられる。このようなコンタクトを形成する一つの方法に、タン
グステンを化学気相堆積(CVD)させて、窒化チタンの初期層が開口中に堆積
された後でその開口を充填する方法がある。別の方法として、タングステンを時
々用いて、半導体基板上に金属配線を形成する方法がある。
【0004】 半導体産業界でタングステン膜を堆積するために用いられてきたある一つのC
VD技法では、六フッ化タングステン(WF6)と水素還元剤、例えばH2を前駆
気体として用いる。この堆積技法を用いる公知の一つのプロセスは二つの主要な
ステップを含んでいるが、それは核形成とバルク堆積である。この核形成ステッ
プでは、後続の膜の成長サイトとして働く薄いタングステン層を成長させる。W
6とH2に加えて、この技法による核形成ステップで用いられるプロセス気体に
はシラン(SiH4)があるが、更に窒素(N2)とアルゴンも含まれることがあ
る。次に、バルク堆積ステップによって、タングステン膜を形成する。バルク堆
積用気体はWF6、H2、N2及びArを含む混合気体である。
【0005】 集積回路技術の進歩によってデバイスのディメンジョンが縮小しチップのサイ
ズと複雑さが増加するに連れて、タングステンを堆積させる改良された方法が求
められてきた。CVDタングステン堆積法の核形成段階とバルク堆積段階の双方
に対して、プロセス気体中のH2の代わりに又はこれに加えてジボラン(B26 )並びに他のIII族やV族の水素化物を用いて研究がなされてきた。この研究
の1部は、B26を含まないプロセス気体から堆積されたタングステン膜と比較
してB26を含んでいるプロセス気体から堆積されたタングステン膜では抵抗率
が減少し堆積率が増加することを示唆している。
【0006】 この発見にもかかわらず、タングステン膜の堆積法のさらなる改良が望まれて
いる。
【0007】 (発明の概要) 本発明は改良型タングステン膜を形成する方法と装置を提供する。本発明によ
る方法によれば、基板上にタングステン膜を堆積するための複数ステップから成
るCVDプロセスが教示されている。この複数ステップ堆積プロセスの第1のス
テップには、基板処理チャンバの堆積ゾーンを第1の圧力レベル以下に維持した
状態で、タングステン含有源とIII族やV族の水素化物と還元剤を含むプロセ
ス気体がこの堆積ゾーンに流し込まれる核形成ステップが含まれる。この第1の
堆積段階では、他のプロセス変数は、タングステン膜から成る第1の層を基板上
に堆積するのに適した状態に維持される。次に、第1の段階の後の第2の段階で
は、III又はV族の水素化物の堆積ゾーンに対する流入が停止され、その後で
、堆積ゾーン中の圧力が第1の圧力レベルを上回る第2の圧力レベルにまで増加
されて、他のプロセスパラメータが、タングステン膜の第2の層を基板上に堆積
するに適した状態に維持される。
【0008】 ある好ましい実施形態では、タングステン含有源の流れは、III族又はV族
の水素化物の流れが停止するに連れて停止し、このタングステン含有源の流れは
、堆積ゾーン内圧力が第2の圧力レベルに増加すると再開される。タングステン
含有源の流れは5秒から30秒の期間にわたって停止されるのが好ましい。
【0009】 別の実施形態では、N2とシランガス(例えばSiH4)が、タングステン含有
源とB26と主還元剤を含んでいるプロセス気体に対して核形成段階で添加され
る。タングステン含有源がWF6であり主還元剤がH2であるのが好ましい。N2 と還元剤とキャリヤガスの流れが、バルク堆積段階が完了するまで、核形成段階
全体にわたって維持される。さらなる好ましい実施形態では、核形成段階に先立
つ堆積段階が用いられるが、この堆積段階では、シランソースが、B26とタン
グステン含有源を除く主還元剤及びキャリヤガスと共に堆積ゾーン中に導入され
る。任意により、N2の流れもまた、この先行する堆積段階で導入される。
【0010】 本発明による上述の実施形態及び他の実施形態及並びにその利点及び特徴を添
付図面を参照して以下に詳述する。
【0011】 (好ましい実施形態の詳細な説明) I.序説 本発明は、B26などのIII族又はV族の水素化物を核形成ステップ中に堆
積チャンバに流入させ、タングステン層がバルク堆積される前にその水素化物の
流れを停止させることによって改良型タングステン膜を堆積させる。本発明者ら
は、このように堆積されたタングステン膜は他のタングステン膜と比較して低い
抵抗率と強い密着性を併せ持っていることを発見した。本発明による方法で堆積
された膜は、0.35ミクロン以下という特徴サイズを有する集積回路の製造で
用いるのに適している。また、本発明を用いれば、従来設計のCVDチャンバ中
でタングステン膜を堆積することも可能である。
【0012】 II.例示CVDリアクタチャンバ 図1Aと図1Bに、本発明によるタングステン膜をその内部で堆積することを
可能とする真空チャンバ12を有する並行プレート式冷壁CVDシステム10の
一実施形態を示す。CVDシステム10は、抵抗加熱されたサセプタ18上に休
止しているウェーハ16に堆積用ガスを分散させるためのガス分配マニホルド1
4を含んでいる。
【0013】 チャンバ12は、中央輸送チャンバに接続されロボットによって操作される複
数の処理チャンバを有する真空処理システムの1部といってよい。基板16が、
ロボットブレードによってチャンバ(図示せず)の側壁のスリットバルブを通っ
てチャンバ12内に入れられる。サセプタ18はモータ20によって縦方向に運
動可能となっている。基板16は、サセプタ18がスリットバルブの反対側の第
1の位置13に位置するとチャンバ内に挿入される。基板16は初期段階におい
て、位置13のところで、サセプタ18中を通っており、かつ、これにカップリ
ングされている一集合のピン22によって支持される。ピン22は単一のモータ
アセンブリによって駆動される。
【0014】 サセプタが点線で示すようにガス分配マニホルド14の反対側の処理位置32
に来ると、ピン22はサセプタ18中に沈み、基板16がサセプタ上に置かれる
。基板16は、サセプタ18上に一旦置かれると、真空固着システム(図1Bで
は溝50として示されている)によってサセプタに固定される。
【0015】 基板16は、処理位置32に向かって上方に移動するに連れて、パージガイド
54と接触すると、このパージガイドによってサセプタの中心に置かれる。エッ
ジパージガス23がパージガイド54を通って基板16のエッジ上を流れ、これ
によって、堆積ガスが基板のエッジや背面側に接触しないようにする。パージガ
ス25はまた、ヒータ/サセプタ18の周りを流れて、ヒータ/サセプタ周辺に
対する堆積物を最小化する。これらのパージガスはパージライン(図1Aの24
)から供給されるが、これまた、処理中にチャンバ内に導入された腐食性ガスに
よる破損からステンレススチール製ベロー26を保護する目的で使用される。
【0016】 堆積ガスとキャリヤガスが、バルブ17の制御に反応して、マニホルド14に
至るガスライン19を通ってチャンバの堆積ゾーンに供給される。処理中は、マ
ニホルド14に供給されたガスは矢印27が示すように基板表面上に均一に分配
される。消費された処理ガス及び副産物のガスは排出システム36によってチャ
ンバから排出される。ガスが排出システム36から排出ラインに放出される速度
は、スロットルバルブ(図示せず)によって制御される。堆積の間、前述のよう
に、第2のパージガスがサセプタ(図示せず)中のガスチャネル内を通過し、供
給ライン38がウェーハ16のエッジに対してパージガスを供給する。RF電源
48をマニホルド14にカップリングして、チャンバに対するプラズマCVD清
浄化することができる。
【0017】 CVDシステム10のサセプタ18とRF電源48と他の態様にカップリング
されたスロットルバルブとガス供給バルブ17とモータ20と抵抗加熱器は、制
御ライン44(その1部だけを図示する)を介してプロセッサ42によって制御
される。プロセッサ42は、メモリ46などのコンピュータ読み取り式媒体中に
記憶されているコンピュータプログラムの制御下で動作する。このコンピュータ
プログラムは、特定のプロセスの温度、チャンバ圧力、タイミング、ガスの混合
、RF出力レベル、サセプタ位置及び他のパラメータを指図する。
【0018】 ある好ましい実施形態では、システムコントローラは、ハードディスクドライ
ブ(メモリ46)、フロッピディスクドライブ及びプロセッサ42を含んでいる
。このプロセッサは単一ボード式コンピュータ(SBC)、アナログ式及びデジ
タル式の入/出力ボード、インタフェースボード及びステッパモータ用コントロ
ーラボードを含んでいる。CVDシステム10の様々な部品は、ボード、カード
のタイプ並びにコネクタのディメンジョン及びタイプを定めるベルサモジュラヨ
ーロピアン(Versa Modular European、(VME))規格に準拠している。VM E規格はまた、バスの構造を16ビットのデータバスト24ビットのアドレスバ
スを有するものと定めている。
【0019】 システムコントローラ42は、CVD機械のすべての行動を制御する。システ
ムコントローラは、メモリ46などのコンピュータ読み取り式媒体中に記憶され
ているコンピュータプログラムであるシステム制御ソフトウエアを実行する。メ
モリ46はハードディスクであるのが好ましいが、メモリ46もまた他の種類の
メモリであってもよい。このコンピュータプログラムは、特定のプロセスのタイ
ミング、ガスの混合、チャンバ圧力、チャンバ温度、RF出力レベル、サセプタ
位置及び他のパラメータを指示する命令の集合を含んでいる。例えばフロッピデ
ィスクや他の適切なドライブを含む他のメモリデバイス上に記憶された他のコン
ピュータプログラムを用いて、コントローラ42を動作させてもよい。
【0020】 ユーザとコントローラ42間のインタフェースは、一つ以上のチャンバを含み
得る基板処理システム中のシステムモニタとCVDシステム10の略図である図
1Cに示すCRTモニタ60aとライトペン60bを介する。この好ましい実施
形態では、一方はオペレータ用にクリーンルーム壁に取り付けられ、他方はサー
ビス技師用に背後の壁に取り付けられた二つのモニタ60aを用いている。これ
らモニタ60aは同じ情報を同時に表示するが、一方のライトペン60bしかイ
ネーブルされない。ライトペン60bの先端の光センサが、CRTディスプレイ
が放出した光を検出する。特定の画面又は機能を選択するには、オペレータは表
示画面の指定領域に接触してペン60bのボタンを押す。接触された領域はその
強調色を変化させるか又は新しいメニュー若しくは画面が表示されて、ライトペ
ンと表示画面間での通信を確認する。キーボードやマウスやなどの他のデバイス
又は通信デバイスをライトペン60bの代わりに又はこれに加えて用いて、ユー
ザがコントローラ42と通信することを可能とする。
【0021】 膜を堆積させるプロセスは、コントローラ42によって実行されるコンピュー
タプログラムの製品を用いて実現することが可能である。コンピュータのプログ
ラムコードは、例えば68000アセンブリ言語、C、C++、パスカル、フォ
ートランや他の従来のいかなるコンピュータ読み取り式のプログラミング言語で
も作成することが可能である。適切なプログラムコードは単一のファイル又は複
数のファイル中に従来のテキストエディタを用いて入力されて、コンピュータの
メモリシステムなどのコンピュータが使用できる媒体中に記憶され又は実現され
る。この入力されたコードテキストが高水準言語で作成されたものであれば、そ
のコードはコンパイルされ、その結果得られるコンパイラコードが次に事前コン
パイル済みのウインドウズ(商標)ライブラリルーチンのオブジェクトコードと
リンクされる。このリンクされたコンパイル済みオブジェクトコードを実行する
には、システムユーザはオブジェクトコードを発動して、コンピュータシステム
に対して、そのコードをメモリ中にロードさせる。すると、CPUはそのコード
を読み取って実行し、これによって、プログラム中に識別されているタスクを実
行する。
【0022】 図1Dは、ある特定の実施形態によるシステムソフトウエアであるコンピュー
タプログラム70の階層的制御構造を図示するブロック図である。ユーザは、ラ
イトペンインタフェースを用いて、CRTモニタ上に表示されているメニュー又
は画面に反応して、プロセスセット番号とプロセスチャンバ番号をプロセスセレ
クタサブルーチン73に入力する。このプロセスセットは、特定のプロセスを実
行するために必要とされるプロセスパラメータの所定のセットであり、事前定義
されたセット番号によって識別される。プロセスセレクタサブルーチン73は、
(i)所望のプロセスチャンバと(ii)所望のプロセスを実行するためのプロ
セスチャンバを操作するために必要とされるプロセスパラメータの所望のセット
を識別する。特定のプロセスを実行するためのプロセスパラメータは、例えばプ
ロセスガスの組成及び流量、温度、圧力、RF出力レベル及び低周波数RF周波
数などのプラズマ条件、冷却用ガス圧力並びにチャンバ壁温度に関する。これら
のパラメータは処方箋という形態でユーザに提供されて、ライトペン/CRTモ
ニタインタフェースを利用して入力される。
【0023】 このプロセスを監視するための信号はシステムコントローラのアナログ入力ボ
ードとデジタル入力ボードによって提供され、プロセスを制御するための信号は
CVDシステム10のアナログ入力ボードとデジタル入力ボード上に出力される
【0024】 プロセスシーケンササブルーチン75は、プロセスセレクタサブルーチン73
から送られた識別済みのプロセスチャンバとプロセスパラメータセットを受け入
れ、また、様々なプロセスチャンバの動作を制御するためのプログラムコードを
含んでいる。複数のユーザがプロセスセット番号とプロセスチャンバ番号を入力
したり、又は、一人のユーザが複数のプロセスセット番号とプロセスチャンバ番
号を入力し、これによって、シーケンササブルーチン75が動作して、選択され
たプロセスを所望のシーケンスでスケジューリングすることができる。シーケン
ササブルーチン75は、(i)プロセスチャンバの動作を監視してチャンバが使
用中であるかどうかを判断するステップと、(ii)使用中のチャンバ中でどの
プロセスが実行中であるか判断するステップと、(iii)プロセスチャンバの
利用可能性と実行するプロセスのタイプに基づいて所望のプロセスを実行するス
テップと、を実行するためのプログラムコードを含んでいる。ポーリングなどの
プロセスチャンバを監視する従来の方法を用いることが可能である。どのプロセ
スを実行するかをスケジューリングする場合、シーケンササブルーチン75は、
使用中のプロセスチャンバの現在の条件を考慮に入れて、選択されたプロセス又
は各々の特定のユーザが入力した要求の「年齢」又は優先順位を決定するために
システムプログラマが含むことを所望する他のなんらかの関連する要素の所望の
プロセス条件と比較する。
【0025】 シーケンササブルーチン75は、どのプロセスチャンバとプロセスセットの組
合せを次に実行するかを決定したら、シーケンササブルーチン75によって決定
されたプロセスセットに従ってプロセスチャンバ12内での複数の処理タスクを
制御するチャンバ管理サブルーチン77a〜cに特定のプロセスセットパラメー
タを送ることによってプロセスセットの実行を開始する。例えば、チャンバ管理
サブルーチン77aは、プロセスチャンバ12内でのスパッタリングとCVDプ
ロセス動作を制御するためのプログラムコードを含んでいる。チャンバ管理サブ
ルーチン77はまた、選択されたプロセスセットの実行するために必要なチャン
バのコンポーネントの動作を制御する様々なチャンバコンポーネントサブルーチ
ンの実行を制御する。チャンバコンポーネントサブルーチンの例としては、基板
位置決めサブルーチン80、プロセスガス制御サブルーチン83、圧力制御サブ
ルーチン85、ヒータ制御サブルーチン87及びプラズマ制御サブルーチン90
などが1部の実施形態に含まれている。当業者であれば、プロセスチャンバ12
内でどのプロセスを実行するかによって他のチャンバ制御サブルーチンを含むこ
とができることが容易に認識されよう。動作中は、チャンバ管理サブルーチン7
7aは、実行中の特定のプロセスセットに従ってプロセスコンポーネントサブル
ーチンを選択的にスケジューリング又はコールする。チャンバ管理サブルーチン
75は、どのプロセスチャンバ12とプロセスセットを次に実行するかをスケジ
ューリングするのとほとんど同様にプロセスコンポーネントサブルーチンをスケ
ジューリングする。一般的には、チャンバ管理サブルーチン77aは、様々なチ
ャンバコンポーネントを監視するステップと、実行されるプロセスセットのプロ
セスパラメータに基づいてどのコンポーネントを操作する必要があるかを決定す
るステップと、前記監視ステップと前記決定ステップに反応してチャンバコンポ
ーネントサブルーチンを実行させるステップと、を含んでいる。
【0026】 次に、特定のチャンバコンポーネントサブルーチンの動作を図1Dを参照して
説明する。基板位置決めサブルーチン80は、基板をサセプタ18上に載置して
更に、任意により基板をチャンバ12中の所望の高さにまで持ち上げて基板をガ
ス分配マニホルド14間の間隔を制御するために用いられるチャンバコンポーネ
ントを制御するプログラムコードを含んでいる。基板がプロセスチャンバ12内
に載置されると、サセプタ18は基板を受容するように下がり、その後、サセプ
タ18がチャンバ内の所望の高さにまで上げられて、CVDプロセス中に基板を
ガス分配マニホルドから第1の距離即ち間隔に維持する。動作中は、基板位置決
めサブルーチン80は、チャンバ管理サブルーチン77aから転送された支持高
さに関連するプロセスセットパラメータに反応してサセプタ18の運動を制御す
る。
【0027】 プロセスガス制御サブルーチン83は、プロセスガスの組成と流量を制御する
プログラムコードを有している。プロセスガス制御サブルーチン83は、安全用
遮断バルブの開/閉位置を制御し、また、質量流量コントローラを上下に傾斜さ
せて所望のガス流量を得る。プロセスガス制御サブルーチン83は、すべてのチ
ャンバコンポーネントサブルーチンと同様にチャンバ管理サブルーチン77aに
よって発動され、また、チャンバ管理サブルーチンから所望のガス流量に関連す
るプロセスパラメータを受領する。一般的には、プロセスガス制御サブルーチン
83は、ガス供給ラインを開いて、また、繰り返し、(i)必要な質量流量コン
トローラを読み取り、(ii)その読み取り値をチャンバ管理サブルーチン77
aから受領した所望の流量と比較し、(iii)必要に応じてガス供給ラインの
流量を調節する、ことによって動作する。更に、プロセスガス制御サブルーチン
83は、ガス流量が危険な流量となっていないか監視するステップと、危険状態
が検出された場合に安全用遮断バルブを起動するステップを含んでいる。
【0028】 1部のプロセスにおいては、ヘリウムやアルゴンなどの不活性ガスをチャンバ
12に流入させて、反応性プロセスガスが導入される前にチャンバ内の圧力を安
定化させている。これらのプロセスのために、プロセスガス制御サブルーチン8
3は、チャンバ内圧力を安定化させるに必要な時間にわたってチャンバ12中に
不活性ガスを流入させるステップを含むようにプログラムされているが、その後
で上記のステップが実行される。
【0029】 圧力制御サブルーチン85は、チャンバの排気システムのスロットルバルブを
開くサイズを調節することによってチャンバ12内の圧力を制御するプログラム
コードを含んでいる。スロットルバルブを開くサイズは、全プロセスガス流量、
プロセスチャンバのサイズ及び排気システムのポンピングセットポイント圧力を
基準として所望のレベルにチャンバ圧力を制御するように設定される。圧力制御
サブルーチン85を発動させると、所望の即ち目標の圧力レベルがチャンバ管理
サブルーチン77aからパラメータとして受領される。圧力制御サブルーチン8
5は、チャンバと接続された一つ以上の従来型の圧力マノメータを読み取ること
によってチャンバ12内の圧力を測定し、その測定値を目標圧力と比較して、目
標圧力に対応する記憶済み圧力テーブルからPID(比例と整数と差)の値を得
て、圧力テーブルから得られたこのPID値に従ってスロットルバルブを調整す
るように動作する。代替例として、圧力制御サブルーチン85は、チャンバ12
を所望の圧力に調節するためのスロットルバルブを特定の開放サイズに開閉する
ようにプログラミングすることが可能である。
【0030】 ヒータ制御サブルーチン87は、基板20を加熱するために用いられる加熱ユ
ニットに対する電流を制御するプログラムコードを含んでいる。ヒータ制御サブ
ルーチン87はまた、チャンバ管理サブルーチン77aによって発動されて、目
標の、即ちセットポイントの温度パラメータを受領する。ヒータ制御サブルーチ
ン87は、ペデスタル12中に置かれている熱電対の電圧出力を測定することに
よって温度を測定し、その測定温度をセットポイント温度を比較して、加熱ユニ
ットに供給される電流を増減させて、セットポイント温度を得る。この温度は、
記憶済みの変換テーブル中の対応する温度を調べることによって、又は4次多項
式を用いて温度を計算することによって得られる。埋め込みループを用いてサセ
プタ18を加熱すると、ヒータ制御サブルーチン87が徐々に、ループに供給さ
れる電流の上下の勾配を制御する。加えて、内蔵のフェイルセイフモードを含め
ば、プロセスが安全性に適合しているかどうか検出することが可能であり、また
、プロセスチャンバ12のセットアップが適切でない場合に加熱ユニットの動作
を遮断することが可能である。
【0031】 ある実施形態では、チャンバ12は、チャンバの清浄化又は他の動作のために
用いられるRF電源48が供給される。チャンバ清浄化プラズマプロセスを用い
る場合、プラズマ制御サブルーチン90は、チャンバ12内のプロセス電極に印
加される周波数RF出力レベルを設定するプログラムコードを含んでいる。前述
のチャンバコンポーネントサブルーチンと同じように、プラズマ制御サブルーチ
ン90はチャンバ管理サブルーチン77aによって発動される。
【0032】 上記のCVDシステムの説明は図示が主な目的であり、本発明の範囲を制限す
るものと考えるべきではない。プラテンやサセプタの設計、ヒータの設計、RF
出力接続の位置及びその他の変更例などの上記のシステムの変更例が可能である
。本発明に従ってタングステン層を堆積する方法はいかなる特定の処理装置にも
制限されない。
【0033】 III.タングステン膜の堆積法 本発明による方法を用いて、上記の例示CVDチャンバなどの基板処理用チャ
ンバ中で改良型タングステン膜を堆積させてもよい。図2に、半導体基板上にタ
ングステン膜を堆積させるために用いられる本発明による好ましいプロセスを示
す。このプロセスは例示目的であり、本発明の請求の範囲を制限する意図はない
。適用可能であれば、以下の説明における参照番号を用いて、図1A〜1Dの例
示チャンバの適切なコンポーネントを示してもよい。このプロセスは、CVDシ
ステム10のメモリ46に記憶されているコンピュータプログラムを用いて実現
し制御することが可能である。
【0034】 図2に示すように、本発明は核形成ステップ200を含むが、このステップ2
00では、タングステンを含むソース、III族若しくはV族水素化物、モノシ
ラン(SiH4)などのシランガス及びアルゴン(Ar)などのキャリヤガスを 包含するプロセスガスが約10〜60秒にわたってチャンバ12に流入されて、
後続のタングステン膜のための成長サイトとして働く薄いタングステン層を成長
させる。ある好ましい実施形態では、タングステンを含むソースはWF6であり 、III族又はV族の水素化物はB26である。任意により、H2などの還元ガ ス及びN2などの窒素を含むソースをプロセスガスに添加してもよい。ヒータの 温度は約350〜475℃(約325〜450℃というウェーハ温度に対応して
いる)に設定され、一方、チャンバ圧力は1〜50Torrに設定される。
【0035】 核形成ステップ200が完了すると、タングステン含有ソースの流れ並びに水
素化物の流れ及びシランガスの流れは停止され(ステップ205)、また、チャ
ンバの圧力がバルブ堆積ステップ215の準備のために増加する(ステップ21
0)。ステップ205では、タングステン含有ソース、水素化物及びシランガス
の流れが停止されると、キャリヤガスの流れが約5〜40秒にわたって維持され
て、チャンバをパージして残留ガスを取り除く。N2ガス及びH2ガスの流れがス
テップ200でプロセスガスに含まれている場合、これらの流れもまたこのパー
ジステップ中で維持される。チャンバ圧力はステップ210で増加されて、バル
ク堆積ステップ215でタングステンの堆積速度を増加させる。圧力が約50〜
760Torrにまで増加させるのが好ましい。
【0036】 最後に、バルク堆積ステップ215中に、タングステン含有ソースがプロセス
ガス中に再導入され、また、他のプロセス変数がタングステン膜の第2の層を堆
積させるのに適した条件に維持される。H2又は類似の還元剤がステップ200 〜210でプロセスガス中に含まれる場合、この流れはステップ215で継続さ
れなければならない。H2又は類似の還元剤がステップ200〜210で用いら れない場合、このような流れはステップ215で開始される。窒素含有ソースの
流れを維持するか開始するかは任意である。
【0037】 バルク堆積ステップ215の長さは所望のタングステン膜の厚さによって異な
る。核形成ステップ200中に導入されるB26の分量を正確に制御することが
重要である。実験によれば、抵抗と抵抗率はB26が核形成プロセスガスに添加
されるに連れて初期段階で減少するが、次いで、実際には、B26の分量が飽和
点に達すると増加する。B26がこのステップでチャンバ中に導入される速度は
1〜50sccm(非希釈流として表される)に制限するのが好ましい。
【0038】 本発明者らは、上記の方法に従って堆積されたタングステン膜は、B26無し
で堆積されたタングステン膜と、例えばB26をバルク堆積期間中に添加するな
ど別様に添加して堆積されたタングステン膜の双方より粒子のサイズが大きいこ
とを発見した。粒子サイズが増大すると、その結果、結晶粒界の数が減少し結晶
粒界散乱が減衰するので抵抗率が低下するものと信じられる。バルク堆積ステッ
プ以前に基板の表面にB26が存在し、また、チャンバ内圧力が増加する以前に
そのB26が真空排気されることによって、よりサイズの大きい粒子の形成が可
能となり、また、膜中に包含される硼素の分量が減少すると信じられる。更に、
核形成ステップとバルク堆積ステップ間での遷移期間中に圧力が増す際に硼素が
チャンバ中に存在すると、硼素は膜の界面に捕獲され、このため、密着上の問題
を引き起こすと信じられる。本発明が教示する仕方で(即ち、圧力が増加する以
前に)B26を導入して真空排気すると、本発明によるステップを用いないCV
DタングステンB26プロセスと比較して密着性が改善される。
【0039】 図3に示す本発明による方法のある好ましい実施形態では、様々なステップや
パージステップや他のステップを図2を参照して説明した核形成ステップやパー
ジステップや加圧ステップやバルク堆積ステップに加えて実行する。図3に示す
ように、セットアップステップ300シランバーストステップ305及びB26 事前浸漬ステップ/パージステップ310を含む複数のステップが、核形成ステ
ップ315以前に実行される。セットアップステップ300では、ウェーハは最
初にチャンバ内に導入され、ガス分配マニホルドから400ミルのところに置か
れて、425℃の温度に(約8秒にわたって)加熱される。次に、ArとN2が それぞれ1000sccmと300sccmの流量で6秒間にわたってマニホル
ド14からチャンバ中に導入され、また、チャンバ圧力が30Torrに設定さ
れる。アルゴンの第2の流れが1000sccmの速度でエッジパージガイド5
4中に流入する。ステップ305では、SiH4とH2の流れがそれぞれ300s
ccmと1000sccmの速度で15秒間にわたってAr+N2プロセスガス に添加して、WF6を導入する以前にシリコンを基板中に包含させる。これによ って、WF6が、基板表面をエッチングしたりシリサイド反応を形成したりして シリコン基板を腐食するのを防止する助けとなる。
【0040】 次に、ステップ310で、ウェーハは真空チャック18にチャックされて、S
iH4流が停止される。B26の流れもまたアルゴン、H2及びN2の流れに添加 され、これによってチャンバから残留SiH4をパージし、ウェーハ表面に存在 するB26をパージする。この期間中に、Arエッジパージガスの流れが280
0sccmに増加する。このB26パージステップは20秒間にわたって継続し
て、B26を250sccmの速度で導入する。B26はアルゴンで希釈された
5%B26の溶液として導入される。従って、250sccmの希釈されたB26の流れは12.5sccmの非希釈B26流と等価である。ウェーハがチャ ックされSiH4がパージされると、核形成ステップ315が開始される。核形 成ステップ315では、WF6、B26、SiH4、H2、N2及びArから成るプ
ロセスガスが25秒間にわたってチャンバ12中に流入して、上記のように薄い
タングステン層を成長させる。この実施形態では、核形成ステップ315中のW
6、B26、SiH4、H2、N2及びArの流量はそれぞれ30、50、15、
1000、300及び1500sccmである。50sccmの希釈されたB26流は2.5sccmの非希釈B26流と等価である。チャンバ温度は425 ℃に設定され、一方、チャンバ圧力は30Torrに設定される。
【0041】 核形成ステップ315が完了すると、B26ガス、WF6ガス及びSiH4ガス
の流れが停止されて、チャンバの圧力が90Torrに増加する(ステップ32
5)以前にウェーハがマニホルド14から600ミル離れた位置に移動する(ス
テップ320)。ステップ320では、B26、WF6及びSiH4の流れが停止
した後でN2、H2及びArの流れを8秒間にわたって維持してチャンバから残留
ガスをパージする。また、パージステップ320では、Arキャリヤガス流が2
700sccmに増加し、Arエッジパージ流が3000sccmに増加する。
ステップ325では、マニホルド14中のArの流れが1500sccmに減少
し、Arエッジパージが3200sccmに増加して800sccmのH2エッ ジパージ流と合流する。圧力は6秒間で90Torrに増加する。次に、バルク
堆積ステップ330中に、WF6流がプロセスガスと共に再導入され、Arキャ リヤガス流が1000sccmに減少し、Arエッジパージ3600sccmに
増加し、プロセス条件が所定の期間にわたって保持されて、タングステン膜の堆
積を完了させる。
【0042】 この実施形態では、WF6とH2がバルク堆積ステップ330中にチャンバに流
入する速度は、結果として得られるタングステン膜が用いられる応用によって異
なる。適合特性の方が抵抗より大事であるような充填動作を用いる応用に用いる
場合は、WF6は95sccmという速度で導入され、H2流は700sccmに
維持される。しかしながら、タングステン膜を相互接続という応用に用いる場合
は、抵抗率が引くことが第一の関心事であり、WF6流は36sccmに設定さ れ、一方、H2流は1800sccmに増加する。
【0043】 バルク堆積ステップ330が完了した後では、パージステップ335で、チャ
ンバ12から残留WF6堆積ガスをパージする。このパージステップでは、WF6 ガス流とH2ガス流が停止され、Arキャリヤガス流が6秒間にわたって270 0sccmに増加される。また、より低いArエッジパージ流が2800scc
mに減少し、H2エッジパージ流が停止される。次に、ステップ340で、18 00sccmのH2の流れが6秒間にわたって導入され、ウェーハのチャックが 解かれ(真空固着システム50から外され)、また、Arエッジパージ流が50
0sccmに減少する。ステップ325では、チャンバが3秒間にわたってパー
ジされている間にスロットルバルブは完全に開放されており、ステップ330で
は、すべてのガス流が停止され、一方、チャンバは真空排気される。
【0044】 上記のプロセスに従って堆積された相互接続膜の抵抗率は厚さ600Åで8.
5Ω・cmであり、一方、充填式膜の抵抗率は同様の厚さで9.0Ω・cmであ
る。各々のフッ素濃度は約1x1018原子/cm3であり、硼素の濃度は1x1 016原子/cm3(これらの試験で用いられた二次イオン分光装置の劣化限界値 )未満である。
【0045】 下の表1に、本発明による方法に従って堆積されたいくつかの様々なタングス
テン膜の抵抗率を示す。一般に、表1に示す膜を堆積する際に用いられる堆積条
件は図3を参照して述べた条件と同じであるが、例外は、堆積温度が445℃に
設定されたという点と、核形成段階における圧力が4.5Torrに設定された
という点と、B26の流量が、ステップ310と315の双方において表1に示
すようにステップ310の長さによって変化したという点である。表1に示すB 26の流量は非希釈値に基づいたものであることに注意することが重要である。
【0046】
【表1】 表1に示すように、本発明に従って堆積されたタングステン膜の抵抗率は8.
6μΩ・cmと10.9μΩ・cmの間で変化した。表1には示されていないが
、堆積された膜は各々が、核形成ステップとバルク堆積ステップ間での界面で良
好な密着性特性を示した。界面における強い密着性はSEM断面写真によって検
証された。本発明の恩典無しでタングステン膜を堆積させる実験において、本発
明者らは、膜の抵抗率を本発明による膜が示す範囲内に収めることが可能である
か又は膜が核形成/バルク堆積界面で良好な密着性特性を示すことができるかの
どちらかであり、その双方共実現することはできないことを発見した。
【0047】 ある1群のこれらの実験において、本発明者らは、核形成段階でもバルク堆積
段階でもプロセスガスにB26を添加しない周知の先行技術によるプロセスによ
ってタングステン膜を堆積した。このプロセスに従って堆積された膜は界面にお
いて強固な密着性を示したが、抵抗率は10.2μΩ・cm以上もあった。別の
1群のこれらの実験においては、タングステン膜はバルク堆積段階でB26を添
加することによって堆積された。これらのタングステン膜の抵抗率は比較的低か
ったが(約9.0μΩ・cmと11.0μΩ・cmの間)、膜のSEM断面写真
によれば核形成/バルク堆積界面で判明な分離が認められた。
【0048】 好ましい実施形態を参照して上述したプロセスパラメータは、200mmウェ
ーハ用に備えられたアプライドマテリアルズ社の製造した抵抗加熱式WxZチャ
ンバ中で実行された一つの特定の堆積プロセスに対して最適化される。特定の応
用に従ってタングステン膜を堆積させるための上記の処理パラメータを変化させ
るのに加えて、通常の技術を有する人には、これらの好ましいパラメータが部分
的にはチャンバ別のパラメータであり、従って、他の設計及び/又は容積を持つ
チャンバを用いる場合には変動することが認識されよう。
【0049】 上記の好ましいプロセスと上記の実験で述べられたパラメータは本書に記載す
る請求の範囲を制限するものであってはならない。当業界において通常の技術を
持つ人はまた、好ましい実施形態を参照して述べたもの以外のパラメータと条件
を用いてもよい。従って、上述の説明は図示目的であり、制限的なものではない
。例えば、N2Oなどの窒素の別のソースをプロセスガス中で用いたり、ヘリウ ムなどの他の不活性ガスをアルゴンの代わりに用いてもよい。また、他のプロセ
ス温度とプロセス圧力更に他のガス流量を用いてもよい。従って、本発明の範囲
は上記の説明を基準としてではなく、添付請求の範囲と更に同等物を基準として
定められるべきである。
【図面の簡単な説明】
【図1A】 本発明による簡略化されたCVD装置のある一つの実施形態の縦方向断面図で
ある。
【図1B】 チャンバ中で処理中の基板を固定するための、図1のチャンバ中で使用される
抵抗加熱されるサセプタの一実施形態の縦方向断面図である。
【図1C】 一つ以上のチャンバを含み得るマルチチャンバ内のシステムモニタとCVDシ
ステム100の略図である。
【図1D】 特定の実施形態、システム制御ソフトウエア即ちコンピュータプログラム17
0の階層的制御構造を図示するブロック図である。
【図2】 本発明のある好ましい実施形態のステップを示すフローチャートである。
【図3】 本発明の好ましい実施形態のステップを示すフローチャートである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ライ, ケヴィン アメリカ合衆国, カリフォルニア州, サンタ クララ, グラナダ アヴェニュ ー 3480 ナンバー211 (72)発明者 ルン, シッシー アメリカ合衆国, カリフォルニア州, フリーモント, パゴサ ウェイ 348 (72)発明者 ソーヴェイジュ, デニス フランス, エフ−38240 メイラン, ケミ デ アカシア 31 Fターム(参考) 4K030 AA04 AA05 AA06 AA07 AA17 BA20 FA03 HA01 JA06 JA09 JA11 KA41 LA15 4M104 BB18 DD44 DD45 HH08 HH16

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 基板上にタングステン膜を堆積する化学気相堆積プロセスに
    おいて、前記方法が、 (a)堆積ゾーン中に基板を置くステップと、 (b)第1の堆積段階で、 (i)タングステン含有ソースとIII族又はV族の水素化物と還元剤を含
    むプロセスガスを前記堆積ゾーン中に流入させるステップと、 (ii)前記堆積ゾーンを第1の圧力に維持し、同時に他のプロセス変数を
    前記基板上に前記タングステン膜の第1の層を堆積するに適切な条件に維持する
    ステップと、 (c)前記第1の段階後の第2の堆積段階で、 (i)前記III族又はV族の水素化物の前記堆積ゾーン中への流入を停止
    するステップと、 (ii)次いで、前記堆積ゾーン中の圧力を前記第1の圧力を上回る第2の
    圧力に増加させ、同時に、他のプロセス変数を前記基板上にタングステン膜の第
    2の層を堆積するに適切な条件に維持するステップと、 を含む方法。
  2. 【請求項2】 前記第2の堆積段階が、 ステップ(c)(i)において、前記タングステン含有ソースの流れを前記I
    II族又はV族の水素化物の流れに連れて停止するステップと、 ステップ(c)(ii)において、前記堆積ゾーン中の圧力が前記第2の圧力
    に増加した後で、前記タングステン含有ソースを再度流し、同時に、他のプロセ
    ス変数を前記基板上にタングステンの前記第2の層を堆積させるに適切な条件に
    維持するステップと、 を含む、請求項1に記載の化学気相堆積プロセス。
  3. 【請求項3】 (d)第3の堆積段階中に、前記第1の堆積段階に先立って
    、前記第1の堆積段階中に前記タングステン含有ソースを前記チャンバに流入さ
    せる前にシリコン含有ソースを前記チャンバに流入させるステップ、 をさらに含む、請求項2に記載の化学気相堆積プロセス。
  4. 【請求項4】 水素還元剤も又前記第3の堆積段階で前記チャンバに流入さ
    れる、請求項3に記載の化学気相堆積プロセス。
  5. 【請求項5】 前記タングステン含有ソースがWF6を含み、前記III族 又はV族の水素化物がB26を含み、前記シリコン含有ソースがSiH4を含む 、請求項2に記載の化学気相堆積プロセス。
  6. 【請求項6】 前記還元剤がH2を含む、請求項5に記載の化学気相堆積プ ロセス。
  7. 【請求項7】 前記第1と第2の堆積段階で導入された前記プロセスガスが
    更に窒素含有ソースを含む、請求項2に記載の化学気相堆積プロセス。
  8. 【請求項8】 前記第1の堆積段階で導入された前記プロセスガスがシリコ
    ンガスソースを更に含み、前記シリコンガスソースの流れがステップ(c)(i
    )でIII族又はV族の水素化物が停止されるに連れて停止される、請求の範囲
    第2項記載の化学気相堆積プロセス。
  9. 【請求項9】 基板上にタングステンを堆積させる化学気相堆積プロセスに
    おいて、前記方法が、 (a)堆積ゾーン中に基板を置くステップと、 (c)第1の堆積段階で、 (i)タングステン含有ソースとジボラン、シランガス、還元剤及びキャリ
    ヤガスを含むプロセスガスを前記堆積ゾーン中に流入させるステップと、 (ii)前記堆積ゾーンを50Torr未満の圧力レベルと、前記基板上に
    タングステン膜の第1の層を堆積するに適切なプロセス条件に維持するステップ
    と、 (d)前記第1の堆積段階後の第2の堆積段階中に、 (i)前記タングステン含有ソースと前記ジボランと前記シランの流れを停
    止させるステップと、 (ii)次いで、前記堆積ゾーン内の圧力を少なくとも50Torrに増加
    させるステップと、 (iii)ステップ(d)(i)で前記ガスの流れを停止させた後約5〜2
    0秒経過した後で、前記タングステン含有ソースの流れを再び開始させて、前記
    基板上にタングステンの第2の層を堆積させるステップと、 を含む方法。
  10. 【請求項10】 シランガス、還元剤及びキャリヤガスを含むプロセスガス
    を前記堆積ゾーン中に流入させる、前記第1の堆積段階に先立つ、第3の堆積段
    階を更に含む、請求項9に記載の方法。
  11. 【請求項11】 前記タングステン含有ソースがWF6を含み、前記シラン ガスがSiH4を含み、前記還元剤がH2を含む、請求項9に記載の方法。
  12. 【請求項12】 前記担体ガスがアルゴンを含む、請求項11に記載の方法
  13. 【請求項13】 窒素含有ガス流が前記第1の堆積段階で前記プロセスガス
    に添加されて、前記第2の堆積段階全般にわたって維持される、請求項11に記
    載の方法。
  14. 【請求項14】 前記ジボランガスが、約5%のジボラン対アルゴン比率以
    下でアルゴン中に希釈される、請求項9に記載の方法。
  15. 【請求項15】 前記第1の堆積段階に先立って、B26及び非活性ガスを
    含むパージガスを前記堆積ゾーン中に流入させるステップを更に含む、請求項1
    に記載の化学気相堆積プロセス。
  16. 【請求項16】 前記パージガスを流すのに先立って、シリコン含有ソース
    を前記堆積ゾーンに流入させるステップを更に含む、請求項15に記載の化学気
    相堆積プロセス。
  17. 【請求項17】 前記シリコン含有ソースが、約10〜30秒間にわたって
    流され、また、前記パージガスが約10〜40秒間にわたって流される、請求項
    16に記載の化学気相堆積プロセス。
  18. 【請求項18】 真空チャンバを形成するハウジングと、 前記真空チャンバ中に基板を保持するための、前記ハウジング内に置かれた基
    板ホルダと、 前記基板を前記真空チャンバ中に移動させて、前記基板ホルダ上に前記基板を
    位置決めする基板移動システムと、 プロセスガスを前記真空チャンバ中に導入して、前記基板上に層を堆積させる
    ガス移送システムと、 前記真空チャンバ内を選択された温度に維持する温度制御システムと、 前記真空チャンバ内を選択された圧力に維持する圧力制御システムと、 前記基板移動システム、前記ガス移送システム、前記温度制御システム及び前
    記圧力制御システムを制御するコントローラと、 後出の化学気相堆積法リアクタシステムの動作を指図するコンピュータ読み取
    り式プログラムを内部に埋め込んだコンピュータ読み取り式媒体を備えた前記コ
    ントローラにカップリングされたメモリであり、前記コンピュータ読み取り式プ
    ログラムが、 前記基板移動システムを制御して、前記基板を前記基板ホルダ上に移動させて
    前記堆積ゾーン中に移す第1の命令集合と、 前記ガス移送システムを制御して、第1の堆積段階中に、タングステン含有ソ
    ース、III族若しくはV族の水素化物及び還元剤を含むプロセスガスを前記堆
    積ゾーン中に流入させる第2の命令集合と、 前記温度制御システムと前記圧力制御システムを制御して、前記第1の堆積段
    階中に、前記基板上にタングステン層を堆積させるに適切な選択された温度と圧
    力を前記真空チャンバ内に維持する第3の命令集合であり、前記圧力が第1の圧
    力レベル以下に維持される集合と、 前記ガス移送システムを、前記第1の堆積段階に次いで第2の堆積段階中に、
    前記III族若しくはV族の水素化物又は前記タングステン含有ソースの前記堆
    積ゾーンに対する流入を停止させる第4の命令集合と、 前記圧力制御システムを制御して、前記堆積ゾーン内の圧力を前記第1の圧力
    を上回る第2の圧力に増加させる第5の命令集合と、 前記ガス移送システムを、前記圧力が前記第2の圧力に増加した後で、制御し
    て、前記タングステン含有ソースの流れを再び開始させて、第2のタングステン
    層を前記基板上に堆積させる第6の命令集合と、 を含むメモリと、 を含む基板処理システム。
JP2000523396A 1997-12-02 1998-11-06 B2h6核形成ステップを用いた低抵抗率タングステン Withdrawn JP2001525491A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/982,844 1997-12-02
US08/982,844 US6099904A (en) 1997-12-02 1997-12-02 Low resistivity W using B2 H6 nucleation step
PCT/US1998/023629 WO1999028526A1 (en) 1997-12-02 1998-11-06 Low resistivity w using b2h6 nucleation step

Publications (1)

Publication Number Publication Date
JP2001525491A true JP2001525491A (ja) 2001-12-11

Family

ID=25529554

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000523396A Withdrawn JP2001525491A (ja) 1997-12-02 1998-11-06 B2h6核形成ステップを用いた低抵抗率タングステン

Country Status (4)

Country Link
US (2) US6099904A (ja)
JP (1) JP2001525491A (ja)
TW (1) TW423053B (ja)
WO (1) WO1999028526A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010526441A (ja) * 2007-05-04 2010-07-29 マイクロン テクノロジー, インク. タングステンディジット線、その形成方法及び動作方法
US7842609B2 (en) 2008-04-30 2010-11-30 Nec Electronics Corporation Method for manufacturing semiconductor device
JP2013080891A (ja) * 2011-09-22 2013-05-02 Toshiba Corp 半導体装置及びその製造方法
WO2015080058A1 (ja) * 2013-11-27 2015-06-04 東京エレクトロン株式会社 タングステン膜の成膜方法

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
JP2937998B1 (ja) 1998-03-16 1999-08-23 山形日本電気株式会社 配線の製造方法
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US6387445B1 (en) * 1999-01-13 2002-05-14 Tokyo Electron Limited Tungsten layer forming method and laminate structure of tungsten layer
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6582757B1 (en) 2000-10-12 2003-06-24 Promos Technologies, Inc. Method for tungsten deposition without fluorine-contaminated silicon substrate
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7398090B2 (en) * 2002-09-13 2008-07-08 Hewlett-Packard Development Company, L.P. Defining a smart area
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100596794B1 (ko) * 2004-11-30 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP5558815B2 (ja) * 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド ナノ結晶の形成
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8049178B2 (en) * 2007-08-30 2011-11-01 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
JP5551681B2 (ja) * 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
KR101462154B1 (ko) 2008-12-15 2014-11-14 주식회사 원익아이피에스 텅스텐 박막 증착방법
CN102265383B (zh) * 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US20110293830A1 (en) 2010-02-25 2011-12-01 Timo Hatanpaa Precursors and methods for atomic layer deposition of transition metal oxides
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9546419B2 (en) * 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10074727B2 (en) 2016-09-29 2018-09-11 International Business Machines Corporation Low resistivity wrap-around contacts
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP6788545B2 (ja) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10199267B2 (en) 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4957775A (en) 1986-05-29 1990-09-18 Massachusetts Institute Of Technology Method and apparatus for refractory metal deposition
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
JP2634836B2 (ja) * 1988-01-29 1997-07-30 大王製紙株式会社 マイクロカプセルの製造方法
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
JPH0474865A (ja) * 1990-07-12 1992-03-10 Fujitsu Ltd 半導体装置の製造方法
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
EP0486927A1 (en) * 1990-11-20 1992-05-27 Air Products And Chemicals, Inc. Deposition of tungsten films from mixtures of tungsten hexafluoride, organohydrosilanes and hydrogen
US5250467A (en) * 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5272112A (en) * 1992-11-09 1993-12-21 Genus, Inc. Low-temperature low-stress blanket tungsten film
JPH08264530A (ja) * 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010526441A (ja) * 2007-05-04 2010-07-29 マイクロン テクノロジー, インク. タングステンディジット線、その形成方法及び動作方法
US7842609B2 (en) 2008-04-30 2010-11-30 Nec Electronics Corporation Method for manufacturing semiconductor device
JP2013080891A (ja) * 2011-09-22 2013-05-02 Toshiba Corp 半導体装置及びその製造方法
WO2015080058A1 (ja) * 2013-11-27 2015-06-04 東京エレクトロン株式会社 タングステン膜の成膜方法
JPWO2015080058A1 (ja) * 2013-11-27 2017-03-16 東京エレクトロン株式会社 タングステン膜の成膜方法
JP2019167634A (ja) * 2013-11-27 2019-10-03 東京エレクトロン株式会社 タングステン膜の成膜方法および成膜装置

Also Published As

Publication number Publication date
US6206967B1 (en) 2001-03-27
TW423053B (en) 2001-02-21
US6099904A (en) 2000-08-08
WO1999028526A1 (en) 1999-06-10

Similar Documents

Publication Publication Date Title
JP2001525491A (ja) B2h6核形成ステップを用いた低抵抗率タングステン
US6156382A (en) Chemical vapor deposition process for depositing tungsten
KR100693612B1 (ko) 텅스텐 및 텅스텐 질화물의 인 시튜 화학기상증착에 의해개선된 게이트 전극 결합 구조물
US6429126B1 (en) Reduced fluorine contamination for tungsten CVD
US20070009658A1 (en) Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US5843239A (en) Two-step process for cleaning a substrate processing chamber
US5926743A (en) Process for chlorine trifluoride chamber cleaning
US6297152B1 (en) CVD process for DCS-based tungsten silicide
US6106634A (en) Methods and apparatus for reducing particle contamination during wafer transport
US6271129B1 (en) Method for forming a gap filling refractory metal layer having reduced stress
US20120164832A1 (en) Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
JP2002529912A (ja) 化学気相堆積膜のその場での堆積後表面パッシベーション方法
JP4426101B2 (ja) 基板処理装置およびドープシリコンガラス膜の形成方法
US5858464A (en) Methods and apparatus for minimizing excess aluminum accumulation in CVD chambers
US5709772A (en) Non-plasma halogenated gas flow to prevent metal residues
JP2001515270A (ja) Peteosフィルムへのフッ素取り入れを通しての半導体装置絶縁特性の制御
US6204174B1 (en) Method for high rate deposition of tungsten
Mak et al. Low resistivity W using B 2 H 6 nucleation step
WO2003064724A1 (en) Process for tungsten deposition by pulsed gas flow cvd
JPH10144683A (ja) Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法
KR20040068591A (ko) 펄스화된 가스 유동 cvd에 의한 텅스텐 증착 프로세스

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060110