JP2001358139A - 窒化ケイ素材のcvd合成 - Google Patents

窒化ケイ素材のcvd合成

Info

Publication number
JP2001358139A
JP2001358139A JP2001131880A JP2001131880A JP2001358139A JP 2001358139 A JP2001358139 A JP 2001358139A JP 2001131880 A JP2001131880 A JP 2001131880A JP 2001131880 A JP2001131880 A JP 2001131880A JP 2001358139 A JP2001358139 A JP 2001358139A
Authority
JP
Japan
Prior art keywords
silicon nitride
chemical
nitride material
group
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001131880A
Other languages
English (en)
Inventor
Michael A Todd
トッド,マイケル・エー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2001358139A publication Critical patent/JP2001358139A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 マイクロエレクトロニクス製造において使用
に,より好適なよりよい性質の,低水素量の窒化ケイ素
材の生成のためのプロセスを提供する。 【解決手段】低水素量の窒化ケイ素材が,種々のCVDお
よびPECVDにより,ケイ素原子,窒素原子,または両方
を含む化学前駆体を使用して付着される。好適な化学前
駆体が一つ以上のN‐Si結合を含む。他の好適な化学前
駆体が,9.5重量%以下の水素を含むSiを含む化学前駆
体と,Nを含む化学前駆体との混合物である。好適な実
施例が,PECVDにより付着された窒化ケイ素材のハロゲ
ン量を最小にするために水素源を使用する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は,一般的に,半導体
産業において有用な窒化ケイ素材に関し,特に低水素量
をもつ窒化ケイ素材を製造するための付着方法に関す
る。
【0002】
【従来の技術及び発明が解決しようとする課題】窒化ケ
イ素材は,半導体産業において,アモルファスシリコン
およびIII-V化合物半導体トランジスタのためのゲート
誘電体,金属層(level)間の絶縁体,酸化および拡散
防止のマスク,多層フォトレジスト構造のエッチマス
ク,不活性化層として,ならびにトランジスタのスペー
サ材として,広く使用されている。
【0003】窒化ケイ素材は典型的に,基板の存在の下
で,前駆体を分解することのより,基板上に付着され
る。付着した窒化ケイ素材は一般的に付着方法に依存す
る。多くの半導体応用例において,低水素量(“H-cont
ent”)が望ましい。在来の窒化ケイ素材は,アメリカ
特許第4,854,263号に見られるように,20‐30原子%水
素を含むといわれている。
【0004】熱化学蒸着(“熱CVD(thermal CVD)”)
およびプラズマ強化化学蒸着(“PECVD”)を含む,多
くの方法が窒化ケイ素膜を付着するために使用されてい
る。一般的に,低水素量の窒化ケイ素が,高付着温度を
使用して熱CVDにより付着され得ると認識されている。
たとえば,アメリカ特許第5,326,649号は,900°から15
00°の範囲の温度のもとで,低水素量の窒化ケイ素材を
作るために,窒素源としてNH3(アンモニア)を,珪素
源としてSiH4(シラン)を使用することを開示する。ア
ンモニアおよびシランを使用する熱CVD法は,これらの
前駆体が広く入手可能であり,比較的安価であることか
ら明らかに好ましいものである。しかし,このような高
温度の方法は,半導体製造プロセスにおいて,多くのプ
ロセス工程と両立しない。アンモニアおよびシランを使
用する熱CVDは一般的に,比較的高水素量の窒化ケイ素
膜をもたらす。アメリカ特許第4,720,395号は250°から
500°の範囲の温度のもとで,窒化ケイ素を付着するた
めに,約0.5から約3.0のモル比をもつ,NF3(三フッ化
窒素)およびH3SiSiH3(ジシラン)の使用を開示する。
このプロセスにより生成される膜の水素量は,開示され
ていないが,低蒸着温度で,ジシランが比較的高水素量
であることから,比較的高いであろう。
【0005】種々のPECVD技術は,重要な量の水素を含
む前駆体を使用する。特開昭62-253771号公報は,HnSi
(NH24-n(ここで,nは1,2または3)を使用して窒化
ケイ素を付着するためのPECVD技術を開示する。アメリ
カ特許第5,731,238号は,窒化ケイ素を作るために,前
駆体として,シランおよびN2(窒素)を使用してジェッ
ト蒸着を採用する。特開平6-338497号のPECVDは,窒化
ケイ素およびオキシニトリド膜を作るために,(SiH3
3N(トリシリルアミン)およびアンモニアを利用する。
たとえば,受け入れ可能な蒸着レートおよびスループッ
トを得るために,アンモニアの使用の結果,蒸着した窒
化ケイ素の水素濃度が,20‐30原子パーセントのよう
な,非常に高いものとなることを,アメリカ特許第4,85
4,263号は示している。
【0006】蒸着プロセスからアンモニアを除去するこ
とで,水素量を減少させる試みがなされた。たとえば,
アメリカ特許第4,854,263号は,特別のガス入力ガスマ
ニフォルドを使用する,PECVDプロセスにおいて,SiH4/
N2/NF3を使用して5‐7原子パーセントの水素量をもつ窒
化ケイ素を作るための方法を開示する。アメリカ特許第
4,854,263号もまた,SiH4/H2/N2Oを使用して6原子パー
セントより少ない水素量をもつ窒化水素膜を作るための
似たプロセスを開示する。WO 00/03425は,シランおよ
び窒素を利用するPECVDプロセスを開示する。アメリカ
特許第5,508,067号に開示のPECVD法は,無機シラン,窒
素を含む有機シランおよび窒素を含むガスを含む前駆体
ガス混合物を利用する。種々の前駆外が述べられ,いく
つかは水素を含み,いくつかは含まないが,しかし,
(CH33NN(CH33(hexamethyldisilazene),シラ
ン,アンモニアおよび窒素の,水素を含む前駆体混合物
を使用して窒化ケイ素の付着は典型的なものである。
【0007】完全に水素のない前駆体が,低水素量の窒
化ケイ素を作るために使用されると,問題が生じた。ア
メリカ特許第4,481,229号は,SiH4に代えてSiF4,SiC
l4,SiF3Cl,またはSiBr4のようなハロゲン化物ケイ素
ガスを使用して,水素のない膜を形成するときに,発生
する問題点を議論し,特定のプラズマ技術の形式におい
て解決策を与えようとしている。その特許は,前駆体と
して,SiF4またはNF3を使用してSi‐N膜の付着を開示す
る。しかし,アメリカ特許第4,737,379号はこの技術を
使用する実際の付着システムが,プラズマ量を通して一
様で,広範囲な磁場の生成および制御を必要とすること
を述べている。アメリカ特許第4,737,379号はまた,こ
のようなシステムが,マイクロ波エネルギーを,資源コ
ンディションの近傍で急激に変化するプラズマインピー
ダンスに結合することができる,マイクロ波同調および
アプリケータ技術の使用を必要とすることを述べてい
る。アメリカ特許第4,737,379号にしたがって,これら
条件により引き起こされる,付加的な技術上の複雑さ
は,この技術を採用する機械の製造のための,重大で経
済的な結果をともなう。したがって,その技術は,アメ
リカ特許第4,481,229号を,低水素量の窒化ケイ素材を
作るための満足のいく方法として,認識されていない。
低水素量の膜を作るための,アメリカ第4,737,379号に
開示のPECVD法は,水素のない,すなわち,水素を含ま
ない原料ガス,シラン,部分的にハロゲン化したシラ
ン,および部分的に置換した炭化水素を使用する。水素
のない窒化水素は必ずしも望ましいわけではないが,そ
の特許は,付着膜の性質を修正するために,水素分子
(H2)の使用を開示する。
【0008】マイクロエレクトロニクス製造において使
用に,より好適なよりよい性質の,低水素量の窒化ケイ
素材の必要性,ならびに,製造プロセスの流れに,容易
に統合できるこのような材料の生成のためのプロセスの
必要性がある。
【0009】
【課題を解決するための手段】本発明者は,窒化ケイ素
材を表面に付着する種々の方法を見出した。好適な実施
例において,付着した窒化ケイ素材は,比較的低い量の
水素を含む。このような窒化ケイ素材およびプロセス
は,集積回路のようなマイクロエレクトロニクスデバイ
スを作るために特に,有用である。
【0010】一実施例において,蒸気相の前駆体からの
窒化ケイ素材を,表面に付着する方法が提供され,中に
基板が配置される付着チェンバーを与え,化学前駆体を
チャンバーに導入することを含み,ここで化学前駆体は
一つ以上のN‐Si化学結合をもち,これにより,化学分
解により,窒化ケイ素材が基板に付着される。
【0011】他の実施例において,熱化学蒸着プロセス
が提供され,集積回路を,化学蒸着チェンバー内に配置
し,集積回路を,約500℃から約650℃の範囲の温度に加
熱し,材料が集積回路に付着するように,化学前駆体を
化学蒸着チェンバーに加えることを含み,ここで化学前
駆体は,(X3Si)3N,(X3Si)2N‐N(SiX32,(X3S
i)N=N(SiX3)および(R3-mSiXm3Nからなるグルー
プから選択され,ここで,mは0,1または2で,各Xは,
F,Cl,Br,HおよびDからなるグループからから個々に
選択され,各Rは,メチル,エチル,フェニル,および
第三ブチルからなるグループから個々に選択される。
【0012】他の実施例において,窒化ケイ素材を付着
するプロセスが提供され,窒素を含む化学前駆体および
Siを含む化学前駆体からなる混合物を形成することを含
み,ここで,混合物は,化学蒸着チェンバー内に含まれ
る基板の存在のものとで生成され,これにより基板上に
熱化学蒸着により窒化ケイ素材が付着され,ここでSiを
含む化学前駆体は,Siを含む化学前駆体の全重量に基づ
く重量で,9.0%以下の水素原子を含む。
【0013】他の実施例において,PECVD付着窒化ケイ
素材の性質を制御する方法が提供され,低水素量の窒化
水素材を付着するために,ハロゲン化物を含む化学前駆
体を利用する,プラズマ強化化学蒸着付着プロセスを与
え,式SiHnX4-n(ここで,nは1,2または3で,XはFまた
はClである)により表示される水素源を,プラズマ強化
化学蒸着付着プロセスに導入することを含み,ここで,
水素源は,低水素量の窒化ケイ素材のハロゲン化物の量
を減少させるために有効な量で使用される。これらおよ
び他の実施例は以下で詳細に説明される。
【0014】
【発明の実施の形態】ここで使用する窒化ケイ素材は,
ケイ素元素および窒素元素を主に含む。窒化ケイ素材の
ケイ素と窒素の一緒の重量は,全重量に基づく重量で,
好適には少なくとも約50%,より好適には少なくとも約
65%である。窒化水素材内の,ケイ素の窒素原子に対す
る比(Si:N)は,好適に,約2,000:1から約3:5の範
囲にあり,より好適には1,000:1から3:4の範囲にあ
る。窒化ケイ素材はまた,炭素,酸素およびゲルマニウ
ムのような付加的な要素を含む合金であってもよい。窒
化ケイ素材のSi,N,C,O,およびGeの好適な範囲は,
表1に示されている(全重量に対する重量の割合の基づ
く)。
【0015】
【表1】
【0016】Si‐N(“窒化ケイ素(silicon nitrid
e)”),Si‐O‐N(“酸窒化ケイ素(silicon oxynitr
ide)”),Si‐C‐N(“炭窒化ケイ素(silicon carbo
nitride)”およびSi‐O‐C‐N(酸炭窒化ケイ素“sili
con oxycarbonitride”)のような用語は,しばしば,
窒化ケイ素材を示すために使用される。これらの用語
は,参照するための,材料の全体的な化学量論を示さな
いことから,通常の意味での化学式ではない。Si‐N
は,少なくともケイ素元素および窒素元素を含む,窒化
ケイ素材であり,また付加的な元素を含んでもよい。同
様に,Si‐O‐Nは,少なくともケイ素元素,酸素元素お
よび窒素元素を含む,窒化ケイ素材であり,また付加的
な元素を含んでもよい。また,Si‐C‐Nは,少なくとも
ケイ素元素,炭素元素および窒素元素を含む,窒化ケイ
素材であり,また付加的な元素を含んでもよい。同様
に,Si‐O‐C‐Nは,少なくともケイ素元素,酸素元
素,炭素元素および窒素元素を含む,窒化ケイ素材であ
り,また付加的な元素を含んでもよい。
【0017】窒化ケイ素材は表1に示されたもの以外の
付加的な元素を含んでもよい。これら付加的な元素は,
限定するわけではないが,水素,フッ素,塩素,臭素,
およびヨウ素を含むこともできる。ハロゲン原子は,付
着の副産物として存在してもよく,精密に添加してもよ
い。ハロゲン原子の量は,好適に,窒化ケイ素材の全重
量に基づく重量で,好適に約10%またはそれ以下,より
好適には約5%またはそれ以下である。
【0018】好適な窒化ケイ素材は,在来のプロセスに
より作られた窒化ケイ素に匹敵するレベルの減少した水
素を含む。窒化ケイ素材は,窒化ケイ素材の全原子の量
に基づく重量で,好適には約15原子%またはそれ以下,
より好適には約10原子%またはそれ以下,さらに好適に
は約8原子%またはそれ以下,さらにより好適には約4原
子%またはそれ以下の水素を含む。水素量は好適に,弾
性反跳検出を利用するラザフォード後方散乱スペクトル
分光法(“RBS/ERD”)により測定される。
【0019】窒化ケイ素材の水素量は,“そのまま”ま
たは“付着されたとき”ということをベースにして,測
定され得る。“付着されたとき”は,付加的で重要な処
理工程がなされる前の,付着時,またはその直後の,窒
化ケイ素材の水素量を示す。窒化ケイ素の水素量はしば
しば,加熱のようなプロセスにより,または連続する化
学処理(水素量を減少させる特定の目的または連続した
処理工程に関連した他の目的のためのもの)により変化
し得る。“そのまま”の水素量は,ある特定の時点での
窒化ケイ素材の水素量を示す。付着直後に測定できる
が,この場合は,“そのままの”水素量は,“付着され
たときの”水素量と同じである。連続処理工程が,水素
量を増加または減少させた後にも測定できるが,この場
合,“そのまま”の水素量は,“付着されたときの”水
素量と異なる。好適には,“そのまま”の水素量は,製
造プロセスの最終製品である集積回路中の,窒化ケイ素
の水素量である。“そのまま”または“付着されたと
き”という用語がないとき,窒化ケイ素の水素量は“そ
のまま”の水素量を示す。
【0020】窒化ケイ素材は,粒子またはファイバーの
ような種々の形態をとることができるが,しかし好適に
は膜の形態が望ましい。“膜”は,自立型膜および,基
板に適用される層またはコーティングの両方を含むため
に,通常の意味で使用される。膜が平坦でもよう,覆う
三次元の表面に一致させるようにしてもよく,いずれの
場合も,一定または種々の厚みをもってもよいが,好適
には一定である。好適に,膜の平均的な厚さは,所望の
機能,たとえば,拡散バリヤ,ゲート誘電率,不活性化
層,スペーサ材などを与えるために,有効である。しば
しば,平均膜厚は,約4Åから約10,000Å,好適には約1
0Åから約3,000Å,より好適には200Åから約2,000Åと
いう単層の厚さの範囲にある。
【0021】ここで説明した窒化ケイ素材は,好適には
基板に付着される。“基板”は,窒化ケイ素材が付着,
または適用され下層面を含む意味である。好適な基板
は,限定するわけではないが,ケイ素,ゲルマニウム,
プラスチック,および/またはガラスを含む,だいたい
の材料,好適には,ケイ素,ケイ素化合物(Si‐O‐C‐
Hの,誘電性が低く,一定の膜を含む)およびケイ素合
金から作られ得る。
【0022】特に好適な基板は,ケイ素基板,たとえ
ば,ケイ素基板およびマイクロエレクトロニクス,集積
回路の製造において使用されるグループIII-V材の層を
含む。“集積回路”はマイクロエレクトロニクスのおけ
る通常の意味で使用されるもので,マイクロエレクトロ
ニクスデバイスがあり,または適用された基板を含み,
したがって,製造プロセスのもの,未だ機能しない集積
回路を含む。集積回路製造の分野において,半導体基板
は一般的に,デバイスが形成される最も低レベルの半導
体材料を示す。
【0023】ここで説明する化学前駆体を利用する種々
のプロセスは,窒化ケイ素材を付着するための使用する
ことができる。ここで使用するように,“化学前駆体”
が,窒化ケイ素材を形成するために,ここで議論した条
件の下で,活性化され,または化学的に反応することが
できるケイ素量および/または窒素量を含む化学物質で
ある。化学前駆体は好適に,ケイ素を含む(“Si-conta
ining”)化学化合物,窒素を含む(“N-containin
g”)化学化合物,またはケイ素および窒素の両方を含
む(“Si-N-containing”)化学化合物である。好適な
実施例では,結果としての窒化ケイ素材内のケイ素およ
び窒素原子の少なくとも一部が,一つ以上のN‐Si結合
からなる,Si‐Nを含む化学前駆体により供給される。
他の好適実施例では,ケイ素および窒素原子の少なくと
も一部が,Siを含む化学前駆体およびNを含む化学前駆
体の混合物により供給される。
【0024】好適な前駆体は,一つ以上のN‐Si結合か
らなり,より好適には,N‐Si結合以上にN‐H結合を含
み,さらにより好適にN‐H結合を実質的にないものであ
る。好適な化学前駆体の例は,限定するわけではない
が,X3Si)3N,(X3Si)2N‐N(SiX32,(X3Si)N=N
(SiX3)および(R3-mSiXm3Nにより表される分子を含
み,ここで,mは0,1または2で,各XはF,Cl,Br,Hお
よびDから成るグループから個別に選択され,各Rは,メ
チル,エチル,フェニルまたは第三ブチルからなるグル
ープから個別に選択される。好適な化学前駆体の非限定
的な例は,式(X3Si)3N,(X3Si)2N‐N(SiX32
(X3Si)N=N(SiX3),および(S3-mSiXm3Nにより表
される分子を含む。
【0025】この発明は,理論により拘束されるわけで
はないが,N‐H結合は熱的に除去することが難しいと信
じられ,その結果,N‐H結合を含む化学前駆体を利用す
る付着プロセスは,高水素量をもつ窒化ケイ素を生成す
る傾向にある。たとえば,窒素原子源として,NH3を利
用する窒化ケイ素付着プロセスの間,付着した窒化ケイ
素内の水素原子のいくつかが,付着プロセスの間,生き
残り,または再形成されるN‐H結合の形成に寄与すると
信じられている。したがって,(H3Si)3Nは三つのN‐
し結合を含み,N‐H結合がないことから好適な化学前駆
体となる。
【0026】好適な実施例は,Siを含む化学前駆体とN
を含む化学前駆体との混合物を利用する。好適なSiを含
む化学前駆体は,式SiX4,X3SiSiX3,X3SiSiX2SiX3,Si
XnR4 -n,(X3Si)4-nCXnおよび(R3-nSiXn2Oにより表
される分子で,各XはF,Cl,HおよびDから成るグループ
から個別に選択され,各Rは,メチル,エチル,フェニ
ルおよび第三ブチルからなるグループから個別に選択さ
れる。N‐Si結合を含む前駆体は,ケイ素を含むことか
らSiを含む前駆体である。Siを含む化学前駆体は好適
に,Siを含む化学前駆体の全重量に基づく重量で,9.5
%以下の水素原子,より好適には9.0%以下の水素原子
を含む。好適なSiを含む化学前駆体の非限定例は,Si
F4,SiCl4,HsiCl3およびHSiBr3である。さらに好適なS
iを含む化学前駆体はH3SiSiH2SiH3(トリシラン)であ
る。
【0027】好適なNを含む化学前駆体は,RmNX3-m,X
2-pRpN‐NRpX2-p,およびXN=NXからなるグループから選
択され,pは0または1で,各XはF,Cl,HおよびDから成
るグループから個別に選択され,各Rは,メチル,エチ
ル,フェニルおよび第三ブチルからなるグループから個
別に選択される。N‐Si結合を含む前駆体は,窒素を含
むことからNを含む前駆体である。より好適には,Nを含
む化学前駆体は好適に,Nを含む化学前駆体の全重量に
基づく重量で,約10%以下の水素原子,より好適には約
8%以下の水素原子を含む。より好適には,Nを含む化学
前駆体は,実質的にN‐H結合を含まない。好適なNを含
む化学前駆体の非限定例は,NF3,NCl3,HN3,F2NNF2
およびFNNFである。
【0028】化学前駆体は,固体,液体ガスの形態で,
好適にはガスまたは蒸気の形態で提供され得る。化学前
駆体からなる液体は,スピンコーティングの技術におい
て,周知のものと同様の技術を使用して,基板に適用さ
れ,つぎに反応して基板上に窒化ケイ素材を形成する。
好適には,化学前駆体は,ガスまたは蒸気の形態で提供
される。汚染を最小にし,より高い質の膜を生成するた
めに,基板をチェンバーに配置または設置し,化学前駆
体をチェンバーに導入することにより,窒化ケイ素材を
基板上に付着することが望ましい。閉鎖チェンバーの使
用は,制御した条件の下,化学前駆体の導入および不所
望の種の排除を可能にするために,望ましい。提供され
た化学前駆体の量は好適に,ガスまたは蒸気(約0.0000
1から大気圧(約760Torr)の範囲,より好適には,0.00
1Torrから760Torrの範囲とすることができる)の分圧を
調節することにより制御される。その量はまた,化学前
駆体と他のガスとの混合により,そして全ガス圧または
ガス混合物内の化学前駆体の分圧を調節することによ
り,制御され得る。ガス混合物の任意の成分が,水素,
ヘリウム,窒素,アルゴン,ネオン,クリプトンおよび
キセノンのような,比較的不活性な搬送ガスを含む。液
状の化学前駆体が,バブラーを使用することにより,た
とえば,化学前駆体を通る搬送ガスを気泡化することに
より,または蒸発器を使用することにより,提供され
る。ガス中の化学前駆体の量は,広範囲に渡って,好適
には体積に関し,約0.01%から100%の範囲にわたって
運ぶことができる。
【0029】付着は好適に,蒸気相の化学前駆体を使用
して実行される。好適に,これら前駆体は,熱分解によ
り基板上に付着される。このような付着方法は,原子層
付着,化学分子ビームエピタキシー,物理的蒸着および
化学的蒸着(プラズマ強化CVD,光支援CVD,レーザ支援
CVDなどを含む)を含む。
【0030】化学蒸着(CVD)技術は好適に,窒化ケイ
素材を付着するために使用される。広範囲のCVD技術は
当業者には知られている。CVD技術は,活性化した化学
前駆体を生成し,基板上に付着を形成するために,それ
らを他のもの,および/または基板の表面と反応させる
ことのより実行される。活性化された化学前駆体は好適
に,一つ以上の化学結合を壊すおことにより,前駆体を
分解するのに効果的なエネルギー量に,化学前駆体をさ
らすことのより,生成される。これら活性化された化学
前駆体の検出,測定および/または特性は,それらの遷
移現象のために,実際上難しい。化学前駆体の分解およ
び活性化は,したがって,主に,窒化ケイ素材の形成に
より明らかで,化学的に活性な種の形成の証拠が活性を
示し得るが,活性化された化学前駆体の同定または特性
を必要としない。PECVDおよび熱CVDは,好適なCVD技術
で,特に,マイクロエレクトロニクス製造において窒化
ケイ素材の付着に対して,好適なCVD技術である。これ
ら技術は,集積回路の製造に広く使用され,たとえば,
Stephen A. Campbell著“The Science and Engineering
of Microelectronic Fabrication”(Oxford Universit
y Press, New York (1996)),Stanley WalfとRichard N
o. Tauberとの共著“Silicon Processing forthe VLSI
Era”(Lattice Press, Sunset Beach, CA (2000))を参
照。
【0031】熱CVDによる,窒化ケイ素材の基板への付
着は好適に,基板の温度を好適に調節することにより,
化学前駆体を活性化するための熱エネルギーを使用し,
その結果活性化された化学前駆体は他のものと,または
基板を反応し,付着を形成することに関する。好適に,
基板温度は,約200℃から約700℃の範囲,より好適には
約450℃から約700℃の範囲,さらに好適には約500℃か
ら650℃の範囲にある。基板が既に付着温度にあると
き,加熱または冷却は必要がないが,しかし,ほとんど
の場合,付着プロセスは,前段の処理工程からの基板の
開始温度に依存して,基板を所望の温度に,盛んに基板
を加熱し,または冷却することに関する。
【0032】基板への化学前駆体の流れは好適に,熱CV
Dチェンバー内の化学前駆体の圧力または分圧を維持す
ることにより制御される。好適な全圧力は約0.001Torr
から約760Torrの範囲,好適には約0.5Torrから740Torr
の範囲にある。化学前駆体の好適な分圧は,約0.00001T
orrから約760Torr,好適には約0.0001Torrから約500Tor
rの範囲である。特に好適な実施例において,集積回路
が熱CVDチェンバーに配置され,約400℃から約650℃の
範囲の温度に加熱され,化学前駆体がCVDチェンバーに
添加され,これにより,材料,好適には窒化ケイ素膜が
集積回路に付着される。より好適には,化学前駆体はN
‐Si結合を含むもの,さらに好適には,前述した式(X3
Si)3N,(X3Si)2N‐N(SiX32,(X3Si)N=N(Si
X3),および(S3-mSiXm3Nの化学前駆体である。
【0033】PECVDにおいて,プラズマエネルギーは,
電磁場,たとえばマイクロ波または高周波エネルギー
(好適に,13.56から27.12MHzの範囲にある)を化学前
駆体に適用することにより化学前駆体を活性化するため
に使用される。活性化された種は次に,他のもの,また
は基板と反応し,窒化ケイ素材を基板上に付着する。プ
ラズマは,基板の極く近傍,または局所に発生され得
る。付着の間の,基板の好適な温度は,約周囲温度(約
25℃)から約650℃,好適には約450℃から約600℃の範
囲である。好適に,チャンバーが,化学前駆体の流れが
また真空ポンプまたは同様の装置を使用して,全体の圧
力を維持することにより制御され得るように,採用され
る。好適な全圧力は,約0.05Torrから約10Torrの範囲,
好適には約0.1Torrから約5Torrの範囲である。PECVDで
の化学前駆体の,好適な分圧は,約0.0001Torrから約8T
orr,好適には約0.0001Torrから約5Torrの範囲である。
【0034】PECVDの実施に適したチャンバーは,市販
されており,好適なモデルは,日本エー・エス・エム株
式会社(日本,東京)から市販されているEagle(商
標)シリーズのリアクターを含む。熱CVDの実施に適し
たチャンバーもまた市販されており,好適なモデルは,
ASM America Inc.(アリゾナ州,フェニックス)から市
販されている,Epsilon 2000のような,Epsilon?シリ
ーズの,枚葉式エピタキシャルリアクターを含む。好適
な熱CVDチェンバーが,一枚のウエーハ用の水平ガス流
リアクターである。市販のCVDチェンバーは好適に,温
度,ガス流および切り替え,ならびにチェンバー圧力
(マイクロエレクトロニクスの適用例に適している非常
に高品質の膜を製造するために維持できる)のコンピュ
ータ制御のような多くの特徴をもつ。CVD技術の当業者
は,このような方法,装置に詳しくしたがって,ルーテ
ィンとなる実験が,ここで記述した化学前駆体を使用し
て窒化ケイ素材を付着する最適な条件を選択するために
使用され得る。
【0035】議論しているように,窒化ケイ素材の組成
は,広範にわたって変化でき,多くの場合,所望の組成
をもつ膜を付着するために,化学前駆体の混合物を適用
することが望ましい。ルーティンとなる実験が,次のガ
イドラインを使用して,所望の化学組成を有する膜の付
着を一緒にもたらす,適切な化学前駆体またはその混合
物および付着方法を選択するために,使用され得る。
【0036】開始点として,付着される窒化ケイ素材の
所望の組成に比較的近い元素組成を有する前駆体または
前駆体の混合物が好適に選択される。前駆体または前駆
体混合物の各要素の重量割合は,前駆体の分子量および
混合物中の各前駆体の重量に基づいて容易に計算され得
る。表2は,重量割合に基づき,種々の化学前駆体およ
びそれらの混合物の元素組成を示す。たとえば,重量に
基づく重量で,約83%のケイ素,16%の窒素および0.1
%の水素の膜を付着するために,(H3Si)3Nは,所望の
結合構造をケイ素の窒素に対する同様の比をもつことか
ら,最適な前駆体である。
【0037】
【表2】
【0038】開始付着プロセスが好適に,開始化学前駆
体または混合物を利用するために選択される。たとえ
ば,熱CVDが,(H3Si)3Nを使用して窒化ケイ素の付着の
ための最適なプロセスである。付着温度は,付着された
材料のH量の制御をするために選択され得る。説明され
ているように,好適な付着温度の範囲で,付着した窒化
ケイ素材のH量およびハロゲン量は,より高い付着温度
でより低くなる。また,付着した窒化ケイ素のH量は,
開始化学前駆体または混合物のH量よりも非常に低くな
る傾向にある。
【0039】開始前駆体または混合物および開始付着プ
ロセスが選択されると,最初の膜が通常の方法で付着さ
れ得る。一般に,この膜の元素組成は,開始前駆体また
は混合物の元素組成と同じではない。たとえば,上述し
たように,付着温度は,水素およびハロゲン量,ならび
に前駆体の分解の相対的率に影響を与える傾向にある。
最初の膜の付着後,開始前駆体または混合物および/ま
たはプロセスは,所望の組成をもつ膜を形成するため
に,反復して調節され得る。好適に,実験計画法が,最
終的な膜の化学的組成および/または物理的性質におけ
る,種々のプロセス変数およびそれらの組み合わせの効
果を決定するために,使用される。実験計画法はそれ自
身周知であり,たとえば,Douglas C. Montgomery著“D
esign andAnalysis of Experiments”第二版,(John W
iley and Sons, 1984)を参照。特定のプロセスに対し
て,化学的組成および/または物理的特性における,種
々のプロセス変数およびそれらの組み合わせの効果が,
これら実験計画法により決定された後に,プロセスは好
適に,続く生成と矛盾しないように,コンピュータ制御
により自動化される。
【0040】付着した窒化ケイ素材の組成は好適に,付
加的な所望の元素の補足源を与えることにより,好適に
は,補足ケイ素源,窒素源,酸素源,ゲルマニウム源,
および/または炭素源を提供することにより,調節また
は制御される。補足源は,種々の物理的な形態で提供さ
れる。たとえば,化学前駆体が液体の形態で基板に与え
られると,その液体もまたは,所望の元素組成をもつ最
終的な窒化ケイ素を与えるのに十分な量の,所望の付加
的な元素の補足源を構成する。
【0041】所望のCVDに関し,供給されるガスが同時
に,化学前駆体および補足源からなり,最終的な窒化ケ
イ素材の各元素の量は,上記したガイドラインにしたが
って,ルーティングとなる実験を使用して各組成の分圧
を調整することにより制御される。たとえば,前述した
ように,化学前駆体および補足源の開始混合物は好適
に,選択された特定の付着プロセスの効果の知識により
修正されるように,付着された窒化ケイ素材の化学組成
を近似する化学組成をもつために,選択される。表3
は,補足源のいろいろな量で組み合わされたとき,表2
に示された化学前駆体の全体の元素組成を示す。
【0042】
【表3】
【0043】補足源において,好適なケイ素源は,シラ
ン,シリコン,四塩化物,四塩化シリコン,ジシラン,
トリシラン,メチルシラン,ジメチルシラン,シロキサ
ン,ジシロキサン,ジメチルシロキサン,メトキシシラ
ン,ジメトキシシラン,およびジメチルジメトキシシラ
ンを含む。好適な窒素源は,二原子窒素(N2),三フッ
化窒素,三塩化窒素および亜酸化窒素を含む。好適な酸
素源は,酸素,亜酸化窒素,オゾン,二酸化炭素,一酸
化炭素,過酸化水素,水,メタノール,エタノール,ジ
メチルエーテル,ジエチルエーテル,ジメトキシエーテ
ル,およびジエトキシエーテルを含む。好適なゲルマニ
ウム源は,ゲルマン,ジゲルマン,トリゲルマン,メチ
ルゲルマン,ジメチルゲルマン,メトキシゲルマン,お
よびジメトキゲルマンを含む。好適な炭素源は,メチル
シラン,ジシリルメタン,トリシリルメタンおよびテト
ラシリルメタンを含む。好適な補足源は,二つ以上の元
素源であってもよく,たとえば,ジメチルエーテルは炭
素と酸素との源であり,ジメチルシロキサンは炭素と,
酸素とシリコンとの源である。
【0044】ここに記載した窒化ケイ素材は,いろいろ
なプロセス,たとえばパターン化,エッチ化,アニール
化,ドープ化などを受け得る。たとえば,集積回路の製
造において,金属線または半導性層のような付加的な他
の材料の付加的な層が,ここで説明したように形成され
た,窒化ケイ素膜の表面に付着され得る。このような付
着は,ケイ素源,金属源,ゲルマニウム源などを与え,
通常の方法で付加的な層を付着することにより実施され
得る。好適な実施例において,窒化ケイ素材は,H量を
減少させるために,窒化ケイ素材の全原子の量に基づ重
量で,Hの量を約10原子%またはそれ以下に,より好適
には,5原子%またはそれ以下に,もっとも好適には3原
子%またはそれ以下に減少させるのに有効な温度に加熱
することにより,加熱される。
【0045】好適な実施例が窒化ケイ素材の性質を制御
する方法を提供する。窒素源としてNH3のみを,ケイ素
源としてSiH4のみを利用するCVDプロセスは,特に低付
着温度の下で,比較的高いH量をもつ窒化ケイ素材を生
成する傾向にある。NF3およびNCl3のような,ハロゲン
化物を含む窒素源,ならびにSiF4およびSiCl4のよう
な,ハロゲン化物を含むケイ素源は,都合よく,最終的
な窒化ケイ素材のH量を減少させる傾向にあるが,しか
しまたハロゲン量を増加させる傾向にある。エンドユー
ザーでの応用に対し,高ハロゲン量は好ましくない。
【0046】本発明は理論により縛られるわけではない
が,PECVDの条件が化学的に活性なハロゲン化物を含む
種の形成を助けると考えられている。プラズマのハロゲ
ン量が,低H量の窒化ケイ素材の,生成のプロセスにお
けるように,比較的低いと,ハロゲン化物を含む種が,
比較的高い存在度,高反応性種へのプラズマ分解をもた
らす低安定性,および/またはプラズマの長寿命をもつ
傾向にあり,したがって付着された窒化ケイ素内に組み
込まれる傾向にある。
【0047】窒化ケイ素材,好適には低H量の窒化ケイ
素材を付着するために,ハロゲン化物を含む化学前駆体
を使用するCVDプロセスに関し,好適な実施例は,ハロ
ゲン化物をこのプロセスに,付着された窒化ケイ素材の
ハロゲン量を減少させるのに有効な量で導入することに
より,この問題への解決策を与えている。これらの目的
で,低H量の窒化ケイ素材が,窒化ケイ素材の全原子の
量に基づく重量で,約15原子%またはそれ以下,好適に
は約10原子%またはそれ以下,より好適には約5原子%
またはそれ以下の水素量を含む。この方法は,熱CVDお
よびPECVDの両方に適用可能であるが,特にPECVDには有
益なものである。この目的で,“PECVD”は,窒化ケイ
素材を生成するための,ここで記述した種々のPECVD
法,特に,低H量の窒化ケイ素材に関し,ここで挙げた
文献に記載されたものを含む。ここで挙げたすべての文
献は,ここで記述したPECVDを説明する目的の文献とし
て,ここに組み込まれる。
【0048】本発明は理論に拘束されないが,しかし,
PECVD条件の下,水素源の有効な量の使用により,真空
中で除去が比較的容易で,壊すことが比較的難しい,比
較的強い水素ハロゲン結合を含む,ガス状態種を形成す
るために,ハロゲンを含む種と反応する,ハロゲンを含
む種が形成され,これにより,プラズマ中のハロゲンを
含む種の存在および/または寿命が減少し,付着された
窒化ケイ素材に組み入れられるハロゲンの量が減少する
と考えられている。
【0049】好適な水素源は,式SiHnX4-n(ここで,n
は,1,2または3で,XはFまたはClである)により表さ
れる分子を含む。好適な水素源の,非限定的な例は,Si
Cl2H2,SiCl3H,SiBr2H,およびSiBr3Hを含む。好適な
水素源は,キャリアガスの成分として導入でき,H2のよ
うな他の水素源と混合され得る。水素源の量は,水素源
の圧力または,ガスの混合物中の水素源の分圧を制御す
ることにより制御され得る。この実施例の方法は,ハロ
ゲン化物を含む化学前駆体が,SiCl4,SiF4,SiF 4,NCl
3,NF3およびHN3からなるグループから選択されるとき
に,特に有効である。
【0050】水素源は好適に,水素源が,窒化ケイ素材
の全重量に基づく重量で,約10%またはそれ以下,より
好適には約5%またはそれ以下にハロゲン量を減少させ
るために使用されないときと比較して,低H量の窒化ケ
イ素材のハロゲン量を減少させるのに有効な量で,使用
される。最も好適には,水素源の量は,付着された低H
量の窒化ケイ素材の水素量を不所望に,増加させないよ
うに制御される。水素源の好適な量は,ハロゲン化物を
含む化学前駆体の重量に基づく重量で,約0.001から10
%,さらに好適には0.01%から約5%の範囲にある。
【0051】ここで記述した種々の付着プロセスは,ユ
ニークな特性をもつ新規な複合材を生成するための層対
層の形態で実行され得る。このことは,付着プロセスの
間,連続してまたは段階的に,前駆体または前駆体の混
合物の組成を変化させることにより,達成される。たと
えば,Si‐NおよびSi‐O‐Nからなる膜が,最初に,(H
3Si)3NおよびO2を使用して,Si‐O‐Nの層を付着し,
次にSi‐Nの層を付着するためにO2を減少させることに
より,作られる。O2の量は,段遷移層を与えるために徐
々に傾斜し,またはSi‐O‐NとSi‐Nの層の間でより明
確な境界をもつ窒化ケイ素材を生成するために,段階的
に減少する。この技術は,下位層または上位層への接着
を改良するために,または,バルク材とは異なる特性を
有する表面コーティングをもつ材料を与えるために使用
できるものである。
【0052】ここで説明した窒化珪素材は種々の応用例
に,特に,低H量が望ましい応用例に有用である。この
ような応用例の,非限定例は,デバイスの特性を改良す
るために,たとえば,誘電率を増加させ,熱い電子効果
を減少させ,ホウ素の拡散を限定し,p+ポリシリコンゲ
ートを形成するために,そして,デバイスの寿命を延長
するために,窒化ケイ素層(窒化された二酸化ケイ素ゲ
ート誘電性層)に対するコーティングを含む。他の応用
例は,フォトリソグラフィプロセス工程における抗反射
コーティング,トランジスタ内の不活性層およびスペー
サ,金属レベルの間の絶縁体,酸化および拡散を防止す
るためのマスク,特に金属拡散バリヤ,好適には,銅金
属拡散バリヤ,ならびにエッチプロセス工程における,
ハードマスク,好適には多層フォトレジスト構造におけ
るエッチマスクとして使用するためのものである。
【0053】銅金属拡散バリヤのような応用例におい
て,窒化ケイ素材は,好適にアモルファスである。アモ
ルファス材の付着は,低付着温度,好適には約550℃ま
たはそれ以下,より好適には約450℃から約550℃で助長
される。ここに挙げたすべての文献は,低H量の窒化ケ
イ素材のための応用例を記述するための文献として組み
込まれる。
【0054】ここで説明したNを含む分子はまた,低温
度ドーピング材として,たとえば,β‐シリコンカーバ
イドを窒素でドープするために有用である。β‐シリコ
ンカーバイドは,メチルシランを含む化学前駆体を使用
して,低温度で付着され得る。ドーパントとしてトリシ
リルアミンを使用して,550℃から650℃の下,シリコン
カーバイド基板へのβ‐シリコンカーバイドの付着は,
換算温度で,nタイプの低H量のシリコンカーバイドの付
着を可能にする。好適に,窒素がドープされたβ‐シリ
コンカーバイドの付着は,600℃に加熱されたSiC基板
に,15分間,60Torrとして実施される。好適には,流量
が25sccmのメチルシランが,流量が10sccmのH2中,100p
pmのトリシリルアミン混合物,および流量が20slmのH2
キャリアガスとともに使用される。
【0055】本発明の思想から逸脱することなく,種々
の変更をなし得ることは当業者には理解されよう。した
がって,上述し,例示した種々の実施例が,本発明の範
囲を限定するものではないことは明に理解されよう。
【0056】例 以下の例において,付着された膜の水素量は,弾性反跳
検出(“ERD”)を使用して,ラザフォード後方散乱ス
ペクトロスコピー(“RBS”)により測定され,フーリ
エ変換赤外線スペクトロスコピー(“FTIR”)により確
認された。各膜の全ケイ素および窒素組成もまた,2MeV
ラザフォード後方散乱スペクトロスコピーにより決定さ
れた。
【0057】例1‐5 出入り口をもつ,クラムシェル管炉内に包含された石英
製管が,前混合チェンバーおよび質量流量コントローラ
とともに備え付けられた。五個のシリコンワークピース
が,炉の長さにそって配置された。質量流量コントロー
ラは,分圧が約400Torrの窒素および135Torrのトリシリ
ルアミンから成るガス混合物を,加熱された石英管に供
給するために使用された。炉の温度は,約550℃に維持
された。ガス混合物は炉へと,約20標準立法センチメー
トル/分の流量および約1.05Torrの圧力で導入され,15
分間維持された。ガス混合物は熱的に活性化され,出口
へ,そしてワークピースの表面へと炉の長さにそって流
れ,これにより,七つのワークピース基板のそれぞれに
窒化ケイ素が付着された。
【0058】窒素に対するケイ素比(“silicon:nitrog
en”)はRBSにより,五つの膜に対し約3:1であること
が決定された。五つのすべての膜は,約4000Åから約60
00Åの範囲にある厚さをもつ。RBS/ERDにより決定され
たとき,各膜の水素量は,約4原子%(全膜の重量に基
づく重量で約0.175%)であった。
【0059】例6‐12 七つのワークピースが炉内に配置され,付着の間,炉の
温度が約475℃で,ガス流量が3‐5sccm,付着の間,ガ
ス圧が約0.001Torr,値付着が約一時間で実行したこと
を除き,例1‐5の場合と同様に付着された。ケイ素:
窒素は,七つの膜すべてに対して,約3.:1と,RBSによ
り決定された。七つのすべての膜は,約1500Åから約30
00Åの範囲にある厚さをもつ。RBS/ERDにより決定され
たとき,各膜の水素量は,約4原子%(全膜の重量に基
づく重量で約0.175%)であった。
【0060】例13‐17 ガス混合物が,分圧が約200Torrのトリシラン,約150To
rrのNF3,400Torrの窒素(N2)から成ることを除き,例
1‐5のように膜が付着された。ケイ素:窒素が全膜に
対して,約6:1であり,各膜の水素量は,全膜の重量に
基づく重量で,約1%以下である。
【0061】例18 全圧力の1%に等しい分圧を有するH2SiCl2,全圧力の2.
5%に等しい分圧を有するNF3,全圧力の約6.5%に等し
い分圧を有するSiCl4,全圧力の90%に等しい分圧を有
するヘリウムキャリアガスを含むガスが,550℃に維持
されたシリコン基板を含む,2Torrの圧力に維持されたP
ECVDリアクターに導入された。基板上に窒化ケイ素膜を
付着するために,基板表面の単位センチメータ当たり2.
0ワットのパワーレベルで,13.56HMzの輻射を使用し
て,ガス混合物内でプラズマが開始された。膜は,全膜
の重量に基づく重量で,約1%の水素量,約5%の塩素量
を有する。

Claims (37)

    【特許請求の範囲】
  1. 【請求項1】蒸気相の前駆体から窒化ケイ素材を,基板
    に付着するプロセスであって,基板が配置される付着チ
    ェンバーを与えることと,熱分解により,前記基板上に
    窒化ケイ素材を付着するために,一つ以上のN‐Si化学
    結合を含む化学前駆体を,前記チェンバーに導入するこ
    とと,を含むプロセス。
  2. 【請求項2】前記化学前駆体は,前記N‐Si化学結合よ
    り少ないN‐H化学結合を含む,請求項1に記載のプロセ
    ス。
  3. 【請求項3】前記化学前駆体は,N‐N化学結合をもたな
    い,請求項1に記載のプロセス。
  4. 【請求項4】前記化学前駆体は,(X3Si)3N,(X3Si)
    2N‐N(SiX32,(X3Si)N=N(SiX3)および(R3-mSi
    Xm3Nからなるグループから選択され,ここで,mは0,
    1または2で,各Xは,F,Cl,Br,HおよびDからなるグル
    ープからから個々に選択され,各Rは,メチル,エチ
    ル,フェニル,および第三ブチルからなるグループから
    個々に選択される,請求項1に記載のプロセス。
  5. 【請求項5】前記化学前駆体は(X3Si)3Nである,請求
    項4に記載のプロセス。
  6. 【請求項6】前記窒化ケイ素材は,付着されたとき,約
    10原子%またはそれ以下の水素量を有する,請求項1に
    記載のプロセス。
  7. 【請求項7】さらに,前記窒化ケイ素材を加熱すること
    を含む,請求項6に記載のプロセス。
  8. 【請求項8】前記加熱は,前記水素量を約5原子%また
    はそれ以下に減少させるのに有効である,請求項7に記
    載のプロセス。
  9. 【請求項9】さらに,窒素源を導入するとともに前記化
    学前駆体を導入することを含み,前記窒素源は,NF3
    よびNCl3からなるグループから選択される,請求項1に
    記載のプロセス。
  10. 【請求項10】さらに,窒素源を導入するとともに前記
    化学前駆体を導入することを含み,前記窒素源は,SiCl
    4およびSiF4からなるグループから選択される,請求項
    1に記載のプロセス。
  11. 【請求項11】さらに,補足源を導入するとともに前記
    化学前駆体を導入することを含み,前記補足源は,酸素
    源,炭素源およびゲルマニウム源からなるグループから
    選択される,請求項1に記載のプロセス。
  12. 【請求項12】前記窒化ケイ素材は,Si‐N,Si‐O‐
    N,Si‐C‐NおよびSi‐O‐C‐Nからなるグループから選
    択される,請求項1に記載のプロセス。
  13. 【請求項13】前記窒化ケイ素材は,付着されたとき,
    約4原子%またはそれ以下の水素量を有する,請求項1
    に記載のプロセス。
  14. 【請求項14】前記化学分解は,熱化学蒸着である,請
    求項1に記載のプロセス。
  15. 【請求項15】前記熱化学蒸着は,約450℃から約700℃
    の範囲にある温度で実行される,請求項14に記載のプ
    ロセス。
  16. 【請求項16】熱化学蒸着プロセスであって,集積回路
    を化学蒸着チェンバーに配置することと,前記集積回路
    を,約500℃から約650℃の範囲にある温度に加熱するこ
    とと,前記集積回路に材料を付着するために,化学前駆
    体を前記化学蒸着チェンバーに加えることと,を含み,
    前記化学前駆体は,(X3Si)3N,(X3Si)2N‐N(Si
    X32,(X3Si)N=N(SiX3)および(R3-mSiXm3Nか
    らなるグループから選択され,ここで,mは0,1または2
    で,各Xは,F,Cl,Br,HおよびDからなるグループから
    から個々に選択され,各Rは,メチル,エチル,フェニ
    ル,および第三ブチルからなるグループから個々に選択
    される,熱化学蒸着プロセス。
  17. 【請求項17】窒化ケイ素材を付着するプロセスであっ
    て,Nを含む化学前駆体と,Siを含む化学前駆体の混合
    物とを生成することを含み,前記混合物は,化学蒸着チ
    ェンバー内にある基板の存在の下で生成され,これによ
    り窒化ケイ素材が,熱化学蒸着により前記基板に付着さ
    れ,前記Siを含む化学前駆体は,前記Siを含む化学前駆
    体の全重量に基づく重量で,9.0%以下の水素原子を含
    む,ところのプロセス。
  18. 【請求項18】前記Nを含む化学前駆体は,前記Nを含む
    化学前駆体の全重量に基づく重量で,約10%以下の水素
    原子を含む,請求項17に記載のプロセス。
  19. 【請求項19】前記Nを含む化学前駆体は,実質的にN‐
    N結合をもたない,請求項17に記載のプロセス。
  20. 【請求項20】前記Siを含む化学前駆体は,SiX4,X3Si
    SiX3,X3SiSiX2SiX3,SiXnR4-n,(X3Si)4-nCXnおよび
    (R3-nSiXn2Oからなるグループから選択され,各Xは
    F,Cl,HおよびDからなるグループから個別に選択さ
    れ,各Rは,メチル,エチル,フェニルおよび第三ブチ
    ルからなるグループから個別に選択される,請求項17
    に記載のプロセス。
  21. 【請求項21】前記Nを含む化学前駆体は,RmNX3-m,X
    2-pRpN‐NRpX2-p,およびXN=NXからなるグループから選
    択され,mは0,1または2で,pは0または1で,各XはF,C
    l,HおよびDから成るグループから個別に選択され,各R
    は,メチル,エチル,フェニルおよび第三ブチルからな
    るグループから個別に選択される,請求項17に記載の
    プロセス。
  22. 【請求項22】前記Nを含む化学前駆体は,NF3,NCl3
    F2NNF2,およびFNNFからなるグループから選択される,
    請求項17に記載のプロセス。
  23. 【請求項23】前記Siを含む化学前駆体はトリシランで
    ある,請求項22に記載のプロセス。
  24. 【請求項24】前記Nを含む化学前駆体はNF3である,請
    求項23に記載のプロセス。
  25. 【請求項25】前記混合物はさらに,酸素源,炭素源お
    よびゲルマニウム源からなるグループから選択される補
    足源を含む,請求項17に記載のプロセス。
  26. 【請求項26】前記窒化ケイ素材は,Si‐N,Si‐O‐
    N,Si‐C‐NおよびSi‐O‐C‐Nからなるグループから選
    択される,請求項17に記載のプロセス。
  27. 【請求項27】前記窒化ケイ素材は,付着されたとき,
    約10原子%またはそれ以下の水素量を有する,請求項1
    7に記載のプロセス。
  28. 【請求項28】前記熱化学蒸着は,約450℃から約700℃
    の範囲にある温度で実行される,請求項27に記載のプ
    ロセス。
  29. 【請求項29】前記熱化学蒸着は,約500℃から約650℃
    の範囲にある温度で実行される,請求項28に記載のプ
    ロセス。
  30. 【請求項30】さらに,前記窒化ケイ素材を加熱するこ
    とを含む,請求項27に記載のプロセス。
  31. 【請求項31】前記加熱は,前記水素量を約5原子%ま
    たはそれ以下に減少させるのに有効である,請求項30
    に記載のプロセス。
  32. 【請求項32】前記窒化ケイ素材は,付着されたとき,
    約4原子%またはそれ以下の水素量を有する,請求項1
    7に記載のプロセス。
  33. 【請求項33】PECVD付着の窒化ケイ素材の特性を制御
    する方法であって,低水素量の窒化ケイ素材を付着する
    ために,ハロゲン化物を含む化学前駆体を利用する,プ
    ラズマ強化化学蒸着プロセスを与えること,SiHnX
    4-n(ここで,nは1,2または3で,XはFまたはClであ
    る)で示される水素源を,前記プラズマ強化化学蒸着プ
    ロセスに導入することと,を含み,ここで水素源は,前
    記水素量の窒化ケイ素源のハロゲン量を減少するのに有
    効な量で使用される,ところの方法。
  34. 【請求項34】前記水素源は,SiCl2H2およびSiCl3Hか
    らなるグループから選択される,請求項33に記載の方
    法。
  35. 【請求項35】前記ハロゲン化物を含む化学前駆体は,
    SiCl4,SiF4,NCl3およびNF3からなるグループから選択
    される方法。
  36. 【請求項36】前記水素の前記量は,前記ハロゲン化物
    を含む化学前駆体の重量に基づく重量で,約0.1%から
    約10%の範囲にある,請求項33に記載の方法。
  37. 【請求項37】前記低水素量の窒化ケイ素材は,蒸着さ
    れたとき,約10原子%またはそれ以下の水素を含む,と
    ころの請求項33に記載の方法。
JP2001131880A 2000-04-28 2001-04-27 窒化ケイ素材のcvd合成 Pending JP2001358139A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US20067600P 2000-04-28 2000-04-28
US20210200P 2000-05-04 2000-05-04
US60/200676 2000-05-04
US60/202102 2000-05-04

Publications (1)

Publication Number Publication Date
JP2001358139A true JP2001358139A (ja) 2001-12-26

Family

ID=26895987

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001131880A Pending JP2001358139A (ja) 2000-04-28 2001-04-27 窒化ケイ素材のcvd合成

Country Status (4)

Country Link
US (1) US6630413B2 (ja)
EP (1) EP1149934B1 (ja)
JP (1) JP2001358139A (ja)
DE (1) DE60112354T2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006082794A1 (ja) * 2005-02-01 2006-08-10 Mitsui Chemicals, Inc. 部材の接合方法および複合フィルム、ならびにそれらの用途
JP2007221165A (ja) * 2001-08-30 2007-08-30 Tokyo Electron Ltd プラズマcvd成膜方法及びプラズマcvd成膜装置
KR101042133B1 (ko) * 2002-09-25 2011-06-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조방법
US8216684B2 (en) 2005-02-01 2012-07-10 Mitsu Chemicals, Inc. Method for bonding members, composite film and use thereof
US8773614B2 (en) 2011-01-03 2014-07-08 Lg Display Co., Ltd. Two-way liquid crystal display device
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9920078B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free synthesis of aminosilanes by catalytic dehydrogenative coupling

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1149933A1 (en) * 2000-04-28 2001-10-31 STMicroelectronics S.r.l. Deposition method of dielectric films having a low dielectric constant
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
JP2003257875A (ja) * 2002-03-05 2003-09-12 Fujitsu Ltd 半導体装置の製造方法および成膜方法
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6940151B2 (en) 2002-09-30 2005-09-06 Agere Systems, Inc. Silicon-rich low thermal budget silicon nitride for integrated circuits
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US6992370B1 (en) * 2003-09-04 2006-01-31 Advanced Micro Devices, Inc. Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7306995B2 (en) * 2003-12-17 2007-12-11 Texas Instruments Incorporated Reduced hydrogen sidewall spacer oxide
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US20050194374A1 (en) * 2004-03-02 2005-09-08 Applied Materials, Inc. Heated ceramic substrate support with protective coating
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
WO2006039503A2 (en) * 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
WO2006063614A1 (en) * 2004-12-16 2006-06-22 Freescale Semiconductor, Inc. Power field effect transistor device and method of manufacture thereof
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4891550B2 (ja) * 2005-02-10 2012-03-07 独立行政法人科学技術振興機構 n型トランジスタ、n型トランジスタセンサ及びn型トランジスタ用チャネルの製造方法
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20060264042A1 (en) * 2005-05-20 2006-11-23 Texas Instruments, Incorporated Interconnect structure including a silicon oxycarbonitride layer
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7598576B2 (en) 2005-06-29 2009-10-06 Cree, Inc. Environmentally robust passivation structures for high-voltage silicon carbide semiconductor devices
US7525122B2 (en) * 2005-06-29 2009-04-28 Cree, Inc. Passivation of wide band-gap based semiconductor devices with hydrogen-free sputtered nitrides
US7855401B2 (en) 2005-06-29 2010-12-21 Cree, Inc. Passivation of wide band-gap based semiconductor devices with hydrogen-free sputtered nitrides
US20100104755A1 (en) * 2005-06-29 2010-04-29 Christian Dussarrat Deposition method of ternary films
WO2007008653A2 (en) * 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070281082A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
KR101160930B1 (ko) * 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
CN101165862B (zh) * 2006-10-16 2011-04-20 联华电子股份有限公司 高压应力薄膜与应变硅金属氧化物半导体晶体管及其制法
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100186811A1 (en) * 2008-08-26 2010-07-29 Sixtron Advanced Materials, Inc. Silicon Carbonitride Antireflective Coating
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
CN103098185B (zh) * 2010-08-20 2017-02-08 应用材料公司 形成无氢含硅介电薄膜的方法
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US10707082B2 (en) 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US9991399B2 (en) 2012-10-04 2018-06-05 Cree, Inc. Passivation structure for semiconductor devices
US8994073B2 (en) 2012-10-04 2015-03-31 Cree, Inc. Hydrogen mitigation schemes in the passivation of advanced devices
US9812338B2 (en) 2013-03-14 2017-11-07 Cree, Inc. Encapsulation of advanced devices using novel PECVD and ALD schemes
US20150329965A1 (en) * 2012-12-21 2015-11-19 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN104637992B (zh) * 2013-11-13 2019-08-23 上海和辉光电有限公司 具有改善的蚀刻角度的栅极绝缘层及其形成方法
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
JP6306411B2 (ja) * 2014-04-17 2018-04-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9431235B1 (en) * 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
EP3307745B1 (en) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and nitrogen-containing thin films
EP3307744B1 (en) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and oxygen-containing thin films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
JP6300773B2 (ja) * 2015-10-23 2018-03-28 三菱電機株式会社 半導体圧力センサ
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10087521B2 (en) 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
NO344185B1 (en) * 2016-05-31 2019-09-30 Inst Energiteknik Electrode and Battery comprising a powder of Silicon Nitride particles
WO2018194396A1 (ko) * 2017-04-20 2018-10-25 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102548405B1 (ko) 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
NO345562B1 (en) * 2019-06-24 2021-04-19 Inst Energiteknik Electrode, electrical energy storage device & method
US11658026B2 (en) 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
KR20220081905A (ko) * 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN115432676B (zh) * 2021-06-04 2024-03-26 中国科学院过程工程研究所 一种多级流化床制备高质量氮化硅粉体的系统及方法
CN115432677B (zh) * 2021-06-04 2024-03-22 中国科学院过程工程研究所 一种撞击流耦合流化床制备高质量氮化硅粉体的系统及方法
CN115677355B (zh) * 2021-07-28 2023-09-08 中国科学院上海硅酸盐研究所 一种纤维表面Si3N4纳米网络复合界面相层及其制备方法
US20230126516A1 (en) * 2021-10-27 2023-04-27 Asm Ip Holding B.V. Methods and systems for forming doped silicon nitride films

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4452875A (en) 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4720395A (en) * 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4933206A (en) * 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JPH04299515A (ja) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜およびその製造方法
JPH04332115A (ja) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5731238A (en) 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
DE19749457C2 (de) 1997-11-10 2002-01-24 Schunk Motorensysteme Kohlehalteranordnung
WO2000003425A1 (en) 1998-07-10 2000-01-20 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007221165A (ja) * 2001-08-30 2007-08-30 Tokyo Electron Ltd プラズマcvd成膜方法及びプラズマcvd成膜装置
KR101042133B1 (ko) * 2002-09-25 2011-06-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조방법
WO2006082794A1 (ja) * 2005-02-01 2006-08-10 Mitsui Chemicals, Inc. 部材の接合方法および複合フィルム、ならびにそれらの用途
JPWO2006082794A1 (ja) * 2005-02-01 2008-06-26 三井化学株式会社 部材の接合方法および複合フィルム、ならびにそれらの用途
US8216684B2 (en) 2005-02-01 2012-07-10 Mitsu Chemicals, Inc. Method for bonding members, composite film and use thereof
US8773614B2 (en) 2011-01-03 2014-07-08 Lg Display Co., Ltd. Two-way liquid crystal display device
US9920078B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free synthesis of aminosilanes by catalytic dehydrogenative coupling
US10494387B2 (en) 2013-09-27 2019-12-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US11274112B2 (en) 2013-09-27 2022-03-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same

Also Published As

Publication number Publication date
US20020016084A1 (en) 2002-02-07
EP1149934B1 (en) 2005-08-03
EP1149934A2 (en) 2001-10-31
US6630413B2 (en) 2003-10-07
DE60112354D1 (de) 2005-09-08
EP1149934A3 (en) 2001-12-19
DE60112354T2 (de) 2006-06-01

Similar Documents

Publication Publication Date Title
JP2001358139A (ja) 窒化ケイ素材のcvd合成
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
JP6919039B2 (ja) SiNの堆積
KR102259262B1 (ko) 유동성 실리콘-함유 막들의 증착
KR101226876B1 (ko) 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
JP6290961B2 (ja) シリコン含有膜堆積用の前駆体及びその製造及び使用方法
TW202035764A (zh) 選擇性沉積氮化矽層之方法及包括經選擇性沉積氮化矽層之結構
US9984868B2 (en) PEALD of films comprising silicon nitride
US7629267B2 (en) High stress nitride film and method for formation thereof
KR100214910B1 (ko) Sih4를 이용한 반도체 기판 처리 장치 및 방법과 그 제조물
TW202018116A (zh) 含矽膜的高溫原子層沉積
US20090075490A1 (en) Method of forming silicon-containing films
US20070134433A1 (en) Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
US20070049053A1 (en) Pretreatment processes within a batch ALD reactor
EP1713953A2 (en) Method for producing silicon nitride films and silicon oxynitride films by chemical vapor deposition
KR20180010994A (ko) 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
JP6526562B2 (ja) シリコン含有薄膜の製造方法
JP2021527331A (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
CN112969817B (zh) 含硅膜的高温原子层沉积
JP4354732B2 (ja) 気相成長法によるシリコン窒化物膜の製造方法
TW202225467A (zh) 熱沉積含矽膜的組合物及方法
US20060198958A1 (en) Methods for producing silicon nitride films by vapor-phase growth
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법
JPH0420983B2 (ja)