KR101042133B1 - 열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조방법 - Google Patents

열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조방법 Download PDF

Info

Publication number
KR101042133B1
KR101042133B1 KR1020057005036A KR20057005036A KR101042133B1 KR 101042133 B1 KR101042133 B1 KR 101042133B1 KR 1020057005036 A KR1020057005036 A KR 1020057005036A KR 20057005036 A KR20057005036 A KR 20057005036A KR 101042133 B1 KR101042133 B1 KR 101042133B1
Authority
KR
South Korea
Prior art keywords
gas
silicon nitride
tsa
reaction chamber
nitride film
Prior art date
Application number
KR1020057005036A
Other languages
English (en)
Other versions
KR20050060074A (ko
Inventor
끄리스띠앙 뒤사랑
쟝-마르 지라르
다까꼬 기무라
나오끼 다마오끼
유우스께 사또
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=32040471&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101042133(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20050060074A publication Critical patent/KR20050060074A/ko
Application granted granted Critical
Publication of KR101042133B1 publication Critical patent/KR101042133B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 기판 (112)를 수용하는 CVD 반응 챔버 (11) 내에 트리실릴아민 및 암모니아를 공급함으로써 질화규소막을 기판 (112) 상에 형성시킨다. 이 공정 중, 암모니아 가스/트리실릴아민 가스의 유량비를 10 이상의 값으로 설정하고(설정하거나) 열 CVD 반응을 600 ℃ 이하의 온도에서 진행시킨다. CVD 반응 챔버 (11) 내에 산소원 가스를 더 도입함으로써 옥시질화규소를 얻는다. 본 발명에 따른 방법은 염화암모늄의 생성을 방지하고(방지하거나) 퇴적된 막의 품질에 유해한 탄소계 오염 물질의 혼입을 방지한다.
Figure R1020057005036
질화규소막, 옥시질화규소막, 열화학 증착, 트리실릴아민, 암모니아, 산소원 가스

Description

열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조 방법 {METHODS FOR PRODUCING SILICON NITRIDE FILMS AND SILICON OXYNITRIDE FILMS BY THERMAL CHEMICAL VAPOR DEPOSITION}
본 발명은 질화규소막 및 옥시질화규소막의 제조 방법에 관한 것이다. 보다 구체적으로, 본 발명은 열화학 증착 (열 CVD)에 의한 질화규소막 및 옥시질화규소막의 제조 방법에 관한 것이다.
질화규소막은 뛰어난 차단 특성 및 뛰어난 내산화성을 가지므로, 반도체 장치의 제조시, 예를 들면 식각정지층, 장벽층 또는 게이트 절연층 및 산화물/질화물 적층물에 사용되고 있다.
질화규소막을 형성하기 위해 현재 주로 사용되고 있는 방법은 플라즈마 강화 CVD (PECVD) 및 저압 CVD (LPCVD)이다.
PECVD는 통상 규소원 (통상 실란) 및 질소원 (통상 암모니아지만, 보다 최근에는 질소)을 한 쌍의 평행 평판 전극 사이에 도입하고, 저온 (약 300 ℃) 및 저압 (1 mtorr 내지 1 torr)에서 양 전극간에 고주파 에너지를 인가함으로써 규소원 및 질소원으로부터 플라즈마의 발생을 유도하는 것으로 수행된다. 발생한 플라즈마 중의 활성 규소종 및 활성 질소종이 서로 반응하여 질화규소막을 제조한다. PECVD 법에 의해 상기 방식으로 형성된 질화규소막은 통상 화학양론적 조성을 갖지 않고, 또한 수소가 풍부하여, 막의 밀도가 낮고, 단차 피복성 (step coverage)이 불량하고, 식각 속도가 빠르고, 열안정성이 불량하다.
LPCVD는 저압 (0.1 내지 2 torr) 및 고온 (700 내지 900 ℃)을 사용하고, PECVD에 의해 제조된 질화규소막에 비해 품질이 뛰어난 질화규소막을 제조한다. 현재는 통상적으로 디클로로실란과 암모니아 가스를 반응시키는 LPCVD에 의해 질화규소를 제조한다. 그러나, 이 LPCVD 방식에서는 디클로로실란과 암모니아 가스의 반응에 의한 부산물로서 염화암모늄이 생성되며, 이 염화암모늄은 반응기의 배기 라인 내에 축적되어 이를 폐색시키고, 웨이퍼 상에도 퇴적된다.
이러한 문제점을 해결하기 위해, 염소를 함유하지 않는 질화규소 전구체, 즉 알킬실란, 아미노실란을 사용하는 방법이 제안되었다. 그러나, 이들 전구체는 모두 탄소를 함유하고 있다. 본 발명에 따르면, 본 발명자들은 이들 전구체를 단독으로 또는 암모니아와 함께 사용하면, 얻어진 질화규소막 내에 탄화규소 및(또는) 유리 탄소가 혼입되어 막의 절연성을 저하시킨다는 것을 발견하였다.
이러한 문제점은 상기 종래 기술의 전구체를 사용하여 옥시질화규소막 (동일한 전구체를 가짐, 산소 함유 가스에 질소 함유 가스를 더함, 질화규소막과 동일한 물성 및 용도를 가짐)을 제조하는 경우에도 발생한다.
아주 최근에는 720 내지 740 ℃에서 암모니아와 트리실릴아민 (TSA) (암모니아와 TSA의 분압비 5:1; TSA 분압 = 5 × 10-2 torr)을 반응시켜 산화규소막 상에 질화규소를 형성시키는 것이 보고되었다 (문헌 [엠. 코펠 (M. Copel) 등, Applied Physics Letters, Vol. 74, Number 13, 1999]). 그러나, 이 문헌은 질화규소의 성장 메커니즘에 대해서 보고한 것에 지나지 않고, 제조된 질화규소막의 특성에 대한 평가는 전혀 제공하고 있지 않다. 또한, 이 문헌은 TSA를 이용한 옥시질화규소막의 형성에 대해서는 보고하고 있지 않다.
따라서, 염화암모늄의 생성을 수반하지 않고, 탄소계 오염 물질을 막 내에 혼입시키는 일 없이, 막 특성이 향상된 질화규소막 및 옥시질화규소막을 제조할 수 있는 CVD에 기반한 방법이 제공되는 것이 요구된다.
<발명의 요약>
본 발명자들은 상기 과제를 해결하기 위해 열심히 연구한 결과, 트리실릴아민이 염소 및 탄소를 함유하지 않을 뿐만 아니라, 암모니아와 반응하여 고품질의 질화규소를 제조하고, 암모니아 및 산소 함유 가스와 반응하여 고품질의 옥시질화규소를 제조한다는 것을 발견하였다. 이들 반응은 또한 반응 챔버의 하류에서 반응 부산물도 나타나지 않는다. 또한, 본 발명자들은 트리실릴아민과 암모니아를 반응시켜 질화규소막을 제조할 때, 암모니아:트리실릴아민의 반응 챔버 내로의 공급 유량비가 생성된 질화규소막의 조성 안정성에 영향을 준다는 것도 발견하였다. 더욱이, 본 발명자들은 트리실릴아민과 암모니아를 반응시켜 질화규소막을 제조할 때의 반응 온도 (막 형성 온도)가 질화규소막의 생성물에 의한 단차 피복성에 영향을 준다는 것도 발견하였다. 본 발명은 이러한 발견들에 기초한 것이다.
본 발명의 제1 측면에 따르면, 하나 이상의 기판을 수용하는 화학 증착 반응 챔버 내에 트리실릴아민 가스 및 암모니아 가스를 공급하는 단계, 및 소정의 온도 및 압력 조건 하에 상기 두 가스를 반응시켜 상기 하나 이상의 기판 상에 질화규소막을 형성시키는 단계를 포함하며, 상기 반응 챔버 내에 공급되는 암모니아 가스 대 트리실릴아민 가스의 유량비를 10 이상으로 설정하는 단계를 더 포함하는 열화학 증착에 의한 질화규소막의 제조 방법이 제공된다.
바람직하게는, 상기 트리실릴아민과 암모니아 가스의 반응에서 소정의 온도 조건은 600 ℃ 이하의 온도로 설정된다.
본 발명의 제2 측면에 따르면, 하나 이상의 기판을 수용하는 화학 증착 반응 챔버 내에 트리실릴아민 가스 및 암모니아 가스를 공급하는 단계, 및 소정의 온도 및 압력 조건 하에 상기 두 가스를 반응시켜 상기 하나 이상의 기판 상에 질화규소막을 형성시키는 단계를 포함하며, 상기 트리실릴아민과 암모니아 가스의 반응에서 소정의 온도를 600 ℃ 이하의 값으로 설정하는 단계를 더 포함하는 열화학 증착에 의한 질화규소막의 제조 방법이 제공된다.
본 발명의 또 다른 측면에 따르면, 하나 이상의 기판을 수용하는 화학 증착 반응 챔버 내에 트리실릴아민 가스, 암모니아 가스 및 산소 함유 가스를 공급하는 단계; 및
소정의 온도 및 압력 조건 하에 이들 가스를 반응시켜 상기 하나 이상의 기판 상에 옥시질화규소막을 형성시키는 단계
를 포함하는 열화학 증착에 의한 옥시질화규소막의 제조 방법이 제공된다.
바람직하게는, 상기 산소 함유 가스가 O2, O3, H2O, H2O2, NO, NO2 및(또는) N2O 또는 그들의 임의의 혼합물로 주로 이루어지는 군으로부터 선택된다.
본 발명의 다른 측면에 따르면, 하나 이상의 기판을 수용하는 화학 증착 반응 챔버 내에 하나 이상의 트리실릴아민 가스 및 구성 원소로서 산소 및 질소를 모두 함유하는 하나 이상의 가스를 공급하는 단계; 및
소정의 온도 및 압력 조건 하에 상기 두 가스를 반응시켜 상기 하나 이상의 기판 상에 옥시질화규소막을 형성시키는 단계
를 포함하는 열화학 증착에 의한 옥시질화규소막의 제조 방법이 제공된다.
바람직하게는, 상기 구성 원소로서 산소 및 질소를 모두 함유하는 가스가 NO, NO2 및(또는) N2O 또는 그들의 임의의 혼합물로 이루어지는 군으로부터 선택된다.
도 1은 (옥시)질화규소막의 제조 장치의 일례를 도시하는 블록 선도이다.
도 2는 (옥시)질화규소막의 제조 장치의 다른 예를 도시하는 블록 선도이다.
도 3은 암모니아 가스/트리실릴아민 가스의 유량비와 질화규소막의 조성의 변화율과의 관계를 나타내는 그래프이다.
도 4는 CVD 반응 온도와 질화규소막에 의한 단차 피복율과의 관계를 나타내는 그래프이다.
도 5는 트리실릴아민의 분해율과 온도와의 관계를 나타내는 그래프이다.
본 발명은 열 CVD에 의해 질화규소막 및 옥시질화규소막 (이하, (옥시)질화규소막으로 지칭함)을 기판 상에 형성시키는 방법에 관한 것이며, (옥시)질화규소막의 전구체로서 트리실릴아민 (TSA)을 이용한다.
우선, 질화규소막의 제조 방법을 고찰하기로 한다. 이 경우, 하나 이상의 기판 (특히, 실리콘 기판 등의 반도체 기판)을 수용하는 화학 증착 반응 챔버 (이하, CVD 반응 챔버로 지칭함) 내에 TSA 가스, 암모니아 가스 및 선택적인 불활성 희석 가스를 공급하고, TSA 가스와 암모니아 가스를 반응시켜 기판 상에 질화규소막을 형성시킨다. 기판은 산화규소막 등의 산화막을 미리 갖출 수 있다.
상기 TSA 가스와 암모니아 가스의 반응시 CVD 반응 챔버 내의 압력을 0.1 torr 내지 1 기압으로 유지할 수 있다. 이 반응 (질화규소막의 형성)은 일반적으로 1,000 ℃ 이하의 온도에서 진행될 수 있다. 그러나, 300 ℃ 미만의 온도에서는 질화규소가 거의 생성되지 않기 때문에, TSA 가스와 암모니아 가스의 반응은 통상 300 내지 1,000 ℃에서 진행될 것이다. 암모니아 가스와 TSA 가스는 통상 NH3/TSA 유량비 4 이상으로 CVD 반응 챔버 내에 공급될 것이다. NH3/TSA 유량비가 500을 초과해도 질화규소를 제조할 수 있지만, 이러한 500을 초과하는 NH3/TSA 유량비는 비경제적이다.
CVD 반응 챔버 내에 필요에 따라 도입되는 불활성 희석 가스는 불활성 가스, 예를 들면 질소 또는 아르곤 등의 희가스일 수 있다.
TSA는 탄소도 염소도 함유하지 않기 때문에, 암모니아와의 반응시 종래 문제가 되었던 염화암모늄 부산물을 발생시키지 않고, 질화규소막 생성물이 탄소계 물질에 의해 오염되지 않는다.
본 발명의 제1 측면에 따르면, 상기와 같이 TSA 가스와 암모니아 가스를 반응시켜 질화규소막을 제조할 때, NH3/TSA 유량비를 10 이상으로 함으로써, 질화규소막 생성물의 조성 안정성이 상당히 향상된다는 것이 발견되었다. 즉, 본 발명의 제1 측면에 따르면, CVD 반응 챔버 내에 공급되는 암모니아 가스 대 TSA 가스의 유량비를 10 이상의 값으로 하여, 상기 압력 및 반응 온도 조건 하에 암모니아 가스와 TSA 가스를 반응시켜 질화규소막을 제조한다. NH3/TSA 유량비를 10 이상의 값으로 하면 유량비의 변동으로 인한 반도체 기판면 내에서의 질화규소막의 조성 변화가 8 % 이하의 매우 작은 변화율로 억제된 질화규소를 제조할 수 있다. NH3/TSA 유량비가 20 이상이면 질화규소막의 조성은 거의 일정하게 된다.
또한, 본 발명의 제2 측면에 따르면, 상기와 같이 TSA 가스와 암모니아 가스를 반응시켜 질화규소막을 제조할 때, 암모니아 가스/TSA 가스의 반응 온도를 600 ℃ 이하의 값으로 함으로써, 옥시질화규소막 생성물의 단차 피복성능이 상당히 향상된다는 것이 발견되었다. 즉, 본 발명의 제2 측면에 따르면, 암모니아 가스/TSA 가스의 반응 온도를 600 ℃ 이하로 하여, 상기 압력 및 NH3/TSA 유량비의 조건 하에 암모니아 가스와 TSA 가스를 반응시켜 질화규소막을 제조한다.
본원에서 사용된 반응 온도란 통상 질화규소가 형성되는 기판 또는 기판 근처의 온도를 의미한다. 기판의 온도를 측정하는 수단으로서는 기판으로부터의 방사선의 강도를 직접 온도로 환산하는 방사 온도계, 또는 기판 근처에 배치된 열전대를 사용하는 수단이 있지만, 본 발명은 이 수단들로 한정되는 것은 아니다. 열전대를 사용하는 경우, 통상 기판을 지지하는 기판 지지대의 내부나 기판 근처의 가스 영역 내에 배치되지만, 기판의 온도를 추측할 수 있는 한 다른 장소에서 사용될 수도 있다.
단차 피복성능은 지수로서 단차 피복율을 사용하여 평가될 수 있다. 이 단차 피복율은 단차부에서의 막의 최소 두께를 평편한 영역 또는 평면 영역에서의 막 두께로 나눈 값으로 정의될 수 있다. 본 발명의 제2 측면에 따르면, 600 ℃ 이하의 온도에서 암모니아 가스와 TSA 가스를 반응시키면 종횡비가 10인 개구에 대해서도 약 0.9의 단차 피복율을 달성할 수 있다.
상기 설명으로부터 분명해지는 바와 같이, 조성이 균일하고 단차 피복율이 뛰어난 질화규소막을 제조하기 위해서는, NH3/TSA 유량비를 10 이상으로 함과 동시에 반응 온도를 600 ℃ 이하로 설정하는 것이 바람직할 것이다.
질화규소막의 형성과 관련해 상기 설명한 TSA, 질소 함유 가스 및 임의의 희석 가스 이외에도 1종 이상의 산소 함유 가스를 CVD 반응 챔버 내에 공급하여 본 발명에 따른 옥시질화규소막을 기판 상에 형성시킬 수 있다. 이 산소 함유 가스는 탄소 및 염소를 함유하지 않는 것이 바람직하고, 산소 (O2), 오존 (O3), 수증기 (H2O), 과산화수소 (H2O2), 일산화질소 (NO), 이산화질소 (NO2) 및 산화이질소 (N2O)로 이루어지는 군으로부터 선택되는 산소 함유 가스일 수 있다.
질화규소막의 제조와 관련해 설명한 압력, 온도 및 가스 유량비의 조건 하에 TSA, 암모니아 가스 및 산소원 가스를 반응시켜 옥시질화규소막을 기판 상에 형성시킬 수 있다.
산소원 가스가 구성 원소로서 산소 및 질소를 모두 함유하는 가스 (이하, (산소 + 질소) 함유 가스로 지칭함)인 경우, 암모니아 가스를 별도로 도입하지 않아도 된다. (산소 + 질소) 함유 가스는 일산화질소 (NO), 이산화질소 (NO2) 및 산화이질소 (N2O)로 이루어지는 군으로부터 선택될 수 있다.
산소원 가스는 산소원 가스/TSA 가스의 유량비를 0.1 내지 100으로 한 상태에서 CVD 반응 챔버 내에 도입될 수 있다.
본원에 사용된 TSA 가스를 미리 준비해서, 예컨대 밀폐 용기에 저장할 수 있지만, 현장에서 합성할 수도 있으며, 이렇게 합성된 TSA 가스를 직접 CVD 반응 챔버 내에 도입할 수 있다. 암모니아와 반응하여 TSA를 제조하는 할로실란, 예를 들면 디클로로실란 (DCS) 및 암모니아 가스를 합성 챔버 내에 도입하여 TSA 가스를 현장에서 합성할 수 있다. 이 때, 반응 챔버 내에 도입될 수 있는 불활성 희석 가스와 같은 불활성 희석 가스를 상기 반응 가스와 함께 합성 챔버 내에 도입할 수도 있다. 암모니아 (NH3) 가스 및 DCS 가스를 합성 챔버 내에 도입할 때의 조건에 있어서, 합성 챔버 내의 압력은 300 내지 400 torr로 유지되어야 하고, NH3 가스/DCS 유량비는 2.5 내지 3이어야 한다. 두 가스는 50 내지 300 ℃에서 반응할 수 있다. 이 반응은 TSA 가스를 생성한다. 이 경우 염화암모늄이 생성되지만, 필터 또는 트랩을 사용하여 염화암모늄이 CVD 반응 챔버 내에 도입되기 전에 이를 제거할 수 있다. 염화암모늄이 제거된 생성 TSA 가스는 압력 조정기로 압력을 조정한 후 CVD 반응 챔버 내에 도입될 수 있다.
도 1은 (옥시)질화규소막을 제조하기 위한 본 발명의 방법을 실시하기에 적합한 (옥시)질화규소막의 제조 장치의 일례를 도시하는 블록 선도이다. 도 1에 도시된 장치는 미리 준비된 TSA 가스를 함유하고 있는 TSA 가스의 공급원을 사용한다.
도 1 및 하기 도면들에서 사용되는 바와 같이, 이들 도면 상의 참조 부호는 다음과 같은 의미를 갖는다.
<참조 부호>
10, 20 (옥시)질화규소막의 제조 장치
11 CVD 반응 챔버
12 트리실릴아민 가스의 공급원
13 암모니아 가스의 공급원
14 불활성 희석 가스의 공급원
15 산소원 가스의 공급원
16 폐가스 처리 장치
21 TSA 합성 챔버
22 할로실란 가스의 공급원
23 분체 트랩
111 기판 지지대
112 기판
113, 211 가열기
L1 내지 L5, L21 내지 L24 가스 공급 라인
V1 내지 V4, V21 내지 V23 개폐 밸브
PG 압력 센서
MFC1 내지 MFC4, MFC21 내지 MFC23 질량 유량 제어계 (Mass Flow Controller)
BV1, BV2 버터플라이 (butterfly) 밸브
PM 진공 펌프
도 1에 도시한 제조 장치 (10)은 CVD 반응 챔버 (11), TSA 가스의 공급원 (12), 암모니아 가스의 공급원 (13), 및 필요에 따라 도입되는 불활성 희석 가스의 공급원 (14)를 갖추고 있다. 옥시질화규소막을 제조하고자 하는 경우, 제조 장치 (10)은 산소원 가스의 공급원 (15)를 더 갖출 수도 있다. 즉, 질화규소막을 제조하고자 하는 경우, 산소원 가스의 공급계 (산소원 가스의 공급원 (15) 및 그의 부속 부재 (공급 라인 등))는 불필요하다.
기판 지지대 (111)은 CVD 반응 챔버 (11) 내에 배치되고, 실리콘 기판 등의 반도체 기판 (112)는 기판 지지대 (111) 상에 장착된다 (도 1에 도시한 장치는 매엽식 (single wafer) 장치이기 때문에, 기판 지지대 (111) 상에 장착된 반도체 기판의 수는 1매임). 기판 지지대 (111) 내에는 반도체 기판 (112)를 소정의 CVD 반응 온도로 가열하기 위한 가열기 (113)이 설치되어 있다. 회분식 장치의 경우, 수 내지 250매의 반도체 기판이 CVD 반응 챔버 내에 수용될 수 있다. 회분식 장치에 사용되는 가열기는 매엽식 장치에 사용되는 가열기와 구조가 상이할 수 있다.
TSA 가스의 공급원 (12)는 액화 TSA를 수용하는 밀폐 용기를 포함한다. TSA 가스는 그의 공급원 (12)로부터 TSA 가스 공급 라인 (L1)을 통해 CVD 반응 챔버 (11) 내에 도입된다. 라인 (L1)에는 개폐 밸브 (V1) 및 그의 하류에 유량 제어계, 예를 들면 질량 유량 제어계 (MFC1)이 배치된다. TSA 가스는 질량 유량 제어계 (MFC1)에 의해 소정의 유량으로 조절되어 CVD 반응 챔버 (11) 내에 도입된다.
암모니아 가스의 공급원 (13)은 액화 암모니아를 수용하는 밀폐 용기를 포함한다. 암모니아 가스는 그의 공급원 (13)으로부터 암모니아 가스 공급 라인 (L2)를 통해 CVD 반응 챔버 (11) 내에 도입된다. 라인 (L2)에는 개폐 밸브 (V2) 및 그의 하류에 유량 제어계, 예를 들면 질량 유량 제어계 (MFC2)가 배치된다. 암모니아 가스는 질량 유량 제어계 (MFC2)에 의해 소정의 유량으로 조절되어 CVD 반응 챔버 (11) 내에 도입된다.
불활성 희석 가스의 공급원 (14)는 불활성 희석 가스를 수용하는 밀폐 용기를 포함한다. 필요 또는 요구에 따라, 불활성 희석 가스는 그의 공급원 (14)로부터 불활성 희석 가스 공급 라인 (L3)을 통해 CVD 반응 챔버 (11) 내에 도입된다. 도 1에 나타낸 바와 같이, 불활성 희석 가스 공급 라인 (L3)은 TSA 가스 공급 라인 (L1)에 합류됨으로써, 불활성 희석 가스를 TSA 가스와 함께 CVD 반응 챔버 (11) 내에 도입할 수 있다. 라인 (L3)에는 개폐 밸브 (V3) 및 그의 하류에 유량 제어계, 예를 들면 질량 유량 제어계 (MFC3)이 배치된다. 불활성 가스는 질량 유량 제어계 (MFC3)에 의해 소정의 유량으로 조절되어 CVD 반응 챔버 (11) 내에 도입된다.
옥시질화규소막의 제조시 사용되는 산소원 가스의 공급원 (15)는 산소원 가스를 수용하는 밀폐 용기를 포함한다. 산소원 가스는 그의 공급원 (15)로부터 산소원 가스 공급 라인 (L4)를 통해 CVD 반응 챔버 (11) 내에 도입된다. 라인 (L4)에는 개폐 밸브 (V4) 및 그의 하류에 유량 제어계, 예를 들면 질량 유량 제어계 (MFC4)가 배치된다. 산소원 가스는 질량 유량 제어계 (MFC4)에 의해 소정의 유량으로 조절되어 CVD 반응 챔버 (11) 내에 도입된다.
CVD 반응 챔버 (11)의 배출구는 라인 (L5)에 의해 폐가스 처리 장치 (16)에 접속되어 있다. 이 폐가스 처리 장치 (16)은, 예를 들어 부산물 및 미반응 물질을 제거하고, 폐가스 처리 장치 (16)에 의해 정화된 가스는 계 외로 배출된다. 라인 (L5)에는 압력 센서 (PG), 버터플라이 밸브 (BV1) 등의 압력 조정기 및 진공 펌프 (PM)이 배치된다. 각 가스는 각각의 질량 유량 제어계에 의해 CVD 반응 챔버 (11) 내에 도입되며, 한편 CVD 반응 챔버 (11) 내의 압력은 압력 센서 (PG)에 의해 모니터링되고, 펌프 (PM)의 구동 및 버터플라이 밸브 (BV1)의 개구 제어에 의해 소정의 압력값으로 설정된다.
옥시질화규소막의 제조시 산소원 가스로서 (산소 + 질소) 함유 가스를 사용하는 경우, 상기 고찰로부터 분명해지는 바와 같이, 암모니아 가스 공급계 (암모니아 가스의 공급원 (13) 및 그의 부속 부재 (밸브 (V2), (MFC2) 및 라인 (L2)))를 설치하는 일 없이 옥시질화규소막을 제조할 수 있다.
도 2는 TSA 가스의 현장 제조 설비를 갖는 (옥시)질화규소막의 제조 장치의 일례를 도시하는 블록 선도이다. 도 2에서 도 1과 동일한 구성 요소에는 동일한 참조 부호를 할당하였으므로, 그 상세한 기술은 생략한다.
도 2에 도시한 제조 장치 (20)은 도 1에 도시한 것과 동일한 종류의 CVD 반응 챔버 (11)을 갖는 것 이외에, TSA 가스를 현장에서 합성하기 위한 합성 챔버 (21)을 갖는다. 이 합성 챔버 (21)의 주위에는 합성 챔버 (21)의 내부 온도를 소정의 반응 온도로 가열하기 위해 가열기 (211)이 배치된다.
도 2에 도시한 제조 장치 (20)은 도 1에 나타낸 TSA 가스의 공급원 (12)를 갖는 것이 아니라, 암모니아와 반응하여 TSA를 제조하는 디클로로실란 등의 할로실란 가스의 공급원 (22)를 내장한다. 할로실란 가스의 공급원 (22)는 할로실란 가스를 수용하는 밀폐 용기를 포함한다. 할로실란 가스는 그의 공급원 (22)로부터 공급 라인 (L21)을 통해 합성 챔버 (21) 내에 도입된다. 라인 (L21)에는 개폐 밸브 (V21) 및 그의 하류에 유량 제어계, 예를 들면 질량 유량 제어계 (MFC21)이 배치된다. 할로실란 가스는 질량 유량 제어계 (MFC21)에 의해 소정의 유량으로 조절되어 합성 챔버 (21) 내에 도입된다.
암모니아 가스의 공급원 (13)은 CVD 반응 챔버 (11)로의 공급 라인 (L2) 이외에, 합성 챔버 (21)로의 공급 라인 (L22)를 갖추고 있다. 이 공급 라인 (L22)에는 개폐 밸브 (V22) 및 그의 하류에 유량 제어계, 예를 들면 질량 유량 제어계 (MFC22)가 배치된다. 암모니아 가스는 질량 유량 제어계 (MFC22)에 의해 소정의 유량으로 조절되어 합성 챔버 (21) 내에 도입된다.
불활성 희석 가스의 공급원 (14)는 CVD 반응 챔버 (11)로의 공급 라인 (L3) 이외에, 합성 챔버 (21)로의 공급 라인 (L23)을 갖추고 있다. 이 공급 라인 (L23)에는 개폐 밸브 (V23) 및 그의 하류에 유량 제어계, 예를 들면 질량 유량 제어계 (MFC23)이 배치된다. 불활성 희석 가스는 질량 유량 제어계 (MFC23)에 의해 소정의 유량으로 조절되어 합성 챔버 (21) 내에 도입된다. 도 2의 장치에서 라인 (L3)은 직접 CVD 반응 챔버 (11)에 접속된다.
합성 챔버 (21)의 배출구는 라인 (L24)에 의해 CVD 반응 챔버 (11)에 접속된다. 라인 (L24)에는 분체 트랩 (23) 및 그의 하류에 압력 조정기, 예를 들면 버터플라이 밸브 (BV2)가 배치된다. 분체 트랩 (23)의 목적은 합성 챔버 (21) 내에서 부산물로서 생성된 고상 실라잔 및 입상 염화암모늄을 제거하는 것이다. 합성 챔버 (21)에 의해 제공된 TSA 가스는 고상 실라잔 및 염화암모늄 부산물이 분체 트랩 (23)에 의해 제거되고, 버터플라이 밸브 (BV2)에 의해 CVD 반응 챔버 (11)로 도입하기에 적절하도록 압력이 조정된 후 CVD 반응 챔버 (11) 내에 도입된다.
본 발명은 하기 실시예에 의해 더 자세히 설명되지만, 본 발명은 이들 실시예로 한정되는 것은 아니다.
실시예 1
본 실시예에서는 도 1에 도시한 제조 장치 (다만, 산소원 가스의 공급계를 갖지 않음)를 사용하였다. 실리콘 기판을 수용하는 CVD 반응 챔버 내에 암모니아 가스 및 TSA 가스를 도입하고, 하기의 조건 하에 실리콘 기판 상에 질화규소막을 형성시켰다.
암모니아 가스의 유량: 40 sccm
TSA 가스의 유량: 0.5 sccm
CVD 반응 챔버 내의 압력: 1 torr
반응 온도: 640 ℃
얻어진 질화규소막은 Si0.81N의 조성을 갖는다는 것이 오거 (Auger) 분광법에 의해 확인되었다. 이 질화규소막의 퇴적 (성장) 속도는 17 Å/분이었다. 본 실시예에서 NH3/TSA 유량비는 80이었고, 안정적인 막 조성이 달성되었다.
실시예 2
본 실시예에서는 도 1에 도시한 제조 장치 (다만, 산소원 가스의 공급계를 갖지 않음)를 사용하였다. 실리콘 기판을 수용하는 CVD 반응 챔버 내에 암모니아 가스 및 TSA 가스를 도입하고, 하기의 조건 하에 실리콘 기판 상에 질화규소막을 형성시켰다.
암모니아 가스의 유량: 40 sccm
TSA 가스의 유량: 4 sccm
CVD 반응 챔버 내의 압력: 1 torr
반응 온도: 560 ℃
얻어진 질화규소막은 Si1.04N의 조성을 갖는다는 것이 오거 분광법에 의해 확 인되었다. 이 질화규소막의 퇴적 (성장) 속도는 6 Å/분이었다. 본 실시예에서 NH3/TSA 유량비는 10이었고, 안정적인 막 조성이 달성되었다.
실시예 3
본 실시예에서는 도 1에 도시한 제조 장치 (다만, 산소원 가스의 공급계를 갖지 않음)를 사용하였다. 실리콘 기판을 수용하는 CVD 반응 챔버 내에 암모니아 가스 및 TSA 가스를 도입하고, CVD 반응 챔버 내의 압력을 1 torr로, 반응 온도를 600 ℃로 하여 실리콘 기판 상에 질화규소막을 형성시켰다. NH3/TSA 유량비를 0 내지 80의 범위 내에서 변화시키면서 질화규소막을 형성시켰다. NH3/TSA 유량비를 달리하여 얻어진 질화규소막의 조성을 오거 분광법에 의해 분석하고, 조성 변화율을 하기 수학식 1로 계산하였다.
조성 변화율 = -d(Si/N)dX
상기 식 중, X는 NH3/TSA 유량비를 나타낸다. 결과를 도 3에 나타낸다.
도 3에 보고된 결과는 NH3/TSA 유량비를 10 이상으로 했을 때, 유량비의 변동으로 인한 막의 조성 변화율이 극히 작아지고, 보다 구체적으로 NH3/TSA 유량비를 20 이상으로 했을 때, 막의 조성 변화율이 대략 0이 되는 것을 나타낸다.
실시예 4
(A) 도 1에 나타낸 구조를 갖는 제조 장치 (다만, 산소원 가스의 공급계를 갖지 않음)를 사용하여, 종횡비 (깊이/직경)가 10인 트렌치 (trench) (직경: 0.6 ㎛)가 형성된 실리콘 기판을 수용하는 CVD 반응 챔버 내에 반응 온도를 여러 가지 변화시켜 질화규소막을 형성시켰다. CVD 반응 챔버 내에 암모니아 가스를 유량 40 sccm으로, TSA 가스를 유량 0.5 sccm으로 도입하고; CVD 반응 챔버 내의 압력을 1 torr로 설정하였다. 상이한 온도에서 얻어진 질화규소막의 단차 피복율을 주사 전자 현미경 (SEM)으로 측정하였고, 그 결과를 도 4에 나타낸다.
도 4의 결과는 반응 온도를 600 ℃ 이하로 함으로써, 제조된 질화규소막의 단차 피복성능이 약 0.9까지 개선되었다는 것을 나타낸다.
(B) TSA의 열안정성도 평가하였다. CVD 반응 챔버 내의 온도를 여러 가지로 변화시켜 CVD 반응 챔버의 배출구에서의 TSA 농도를 질량 분광기로 측정하였다. 이들 실험 중, TSA 가스를 유량 0.12 sccm으로, 암모니아 가스를 유량 10 sccm으로 CVD 반응 챔버 내에 도입하였다. CVD 반응 챔버의 배출구에서의 TSA 농도 대 유입구에서의 TSA 농도의 비율을 계산하였고, 이를 도 5에 나타낸다.
도 5의 결과는 600 ℃를 초과하는 온도에서 TSA의 배출구 농도/유입구 농도가 급격히 저하되며, 즉 600 ℃를 초과하는 온도에서 TSA의 분해율이 급격히 상승함을 나타낸다.
이들 결과는 암모니아와 TSA의 반응에 의해 형성된 질화규소막의 단차 피복성능이 TSA의 분해 온도와 밀접한 관계를 가지며, TSA가 실질적으로 분해되지 않는 600 ℃ 이하의 온도에서 상당히 우수함 (600 ℃ 이하에서 단차 피복율이 약 0.9에 도달함)을 나타낸다. 이는 TSA의 기상 분해 반응에 의해 생성된 반응 중간체가 단 차 피복성능을 악화시키는 요인이 된다고 생각된다.
실시예 5
본 실시예에서는 도 2에 도시한 구조를 갖는 제조 장치 (다만, 산소원 가스의 공급계를 갖지 않음)를 사용하였다. 합성 챔버 내의 압력을 300 내지 400 torr로, 온도를 200 ℃로 유지하면서, DCS 가스를 유량 20 sccm으로, 암모니아 가스를 유량 54 sccm으로, 질소 가스 (불활성 희석 가스)를 유량 20 sccm으로 도입하였다. 분체 트랩으로부터 합성 챔버 배출구의 하류에서 합성 챔버 유출 가스의 조성을 가스 크로마토그래피에 의해 분석하였다. 조성은 TSA가 5 부피%였고, 나머지는 주로 질소인 것으로 측정되었다. 이 유출 가스를 그의 압력을 조정한 후 직접 CVD 반응 챔버 내에 도입하였다. 암모니아 가스도 CVD 반응 챔버 내에 도입하였다. 하기의 조건을 사용하였다.
암모니아 가스의 유량: 20 sccm
유출 가스의 유량: 약 20 sccm
CVD 반응 챔버 내의 압력: 1 torr
반응 온도: 635 ℃
얻어진 질화규소막은 Si0.9N의 조성을 갖는다는 것이 오거 분광법에 의해 확인되었다. 이 질화규소막의 퇴적 (성장) 속도는 18 Å/분이었다. 질화규소막 생성물에서는 오거 분광법에 의해 탄소도 염소도 검출되지 않았다.
실시예 6
본 실시예에서는 도 1에 도시한 제조 장치를 사용하였다. 실리콘 기판을 수용하는 CVD 반응 챔버 내에 암모니아 가스 및 질소로 희석된 TSA 가스 (TSA 5 부피%)를 도입하여, 하기의 조건 하에 실리콘 기판 상에 옥시질화규소막을 형성시켰다.
암모니아 가스의 유량: 17 sccm
질소로 희석된 TSA 가스의 유량: 2.5 sccm
산소의 유량: 0.5 sccm
CVD 반응 챔버 내의 압력: 10 torr
반응 온도: 600 ℃
얻어진 옥시질화규소막은 Si0.78N1O0.1의 조성을 갖는다는 것이 오거 분광법에 의해 확인되었다. 이 옥시질화규소막의 퇴적 (성장) 속도는 15.5 Å/분이었다.
이상, 본 발명을 여러 가지 실시양태 및 실시예를 통해 설명했지만, 본 발명은 이들로 한정되는 것은 아니다. 상기 여러 가지 실시양태를 적절히 조합할 수 있다.
이상 설명한 바와 같이, 본 발명의 방법에 의하면 염화암모늄의 생성을 수반하지 않고, 탄소계 오염 물질을 막 내에 혼입시키는 일 없이, 질화규소막 및 옥시질화규소막을 제조할 수 있다. 보다 구체적으로, CVD 반응시 암모니아 가스/트리실릴아민 가스의 유량비를 10 이상으로 하면, 조성 변화율이 작은 질화규소막을 제 조할 수 있다. 또한, CVD 반응 온도를 600 ℃ 이하로 설정하면, 단차 피복성능이 뛰어난 질화규소막을 제조할 수 있다.
물론, 본 명세서에 기재된 발명은 반응기 내에 하나 이상의 트리실릴아민 함유 가스, 하나 이상의 암모니아 함유 가스 및 하나 이상의 산소 함유 가스를 도입하는 것을 포함한다.

Claims (8)

  1. 하나 이상의 기판을 수용하는 화학 증착 반응 챔버 내에 트리실릴아민 가스 및 암모니아 가스를 공급하는 단계, 및
    소정의 온도 및 압력 조건 하에 상기 두 가스를 반응시켜 상기 하나 이상의 기판 상에 질화규소막을 형성시키는 단계를 포함하며,
    상기 반응 챔버 내에 공급되는 암모니아 가스 대 트리실릴아민 가스의 유량비를 10 이상으로 설정하는 단계를 더 포함하는 열화학 증착에 의한 질화규소막의 제조 방법.
  2. 제1항에 있어서, 상기 트리실릴아민과 암모니아 가스의 반응에서 소정의 온도 조건을 600 ℃ 이하의 온도로 설정하는 질화규소막의 제조 방법.
  3. 하나 이상의 기판을 수용하는 화학 증착 반응 챔버 내에 트리실릴아민 가스, 암모니아 가스 및 산소 함유 가스를 공급하는 단계, 및
    소정의 온도 및 압력 조건 하에 트리실릴아민 가스, 암모니아 가스 및 산소 함유 가스를 반응시켜 상기 하나 이상의 기판 상에 옥시질화규소막을 형성시키는 단계를 포함하며,
    상기 반응 챔버 내에 공급되는 암모니아 가스 대 트리실릴아민 가스의 유량비를 10 이상으로 설정하는 단계를 더 포함하는 열화학 증착에 의한 옥시질화규소막의 제조 방법.
  4. 제3항에 있어서, 상기 산소 함유 가스가 O2, O3, H2O, H2O2, NO, NO2, N2O 및 그들의 임의의 혼합물로 이루어지는 군으로부터 선택되는 것인 옥시질화규소막의 제조 방법.
  5. 제1항 또는 제2항에 있어서, 유량비가 20을 초과하는 질화규소막의 제조 방법.
  6. 삭제
  7. 삭제
  8. 삭제
KR1020057005036A 2002-09-25 2003-09-23 열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조방법 KR101042133B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2002-00279880 2002-09-25
JP2002279880A JP4358492B2 (ja) 2002-09-25 2002-09-25 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法

Publications (2)

Publication Number Publication Date
KR20050060074A KR20050060074A (ko) 2005-06-21
KR101042133B1 true KR101042133B1 (ko) 2011-06-16

Family

ID=32040471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057005036A KR101042133B1 (ko) 2002-09-25 2003-09-23 열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조방법

Country Status (9)

Country Link
US (2) US7192626B2 (ko)
EP (1) EP1547138B1 (ko)
JP (1) JP4358492B2 (ko)
KR (1) KR101042133B1 (ko)
CN (1) CN100347834C (ko)
AT (1) ATE371263T1 (ko)
DE (1) DE60315850T2 (ko)
TW (1) TWI274790B (ko)
WO (1) WO2004030071A1 (ko)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20060226442A1 (en) * 2005-04-07 2006-10-12 An-Ping Zhang GaN-based high electron mobility transistor and method for making the same
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070135552A1 (en) * 2005-12-09 2007-06-14 General Atomics Gas barrier
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
KR100748355B1 (ko) * 2005-12-29 2007-08-09 성균관대학교산학협력단 재료 합성과 연속 도핑을 수행하기 위한 이중영역 열 화학기상 증착 장치
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8105648B2 (en) 2008-05-13 2012-01-31 United Microelectronics Corp. Method for operating a chemical deposition chamber
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
CN102021531B (zh) * 2009-09-09 2012-12-05 北大方正集团有限公司 一种氮化硅薄膜的生成装置及方法
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
DE102011078749A1 (de) 2011-07-06 2013-01-10 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin aus Monochlorsilan und Ammoniak
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
EP2548991B1 (en) * 2011-07-18 2014-03-05 ESSILOR INTERNATIONAL (Compagnie Générale d'Optique) Machine for coating an optical article with an anti-soiling coating composition and method for using the machine
KR101725446B1 (ko) 2011-08-24 2017-04-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
SG11201401185VA (en) * 2011-10-07 2014-04-28 Voltaix Inc Apparatus and method for the condensed phase production of trisilylamine
US9701540B2 (en) 2011-10-07 2017-07-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Apparatus and method for the condensed phase production of trisilylamine
US9446958B2 (en) 2011-10-07 2016-09-20 L'Air Liquide Societe Anonyme L'Etude Et L'Exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
DE102011088814A1 (de) 2011-12-16 2013-06-20 Evonik Industries Ag Verfahren zur Herstellung von Trisilylamin aus Monochlorsilan und Ammoniak unter Verwendung von inertem Lösungsmittel
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
KR20150036122A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
DE102012214290A1 (de) 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014181194A2 (en) 2013-03-28 2014-11-13 L'air Liquide Societe Anonyme Pour I'etude Et L'exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
DE102013209802A1 (de) 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
US20150024152A1 (en) * 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
DE102014204785A1 (de) 2014-03-14 2015-09-17 Evonik Degussa Gmbh Verfahren zur Herstellung von reinem Trisilylamin
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9969756B2 (en) 2014-09-23 2018-05-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés George Claude Carbosilane substituted amine precursors for deposition of Si-containing films and methods thereof
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) * 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
DE102016203082A1 (de) * 2016-02-26 2017-08-31 Wacker Chemie Ag Verfahren zur Abscheidung einer In Situ-Beschichtung auf thermisch und chemisch beanspruchten Bauteilen eines Wirbelschichtreaktors zur Herstellung von hochreinem Polysilicium
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102347201B1 (ko) 2017-10-25 2022-01-04 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
TWI792005B (zh) * 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
WO2021076471A1 (en) * 2019-10-14 2021-04-22 Silcotek Corp. Cold thermal chemical vapor deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06338497A (ja) * 1993-05-28 1994-12-06 Nec Corp 化学気相成長法
JP2001358139A (ja) * 2000-04-28 2001-12-26 Asm Japan Kk 窒化ケイ素材のcvd合成

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4720395A (en) * 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
EP0423884A1 (en) * 1989-10-16 1991-04-24 Koninklijke Philips Electronics N.V. Method for deposition of silicon nitride layers on glass substrates
CN1055014A (zh) * 1990-03-17 1991-10-02 西安电子科技大学 低温光化学气相淀积二氧化硅、氮化硅薄膜技术
FR2708924B1 (fr) * 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5888579A (en) * 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
US6566281B1 (en) * 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) * 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2000080476A (ja) * 1998-06-26 2000-03-21 Toshiba Corp 気相成長方法および気相成長装置およびハロゲン化アンモニウム除去装置
US6645884B1 (en) * 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6632750B2 (en) * 2000-07-31 2003-10-14 Hitachi, Ltd. Manufacturing method of semiconductor integrated circuit device
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
JP4259247B2 (ja) * 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06338497A (ja) * 1993-05-28 1994-12-06 Nec Corp 化学気相成長法
JP2001358139A (ja) * 2000-04-28 2001-12-26 Asm Japan Kk 窒化ケイ素材のcvd合成

Also Published As

Publication number Publication date
JP2004119629A (ja) 2004-04-15
DE60315850T2 (de) 2008-05-21
US20050100670A1 (en) 2005-05-12
JP4358492B2 (ja) 2009-11-04
CN1685486A (zh) 2005-10-19
DE60315850D1 (de) 2007-10-04
TWI274790B (en) 2007-03-01
WO2004030071A1 (en) 2004-04-08
TW200406503A (en) 2004-05-01
EP1547138A1 (en) 2005-06-29
KR20050060074A (ko) 2005-06-21
ATE371263T1 (de) 2007-09-15
US7192626B2 (en) 2007-03-20
US20070134433A1 (en) 2007-06-14
CN100347834C (zh) 2007-11-07
EP1547138B1 (en) 2007-08-22

Similar Documents

Publication Publication Date Title
KR101042133B1 (ko) 열화학 증착에 의한 질화규소막 및 옥시질화규소막의 제조방법
EP1149934B1 (en) CVD synthesis of silicon nitride materials
KR100903916B1 (ko) 유기아미노실란 전구체로부터 산화규소 막을 형성시키는방법
EP1458903B1 (en) Method for depositing silicon nitride films and silicon oxynitride films by chemical vapor deposition
US20090075490A1 (en) Method of forming silicon-containing films
KR20060113879A (ko) 실리콘질화막을 형성하는 방법 및 장치
WO1999028529A1 (en) Silicon based films formed from iodosilane precursors and method of making the same
US10290493B2 (en) Method for manufacturing silicon-containing thin film
EP1713953A2 (en) Method for producing silicon nitride films and silicon oxynitride films by chemical vapor deposition
CN112969817B (zh) 含硅膜的高温原子层沉积
TWI761838B (zh) 熱沉積含矽膜的組合物及方法
JP4354732B2 (ja) 気相成長法によるシリコン窒化物膜の製造方法
US20060198958A1 (en) Methods for producing silicon nitride films by vapor-phase growth
JPH10189582A (ja) シリコン系絶縁膜の製造方法
JP2004179196A (ja) 化学気相成長法によるシリコン窒化物系絶縁膜の製造方法および製造装置
Grow Growth Kinetics and Properties of Silicon Carbide Films Synthesized by Low Pressure Chemical Vapor Deposition
KR100425533B1 (ko) 반도체 소자용 금속 실리케이트 게이트 절연막의 제조방법
Fan Low pressure chemical vapor deposition of silicon nitride films from ditertiarybutylsilane
JP2003142481A (ja) 窒化膜形成方法及び装置
JPH03225827A (ja) 絶縁膜の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J204 Request for invalidation trial [patent]
J121 Written withdrawal of request for trial
FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150528

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160526

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170601

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 9