JP2000049229A - 半導体装置の形成方法 - Google Patents

半導体装置の形成方法

Info

Publication number
JP2000049229A
JP2000049229A JP11205553A JP20555399A JP2000049229A JP 2000049229 A JP2000049229 A JP 2000049229A JP 11205553 A JP11205553 A JP 11205553A JP 20555399 A JP20555399 A JP 20555399A JP 2000049229 A JP2000049229 A JP 2000049229A
Authority
JP
Japan
Prior art keywords
film
copper
substrate
forming
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11205553A
Other languages
English (en)
Other versions
JP3588275B2 (ja
Inventor
Brackelman Gregor
グレゴー・ブラッケルマン
Benkatoraman Ramunas
ラムナス・ベンカトラマン
Thomas Herick Matthew
マシュー・トーマス・ヘリック
R Simpson Cindy
シンディ・アール・シンプソン
Robert W Fiordalice
ロバート・ダブリュ・フィオダリス
Dean J Denning
ディーン・ジェイ・デニング
Ajay Jain
アジェイ・ジェイン
Capaso Christiano
クリスティアーノ・キャパソ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JP2000049229A publication Critical patent/JP2000049229A/ja
Application granted granted Critical
Publication of JP3588275B2 publication Critical patent/JP3588275B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0104Zirconium [Zr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 従来技術に比して利点を提供する半導体装置
における相互接続構造とその形成方法とを提供する。 【解決手段】 相互接続部60が基板10上に形成され
る。ある実施例においては、粘着/バリア層81,銅合
金シード層42および銅膜43が基板10上に堆積さ
れ、基板10がアニーリングされる。代替の実施例にお
いては、銅膜が基板上に堆積され、銅膜がアニーリング
される。さらに別の実施例においては、粘着/バリア層
81,シード層82,導電膜83および銅合金キャッピ
ング膜84が基板10上に堆積され、相互接続部92を
形成する。堆積およびアニーリングの段階は、共通の処
理プラットフォーム上で実行することができる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般に半導体装置に関
し、さらに詳しくは、半導体装置における相互接続構造
とその形成方法とに関する。
【0002】
【従来の技術および発明が解決しようとする課題】集積
回路は、半導体装置製造業者によって、ますます寸法が
小さくなっている。相互接続プロセスの発達、特にイン
レイ相互接続(inlaid interconnect)技術は、回路寸
法をさらに小さくするために模索される分野である。し
かし、相互接続の寸法を小さくすると、対応して回路の
電流密度が上がり、電気移動(エレクトロマイグレーシ
ョン)に関する問題が起こる。この結果、時間の経過と
共に回路に抵抗および信頼性において不可逆的な変化が
起こることがある。
【0003】現在、電流密度の増大の結果として起こる
問題を克服するために、アルミニウムの代替品として銅
が検証されている。銅は、固有抵抗が低く耐電気移動性
が改善されていることを含めて、アルミニウムよりも本
来的に優れる。しかし、高度な相互接続技術においてア
ルミニウムの代替品として銅を用いても電気移動の問題
を全面的に回避できない。相互接続の寸法が小さくなり
続ける限り、電気移動は信頼性上の懸念となる。
【0004】さらに、相互接続を形成する際に銅を用い
ると信頼性に関して新たな問題が起こる。銅はポリイミ
ドなどの酸化物含有薄膜や保護膜ポリマに対する粘着性
が低い。これは、ビアおよび相互接続を形成する間に問
題となるだけでなく、完成された半導体装置を組み立て
実装する際にも問題となる。ボンド・パッドを形成する
ために本質的には純粋な銅薄膜を用いる場合にも、粘着
性に関して信頼性の問題が報告されている。この問題に
は、銅のボンド・パッドとその上にあるパッシベーショ
ン薄膜との間の粘着性が弱いために起こる不良が含まれ
る。
【0005】
【実施例】導電性相互接続部が基板上に形成される。あ
る実施例においては、粘着/バリア層,銅合金シード層
および銅膜が基板上に堆積されてアニーリングされる。
代替の実施例においては、銅含有膜が基板上に堆積され
てアニーリングされる。さらに別の実施例においては、
粘着/バリア層,シード層,導電膜および銅合金キャッ
ピング膜が基板上に堆積される。この実施例ではアニー
リングは任意で実施される。堆積およびアニーリングの
段階は、共通の処理プラットフォームにおいて実行する
ことができる。
【0006】図1は、第1相互接続レベルを画定するた
めに部分的に処理された半導体装置を示す。半導体装置
は、半導体装置基板10,電界分離領域102,トラン
ジスタ118,導電性プラグ112および誘電層110
によって構成される。トランジスタ118は、ドーピン
グ領域104,ゲート誘電膜106およびゲート電極1
08を備える。本明細書で用いられるには、半導体装置
基板10は、単結晶半導体ウェハ,絶縁体上半導体基板
(semiconductor-on-insulator)または半導体装置を形成
するために用いられるその他の任意の基板によって構成
される。
【0007】ある実施例においては、ゲート電極108
はポリシリコン層である。あるいは、ゲート電極108
は、タングステンまたはモリブデンなどの金属層,窒化
チタン,窒化タングステンなどの窒化金属層およびそれ
らの組み合わせとすることができる。さらに、ゲート電
極108は、ポリシリコン膜上にある、ケイ化タングス
テン,ケイ化チタンおよびケイ化コバルトなどの金属ケ
イ化物で構成されるポリサイド膜とすることができる。
【0008】ゲート電極108の形成に続き、第1中間
誘電(ILD: interlevel dielectric)層110が基板1
0上に形成され、パターニングされてコンタクト開口部
を形成する。ある実施例においては、第1ILD層110
は、テトラエトキシシラン(TEOS: tetraethoxysilan
e)をソース気体として用いて形成されるプラズマ付着
酸化物の薄膜である。あるいは、第1ILD層110は、
窒化シリコン膜,燐酸シリケート・ガラス(PSG: phosp
hosilicate glass)膜,硼酸燐酸シリケート・ガラス(B
PSG: borophosphosilicate glass)膜,酸窒化シリコン
膜,ポリイミド膜,低k誘電体またはそれらの組み合わ
せとすることができる。
【0009】パターニングに続いて、誘電層110内に
コンタクト開口部が形成される。コンタクト開口部は、
チタン/窒化チタン(Ti/TiN)およびタンタル/窒化タ
ンタル(Ta/TaN)などの粘着/バリア層114と、タン
グステンなどの導電性充填材料116とを用いて形成導
電性プラグ112によって構成される。堆積後に、導電
性充填材料116とその下にある粘着/バリア層116
の部分が、従来のエッチングまたは化学機械研磨法を用
いて除去され、導電性プラグ112が形成される。ある
いは、導電性プラグ112は、コンタクト充電材料とし
てドーピング・シリコンを用いて、粘着/バリア層11
4を用いて、あるいは用いずに形成することもできる。
【0010】導電性プラグ112の形成後、第2粘着/
バリア層122および第2導電膜124が導電性プラグ
112および誘電層110上に形成される。ある実施例
においては、第2粘着/バリア層122はTa/TaNを用い
て形成され、導電膜124は銅,アルミニウムなどを用
いて形成される。第2粘着/バリア層122と第2導電
膜124とを組み合わせると第1相互接続レベル12が
形成される。プロセスのこの時点までは、図1に図示さ
れる装置を形成するために従来の方法が用いられる。
【0011】次に、図2に示されるように第1相互接続
レベル12上にパッシベーション層21が形成される。
ある実施例においては、パッシベーション層21は、プ
ラズマ付着窒化シリコン膜である。あるいは、パッシベ
ーション層21は、プラズマ付着酸窒化シリコン膜,窒
化硼素膜などとすることもできる。パッシベーション層
21は、相互接続レベル12内で、金属原子が、この後
に相互接続レベル12上に堆積される誘電膜内に拡散す
る確率を小さくするために用いられる。たとえば、相互
接続レベル12が銅で構成される場合、パッシベーショ
ン層21は銅拡散バリアとして機能する。
【0012】図2は、粘着/バリア層122上に形成さ
れる中間誘電層(ILD)20をさらに示す。ある実施例
においては、中間誘電層20は、誘電膜22,中間エッ
チストップ膜23,誘電膜24およびハードマスク膜2
5によって構成される。
【0013】誘電膜22は、TEOSをソース気体として用
いて形成されるプラズマ付着酸化物の薄膜とすることが
できる。あるいは、誘電膜22は、PSG膜,BPSG膜,SOG
膜,低誘電率(低k)絶縁体などとすることもできる。
本明細書については、低k絶縁体は、約3.5未満の誘
電率を有する材料である。中間エッチストップ膜23
は、プラズマ付着酸窒化シリコンの薄膜とすることがで
きる。あるいは、中間エッチストップ膜23は、プラズ
マ付着窒化シリコン膜,窒化硼素膜などとすることもで
きる。誘電膜24は、TEOSをソース気体として用いて形
成されるプラズマ付着酸化物の薄膜とすることができ
る。あるいは、誘電膜24は、PSG膜,BPSG膜,SOG膜,
低誘電率(低k)絶縁体などとすることもできる。異な
る誘電材料を用いて中間誘電膜20を形成する必要はな
い。たとえば、中間誘電膜20は、プラズマ付着酸化
物,PSG,BPSG,SOG,ポリイミド,低誘電率絶縁体など
の単独の誘電性材料を用いて形成することができる。誘
電膜24上にはハードマスク膜25が存在する。ある実
施例においては、ハードマスク膜25は、プラズマ付着
酸窒化シリコン膜である。あるいは、ハードマスク膜2
5は、プラズマ付着窒化シリコン膜,窒化硼素膜などと
することもできる。
【0014】図3において、中間誘電層20とパッシベ
ーション層21の部分がパターニングされ、二重インレ
イ開口部30が形成される。図3に示されるように、二
重インレイ開口部30は、相互接続部31とビア部32
とによって構成され、ビア部32が導電性相互接続部1
2の部分を露出する。ビア先トレンチ後(VFTL: via-fir
st trench-last)処理と合致するパターニング・プロセ
スを用いると、ハードマスク膜25は、誘電膜24がエ
ッチングされてエッチストップ膜23内にビア開口部を
画定する間に誘電膜を保護し、エッチストップ23は誘
電膜24内に二重インレイ開口部の相互接続部分を形成
する際に誘電膜22を保護する。
【0015】図4では、粘着/バリア層41が二重イン
レイ開口部30内に形成される。ある実施例において
は、粘着/バリア層は窒化タンタル膜である。あるい
は、粘着/バリア層41は、窒化チタン膜,窒化タング
ステン膜,窒化タンタル・シリコン膜,タンタル膜,チ
タン・タングステン膜などとすることもできる。通常、
粘着/バリア層41は従来のスパタリング法を用いて堆
積される。あるいは、粘着/バリア層41を視準スパタ
リング,イオン化スパタリングまたは化学蒸着プロセス
を用いて形成することもできる。
【0016】次に、シード層42と導電膜43が粘着/
バリア層41上に形成される。ある実施例においては、
シード層42は銅とマグネシウムによって構成される。
あるいは、インジウム,スズ,クロミウム,亜鉛,炭
素,ジルコニウム,パラジウム,チタン,鉄,ニオビウ
ム,マグネシウムなどの他の合金材料または合金材料の
組み合わせを用いることもできる。代替の実施例におい
ては、シード層42は銅,ニッケル,スズなど、基本的
に単独の元素によって構成することもできる。
【0017】シード層42を形成する方法は変わる。あ
る実施例においては、シード層42は、約2原子パーセ
ントのマグネシウムと約98原子パーセントの銅とによ
って構成されるスパタリング・ターゲットを伴う物理的
蒸着(PVD: physical vapordeposition)プロセスを用
いて形成される。シード層42は、あるいは、イオン化
PVD,ロングスロー(long throw)PVDまたは視準PVDな
どを含む他のPVD堆積・プロセスを用いて堆積すること
も、化学蒸着(CVD)プロセスや無電解めっきまたは電
解めっきなどのめっきプロセスを用いて堆積することも
できる。シード層42は、粘着/バリア層41上に広が
る連続膜として堆積され、二重インレイ開口部30内に
形成される。ある実施例においては、シード層42は約
150〜250ナノメータの範囲の厚みに堆積される。
しかし、シード層は導電膜の充分なめっきが行えるだけ
の充分な厚みに形成し、なおかつ二重インレイ開口部3
0のコーナー端部を超えてシード層42が過剰に横方向
に育ったり、二重インレイ相互接続開口部(30)の底
に後で空隙が形成されることを阻止するだけの充分な薄
さで形成しなければならないことは、当業者には理解頂
けよう。
【0018】導電膜43がシード層42上に形成され
る。導電膜43は、二重インレイ開口部30を充分に充
たすだけの厚みを有する。ある実施例においては、導電
膜は従来の電解めっき法を用いて堆積される銅である。
銅は約600ナノメータの厚みにめっきされるが、これ
は二重インレイ開口部のトレンチ部の厚みの約1.5倍
である。あるいは、導電膜43は、無電解めっき,CV
D,PVDまたはCVDとPVDとの組み合わせを含む他の堆積プ
ロセスによっても形成することができる。
【0019】図5は、矢印45によって示されるアニー
リング段階中の図4の構造を示す。アニーリング段階に
より、シード層から導電膜43内に合金成分44が拡散
する。シード層42から導電膜43内への合金成分44
の拡散により、シード層42と導電膜43全体に合金成
分44が再配分される。合金成分44の再配分がシード
層42および導電膜43全体で均一になり、シード層4
2内ではより密度が高くなる。すなわち、使用される合
金材料とアニーリング条件とに応じて、シード層42と
導電膜43の表面および界面において塊状に集中する。
【0020】アニーリングと、その後の合金成分44を
導電膜43内に組み込む結果として、利点が得られる。
この利点には、導電膜の抵抗特性とその粘着性の改善が
含まれる。アニーリングによりシード層42と導電膜4
3の表面組成,形態および微細構造が変わる。摂氏30
0度超に温度を維持することで、合金成分44の導電膜
43の表面および界面への移動が促進される。酸素原子
にさらされると、酸化合金膜が形成される。この酸化合
金膜により、導電膜43と、これに続き堆積されるパッ
シベーション層を含む隣接膜との粘着性が促進される。
アニーリングを用いて合金成分44を拡散させる実施例
においては、アニーリングを摂氏約300〜450度の
炉内で20〜30分間実施する。
【0021】あるいは、アニーリングを合金非含有導電
膜上で実行して、相互接続の電気移動の信頼性を改善す
ることもできる。バリア層および本質的に単一の材料か
らなる導電膜を用いて導電性相互接続部を形成する実施
例においては、基板を摂氏約200度で約5分間アニー
リングするとよい。あるいは、基板を摂氏250〜40
0度の範囲で少なくとも1分間アニーリングして、処理
能力を改善する手段とすることもできる。アニーリング
は、窒素雰囲気,減圧雰囲気または真空雰囲気内で実行
して、被露出面の酸化を最小限に抑えることもできる。
この実施例においては、本質的に単一の材料からなる導
電膜の例には、電解めっき銅膜,CVD堆積銅膜などを伴
う無電解めっき銅シード層が含まれる。
【0022】抵抗および電気移動のデータを用いて測定
されるパラメータ試験は、アニーリング段階の結果とし
て改善がなされたことを示す。薄膜抵抗の低減および膜
全体の抵抗分布の改善および電気移動に関する改善は、
アニーリング中の粒子成長と銅膜の高密度化に負うもの
である。アニーリングに先立ち、銅の粒子構造および粒
子配向は膜全体で可変する。可変性の高い粒子構造およ
び配向に関する異なる不良モードがすべて電気移動不良
を起こす。銅をアニーリングすることにより、薄膜内の
粒子構造分布がさらに均一になり、このような粒子構造
に関する電気移動不良の変動はそれに応じてより狭い分
布となる。
【0023】従って、シード層と導電膜をアニーリング
を行なう結果として得られる利点は、導電膜を堆積する
前にシード層をアニーリングを行なうことにより得られ
る。これは、摂氏約200〜400度の温度範囲でシー
ド層を堆積することによりその場で実行することができ
る。また、まずシード層を堆積し、その後で摂氏約20
0〜400度の温度範囲において約1〜5分間アニーリ
ングを行ない、その後で導電層を堆積することにより実
行することもできる。
【0024】本発明の実施例により、アニーリング段階
は、急速加熱アニーリング(RTA: rapid thermal annea
l),ホットプレート,加熱チャックまたは炉を用いて
実行することができる。アニーリング・ステーションは
クラスタ・ツールの一部として処理の流れに組み込むこ
とができ、この場合、シード層の堆積段階,導電膜の堆
積段階,回転リンス乾燥(SRD: spin-rinse-dry)およ
びアニーリング段階またはこれらの段階の任意の組み合
わせを単独の処理プラットフォーム上ですべて実行する
ことができる。同様に、これらの段階を単独のウェハま
たはバッチ・ウェハの処理動作として実行することがで
きる。
【0025】図6においては、導電膜43,シード層4
2および粘着/バリア層41の部分が従来の化学機械的
研磨プロセスを用いて除去され、相互接続開口部30内
に相互接続部60が形成される。あるいは、相互接続部
60は、イオン・ミリング,反応性イオン・エッチング
およびプラズマ・エッチングなどの従来のエッチング法
を用いるか、エッチング法と研磨法とを組み合わせて用
いて形成することもできる。
【0026】合金成分44がシード層42から導電膜4
3内に拡散される実施例においては、代わりに、相互接
続の形成後にアニーリングを実施することもできる。代
替の実施例においては、導電膜43,シード層42およ
び粘着/バリア層41の部分を除去して相互接続部を形
成した後に、摂氏約300〜450度の炉で基板を約2
0〜30分間アニーリングを行なう。アルゴン,ヘリウ
ム,窒素などの相対的に不活性の雰囲気をアニーリング
中に用いて、誘電膜24と導電性相互接続部とが酸化さ
れる確率を下げることができる。アニーリング段階中
に、合金成分はシード層42から導電膜43内に拡散す
る。あるいは、前述の急速加熱アニーリング(RTA),
ホット・プレート・アニーリングまたは炉アニーリング
・プロセスを用いてアニーリングを実施してもよい。こ
のアニーリング段階は、導電性相互接続部の形成段階の
後に実行される点が、前述のアニーリングとは異なる。
しかし、最終的な製品は前述の相互接続部60と基本的
に同じ利点を有する導電性相互接続部となる。
【0027】図7は、さらに半導体装置を示し、これに
はパッシベーション層70,中間誘電層(ILD)77お
よびハードマスク層76が含まれる。ILD層77は、さ
らに下部誘電膜71,中間エッチストップ膜72および
上部誘電膜73を備える。パッシベーション層70,IL
D層77およびハードマスク層76は、パッシベーショ
ン層21,ILD層20およびハードマスク膜25を形成
するために用いられる方法と同様の方法を用いて形成さ
れる。二重インレイ開口部74がハードマスク層76,
ILD層77およびパッシベーション層70内に形成さ
れ、相互接続部60の部分を露出する。二重インレイ開
口部74は、二重インレイ開口部30を形成するための
前述の方法と同様の方法を用いて形成される。
【0028】本発明の実施例により、一重インレイ開口
部75も二重インレイ構造74の形成中に形成される。
ある実施例においては、一重インレイ開口部75は、半
導体装置のボンド・パッドを形成するために用いられ
る。エッチングを行なって一重インレイ開口部75を画
定する間、二重インレイ開口部74の相互接続トレンチ
部分を画定するために用いられるエッチストップ膜72
は、下部誘電膜71の部分の除去を阻止することも行
う。
【0029】図8は、さらに半導体装置基板を示し、粘
着/バリア層81,シード層82,二重インレイ構造を
完全に充たし一重インレイ構造を部分的に充たす導電膜
83および導電性合金キャッピング膜84を備える。あ
る実施例においては、粘着/バリア層81は、窒化タン
タル膜であり、ハードマスク層76上であって、図7で
画定される二重インレイ開口部74および一重インレイ
開口部75の両方の中に形成される。あるいは、粘着/
バリア層81は、窒化タングステン膜,窒化タンタル・
シリコン膜,タンタル膜,タンタル・タングステン膜な
どとすることもできる。粘着/バリア層81は、従来の
スパタリングまたは化学蒸着法を用いて堆積することが
できる。
【0030】粘着/バリア層81の上にはシード層82
がある。この特定の実施例においては、シード層82は
銅シード層であり、PVDプロセスを用いて約150〜2
50ナノメータの範囲の厚みまで堆積される。あるいは
シード層82を導電性合金として堆積し、他の従来の堆
積法を用いることもできる。合金材料の例には、インジ
ウム,スズ,クロミウム,亜鉛,パラジウム、炭素,ジ
ルコニウム,チタン,鉄,ニオビウムなどがある。
【0031】シード層82の上には、導電膜83があ
る。通常は、導電膜83を形成するために電解めっき・
プロセスが用いられる。この特定の実施例においては、
導電膜83は約300〜500ナノメータの厚みまで電
解めっきされた銅膜である。あるいは、導電膜83を、
PVDまたはCVDプロセスを用いて形成したり、アルミニウ
ムまたは金などの他の導電性材料を用いて形成すること
もできる。
【0032】本発明の実施例により、導電膜は、二重イ
ンレイ開口部74を充たすのには充分であるが、一重イ
ンレイ開口部75を完全には充たさない厚みを有する。
【0033】図8を参照して、導電膜83の総厚は誘電
膜73の最上レベルより下にある。尺度通り描かれない
一重インレイ開口部の横寸法は、二重インレイ開口部よ
りかなり大きい。たとえば、一重インレイ開口部の寸法
は全体が25〜50ミクロンの範囲であり、二重インレ
イ開口部は約0.35ミクロンより小さい。一重インレ
イ開口部75は、幅が広いので一部分が充填されるにす
ぎない。
【0034】導電膜83の上には、導電性合金キャッピ
ング膜84がある。本発明の実施例により、導電性合金
キャッピング膜84は導電膜83上に形成される銅マグ
ネシウム合金である。導電性合金キャッピング膜84
は、約2.0原子パーセントのマグネシウムと約98原
子パーセントの銅とを含有する銅マグネシウム・スパタ
リング・ターゲットを伴うPVDプロセスを用いて堆積さ
れる。あるいは、図8に示されるように、導電性合金キ
ャッピング膜84は、他の従来の堆積法を用いて、イン
ジウム,スズ,クロミウム,亜鉛,ジルコニウム,パラ
ジウム,炭素,チタン,鉄,ニオビウムなどの他の合金
材料により形成することもできる。導電性合金キャッピ
ング膜84は誘電膜73上部の下になる一重インレイ構
造の部分を完全に充たす。銅合金キャッピング膜84
は、前記では導電膜83により完全には充たされなかっ
た一重インレイ開口部の部分を完全に埋めるように堆積
される。
【0035】あるいは、銅合金キャッピング膜84を、
前述のPVDプロセスを用いて形成することもできる。こ
のときプロセス温度は摂氏約300〜450度の範囲に
ある。昇温すると、一重インレイ構造および二重インレ
イ構造の両方において、導電膜83内への合金元素の拡
散が促進され、前述の電気移動および粘着に関する利点
が得られる。あるいは、複合銅合金キャッピング膜84
と導電膜83をその後の処理段階中にアニーリングを行
ない、同様の全体的な利点を得ることもできる。
【0036】図9においては、導電性合金キャッピング
膜84,導電膜83,シード層82および粘着/バリア
層81の部分が、従来の化学機械的研磨プロセスを用い
て除去され、二重インレイ開口部74内に相互接続部9
1が、一重インレイ開口部75内にボンド・パッド92
が形成される。あるいは、相互接続部91とボンド・パ
ッド92とを、イオン・ミリング,反応性イオン・エッ
チングおよびプラズマ・エッチングなどの従来のエッチ
ング法を用いるか、あるいはエッチング法と研磨法の両
方を組み合わせて用いることにより形成することもでき
る。
【0037】導電性相互接続部91は、導電性粘着/バ
リア層81,シード層82および導電膜83の残りの部
分によって構成される。ボンド・パッド92は、導電性
粘着/バリア層81,シード層82,導電膜83および
導電性合金キャッピング膜84の残りの部分によって構
成される。
【0038】図10は、半導体装置をさらに示し、導電
性相互接続部91,ハードマスク層76およびボンド・
パッド92の部分の上にある追加のパッシベーション層
1001を備える。ある実施例においては、パッシベー
ション層1001は10〜20ナノメータのプラズマ強
化窒化物(PEN: plasma enhanced nitride)膜上にある
250〜350ナノメータの酸窒化シリコン膜によって
構成される。図10に示されるように、パッシベーショ
ン層1001がエッチングされ、ボンド・パッド92の
部分を露出する下開口部1002を形成する。パッシベ
ーション膜は、従来のプラズマまたは湿式エッチング処
理法を用いてエッチングされる。
【0039】図11は、さらに半導体装置を示し、パッ
シベーション層1001上にあるポリイミド膜1102
を備える。ある実施例においては、ポリイミド膜は、従
来のスピンオン・プロセスを用いて形成され、約2.5
〜3.5ミクロンの範囲の厚みまで堆積される。次に、
従来の処理を用いて上開口部1103がポリイミド膜内
に形成される。本発明の実施例により、また図11に示
されるように、上開口部1103は下開口部1002よ
りも大きい。これらの寸法は、半導体装置の設計および
実装要件と、開口部を形成するために用いられるプロセ
スおよび装置によって決まる。パッシベーション膜10
01の部分はボンド・パッド92内の導電性合金キャッ
ピング膜84の部分まで延在し、その上に広がる。次
に、導電性相互接続バンプ1104がボンド・パッド9
2とパッシベーション膜部分の上と形成される。この
後、導電性バンプ1104は、半導体装置から半導体パ
ッケージへの接続部となる。
【0040】導電性合金キャッピング膜84が存在する
ために、パッシベーション膜とボンド・パッドとの界面
におけるパッシベーション膜のボンド・パッドに対する
粘着性が良好になる。パッシベーション膜1101部分
上の導電性相互接続バンプ1104の部分は、パッシベ
ーション膜とボンド・パッドとの界面ではがれにくくな
る。よって、合金キャッピング膜84の存在によりダイ
・ボンドの信頼性が改善される。これによって、半導体
装置全体の信頼性が良くなる。
【0041】かくして、本発明の実施例により、従来技
術に対して少なくとも3つの利点が提供されることは明
らかである。これらの利点には、導電性相互接続部の抵
抗分布における改善と、金属相互接続部の電気移動性能
における改善と、上部および隣接する薄膜に関する相互
接続部の粘着特性における改善とが含まれる。
【0042】上記の説明においては、本発明は特定の実
施例を参照して説明された。しかし、請求項に明記され
る本発明の範囲から逸脱せずに種々の修正および変更が
可能であることは当業者には明白であろう。従って、説
明および図面は、制限的な意味ではなく事例として見な
されるべきであり、これらすべての修正は本発明の範囲
に包含されるものとする。利点,その他の長所および問
題に対する解決策は、特定の実施例に関して説明され
た。しかし、これらの利点,長所および問題解決法と、
利点,長所または解決法を生み出すことのできる、ある
いはより顕著になる任意の要素は、任意のあるいは全請
求項の決定的な、必須のまたは不可欠な機能または要素
と解釈されるべきではない。
【図面の簡単な説明】
本発明は、添付の図面において例として説明されるが、
それに制限されない。図面内では同様の参照番号は同様
の要素を指す。図面内の要素は簡単明瞭にするために図
示され、必ずしも同尺に描かれないことは当業者には理
解頂けよう。たとえば、図面内の一部の要素の寸法は他
の要素に対して誇張されており、本発明の実施例の理解
を助ける役割をする。
【図1】第1相互接続レベルを画定するために部分的に
処理された半導体装置の部分の断面図である。
【図2】粘着/バリア層形成後の図1の基板と中間誘電
膜の断面図である。
【図3】中間誘電膜内に二重インレイ開口部を形成した
後の図2の基板の断面図である。
【図4】二重インレイ開口部内に粘着/バリア層,シー
ド層および導電膜を堆積した後の図3の基板の断面図で
ある。
【図5】図4の基板の断面図を示し、さらにシード層か
ら導電膜内に合金成分を再配分するためのアニーリング
段階を示す。
【図6】二重インレイ相互接続構造を形成後の図5の基
板の断面図である。
【図7】第2中間誘電膜を堆積し、さらに上部二重イン
レイ開口部および一重インレイ開口部を形成した後の図
6の基板の断面図である。
【図8】上部二重インレイ開口部および一重インレイ開
口部上に、粘着/バリア層,シード層,導電膜および導
電性合金キャッピング膜を堆積した後の図7の基板の断
面図である。
【図9】二重インレイ相互接続構造およびボンド・パッ
ド構造を形成した後の図8の基板の断面図である。
【図10】パッシベーション膜と、ボンド・パッドの部
分を露出するパッシベーション膜内の開口部とを形成し
た後の図9の基板の断面図である。
【図11】実質的に完成された装置を形成した後の図1
0の基板の断面図である。
【符号の説明】
10 基板 12 相互接続レベル 20,77,110 誘電層 21,70 パッシベーション層 22,24,71,73 誘電膜 23,72 エッチストップ膜 25,76 ハードマスク膜 41,81,114,122 粘着/バリア層 42,82 シード層 43,83,124 導電膜 44 合金成分 84 導電性合金キャッピング膜 102 電界分離領域 104 ドーピング領域 106 ゲート誘電膜 108 ゲート電極 112 導電性プラグ 116 導電性充填材料 118 トランジスタ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ラムナス・ベンカトラマン アメリカ合衆国テキサス州オースチン、ハ ローゲート・ドライブ6221 (72)発明者 マシュー・トーマス・ヘリック アメリカ合衆国テキサス州オースチン、モ スクワ・トレイル13451 (72)発明者 シンディ・アール・シンプソン アメリカ合衆国テキサス州オースチン、バ ック・ベイ・レーン5844 (72)発明者 ロバート・ダブリュ・フィオダリス アメリカ合衆国テキサス州オースチン、イ ーストサイド・ドライブ2213 (72)発明者 ディーン・ジェイ・デニング アメリカ合衆国テキサス州デル・ベイル、 ピアース・レーン12007 (72)発明者 アジェイ・ジェイン アメリカ合衆国テキサス州オースチン、オ ールド・ハーバー・レーン6434 (72)発明者 クリスティアーノ・キャパソ アメリカ合衆国テキサス州オースチン、シ ンクリング・レーン12601

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 半導体装置を形成する方法であって:基
    板(10)上にバリア層(41)を形成する段階;前記
    バリア層(41)上に銅合金を含むシード層(42)を
    形成する段階;前記シード層(42)上に導電膜(4
    3)を形成する段階;および前記基板(10)をアニー
    リングする段階;によって構成されることを特徴とする
    方法。
  2. 【請求項2】 半導体装置を形成する方法であって:基
    板(10)上にバリア層(81)を形成する段階であっ
    て、前記基板(10)が誘電膜(73)内に第1開口部
    (75)を有し、前記誘電膜が第1上面を有する段階;
    前記バリア層(81)上に銅含有膜(83)を形成する
    段階;前記銅含有膜(83)上に銅合金キャッピング膜
    (84)を形成する段階;および前記バリア層(8
    1),前記銅含有膜(83)および前記銅合金キャッピ
    ング膜(84)の部分を除去して、第1インレイ構造
    (92)を画定する段階であって、前記第1インレイ構
    造(92)が第2上面を有し、前記第2上面が前記第1
    上面と実質的に平面であって、前記銅合金キャッピング
    膜(84)の部分を含む段階;によって構成されること
    を特徴とする方法。
  3. 【請求項3】 半導体装置を形成する方法であって:基
    板(10)上に主として銅を含有する膜(43)を形成
    し、開口部を実質的に充たす段階;および前記基板(1
    0)をアニーリングする段階であって、前記の主として
    銅を含有する膜(43)上に絶縁層(77)を形成する
    前にアニーリングが実行される段階;によって構成され
    ることを特徴とする方法。
  4. 【請求項4】 半導体装置を形成する方法であって:基
    板(10)上に第1の主として銅を含有する膜を形成す
    る段階であって、前記基板が誘電膜内に開口部(30)
    を有する段階;前記第1の主として銅を含有する膜をア
    ニーリングする段階;基板上に第2の主として銅を含有
    する膜を形成する段階;および前記第1および第2の主
    として銅を含有する膜の部分を除去して、インレイ構造
    を画定する段階;によって構成されることを特徴とする
    方法。
  5. 【請求項5】 半導体装置を形成する方法であって:め
    っき室とアニーリング室とを有するプラットフォームを
    準備する段階;前記めっき室を用いて基板(10)上に
    材料をめっきする段階;および前記アニーリング室を用
    いて前記材料をアニーリングする段階;によって構成さ
    れることを特徴とする方法。
JP20555399A 1998-07-21 1999-07-21 半導体装置の形成方法 Expired - Lifetime JP3588275B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US121068 1998-07-21
US09/121,068 US6218302B1 (en) 1998-07-21 1998-07-21 Method for forming a semiconductor device

Publications (2)

Publication Number Publication Date
JP2000049229A true JP2000049229A (ja) 2000-02-18
JP3588275B2 JP3588275B2 (ja) 2004-11-10

Family

ID=22394305

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20555399A Expired - Lifetime JP3588275B2 (ja) 1998-07-21 1999-07-21 半導体装置の形成方法

Country Status (5)

Country Link
US (1) US6218302B1 (ja)
JP (1) JP3588275B2 (ja)
KR (1) KR100647995B1 (ja)
CN (1) CN1156903C (ja)
TW (1) TW504753B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002013233A2 (en) * 2000-08-03 2002-02-14 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
EP1366512A1 (en) * 2001-02-09 2003-12-03 International Business Machines Corporation A common ball-limiting metallurgy for i/o sites
JP2004072074A (ja) * 2002-06-13 2004-03-04 Matsushita Electric Ind Co Ltd 配線構造の形成方法
US6818991B1 (en) 1999-06-01 2004-11-16 Nec Electronics Corporation Copper-alloy interconnection layer
JP2005019979A (ja) * 2004-05-31 2005-01-20 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US7476611B2 (en) 2002-07-08 2009-01-13 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US7675183B2 (en) 2001-03-01 2010-03-09 Kabushiki Kaisha Toshiba Semiconductor device including an insulating film and insulating pillars and manufacturing method of the semiconductor device
JP2011009439A (ja) * 2009-06-25 2011-01-13 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
US8110748B2 (en) 2003-03-20 2012-02-07 Toshiba Mobile Display Co., Ltd. Wiring, display device and method of manufacturing the same
JP2014528180A (ja) * 2011-09-28 2014-10-23 マイクロン テクノロジー, インク. スルー基板ビアの形成方法

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100404649B1 (ko) * 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
JP2000111952A (ja) * 1998-10-07 2000-04-21 Sony Corp 電気光学装置、電気光学装置用の駆動基板、及びこれらの製造方法
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US7405149B1 (en) * 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
KR100280288B1 (ko) * 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
US6218317B1 (en) * 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
US6319834B1 (en) * 1999-08-18 2001-11-20 Advanced Micro Devices, Inc. Method and apparatus for improved planarity metallization by electroplating and CMP
US7388289B1 (en) * 1999-09-02 2008-06-17 Micron Technology, Inc. Local multilayered metallization
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
FR2805084B1 (fr) * 2000-02-14 2003-09-26 St Microelectronics Sa Procede de fabrication de pistes metalliques pour des circuits integres
US6373137B1 (en) * 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6392922B1 (en) * 2000-08-14 2002-05-21 Micron Technology, Inc. Passivated magneto-resistive bit structure and passivation method therefor
US6534394B1 (en) * 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6635564B1 (en) * 2000-09-14 2003-10-21 Infineon Technologies Ag Semiconductor structure and method of fabrication including forming aluminum columns
US6373135B1 (en) * 2000-09-14 2002-04-16 Infineon Technologies Ag Semiconductor structure and method of fabrication
US6498397B1 (en) * 2000-11-06 2002-12-24 Advanced Micro Devices, Inc. Seed layer with annealed region for integrated circuit interconnects
US6503641B2 (en) * 2000-12-18 2003-01-07 International Business Machines Corporation Interconnects with Ti-containing liners
US6515373B2 (en) * 2000-12-28 2003-02-04 Infineon Technologies Ag Cu-pad/bonded/Cu-wire with self-passivating Cu-alloys
JP3954312B2 (ja) * 2001-01-15 2007-08-08 ローム株式会社 半導体装置の製造方法
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6677679B1 (en) * 2001-02-06 2004-01-13 Advanced Micro Devices, Inc. Use of SiO2/Sin for preventing copper contamination of low-k dielectric layers
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US6448177B1 (en) * 2001-03-27 2002-09-10 Intle Corporation Method of making a semiconductor device having a dual damascene interconnect spaced from a support structure
JP2002324797A (ja) * 2001-04-24 2002-11-08 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
US6391777B1 (en) * 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6426293B1 (en) * 2001-06-01 2002-07-30 Advanced Micro Devices, Inc. Minimizing resistance and electromigration of interconnect by adjusting anneal temperature and amount of seed layer dopant
US6485989B1 (en) 2001-08-30 2002-11-26 Micron Technology, Inc. MRAM sense layer isolation
JP2003068740A (ja) * 2001-08-30 2003-03-07 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003038892A2 (en) * 2001-10-26 2003-05-08 Applied Materials, Inc. Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
US7932603B2 (en) 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
US7096581B2 (en) * 2002-03-06 2006-08-29 Stmicroelectronics, Inc. Method for providing a redistribution metal layer in an integrated circuit
US6656836B1 (en) * 2002-03-18 2003-12-02 Advanced Micro Devices, Inc. Method of performing a two stage anneal in the formation of an alloy interconnect
TWI300971B (en) * 2002-04-12 2008-09-11 Hitachi Ltd Semiconductor device
US6783995B2 (en) * 2002-04-30 2004-08-31 Micron Technology, Inc. Protective layers for MRAM devices
CN1462069A (zh) * 2002-05-31 2003-12-17 松下电器产业株式会社 布线结构的形成方法
CN1248304C (zh) * 2002-06-13 2006-03-29 松下电器产业株式会社 布线结构的形成方法
KR100434511B1 (ko) * 2002-08-12 2004-06-05 삼성전자주식회사 다마신 배선을 이용한 반도체 소자의 제조방법
US6703710B1 (en) * 2002-08-15 2004-03-09 National Semiconductor Corporation Dual damascene metal trace with reduced RF impedance resulting from the skin effect
US6740956B1 (en) 2002-08-15 2004-05-25 National Semiconductor Corporation Metal trace with reduced RF impedance resulting from the skin effect
US6864581B1 (en) 2002-08-15 2005-03-08 National Semiconductor Corporation Etched metal trace with reduced RF impendance resulting from the skin effect
US6853079B1 (en) 2002-08-15 2005-02-08 National Semiconductor Corporation Conductive trace with reduced RF impedance resulting from the skin effect
US6830971B2 (en) * 2002-11-02 2004-12-14 Chartered Semiconductor Manufacturing Ltd High K artificial lattices for capacitor applications to use in CU or AL BEOL
US6674168B1 (en) * 2003-01-21 2004-01-06 International Business Machines Corporation Single and multilevel rework
WO2004088745A1 (ja) * 2003-03-28 2004-10-14 Fujitsu Limited 半導体装置
US7675174B2 (en) * 2003-05-13 2010-03-09 Stmicroelectronics, Inc. Method and structure of a thick metal layer using multiple deposition chambers
US7112454B2 (en) * 2003-10-14 2006-09-26 Micron Technology, Inc. System and method for reducing shorting in memory cells
US7009280B2 (en) * 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)
TWI331370B (en) * 2004-06-18 2010-10-01 Megica Corp Connection between two circuitry components
US20060001170A1 (en) * 2004-07-01 2006-01-05 Fan Zhang Conductive compound cap layer
US7157378B2 (en) * 2004-07-06 2007-01-02 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7122458B2 (en) * 2004-07-22 2006-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating pad redistribution layer
TWI240977B (en) * 2004-07-23 2005-10-01 Advanced Semiconductor Eng Structure and formation method for conductive bump
US20060178007A1 (en) * 2005-02-04 2006-08-10 Hiroki Nakamura Method of forming copper wiring layer
US7422979B2 (en) * 2005-03-11 2008-09-09 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
KR101288790B1 (ko) * 2005-09-27 2013-07-29 에이저 시스템즈 엘엘시 플립 칩 반도체 디바이스들을 위한 솔더 범프 구조 및 이의제조 방법
US20090078580A1 (en) * 2005-12-02 2009-03-26 Ulvac, Inc. Method for Forming Cu Film
US7635643B2 (en) * 2006-04-26 2009-12-22 International Business Machines Corporation Method for forming C4 connections on integrated circuit chips and the resulting devices
US8592977B2 (en) * 2006-06-28 2013-11-26 Megit Acquisition Corp. Integrated circuit (IC) chip and method for fabricating the same
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
JP4506767B2 (ja) * 2007-02-28 2010-07-21 カシオ計算機株式会社 半導体装置の製造方法
EP2637202A3 (en) 2007-09-28 2014-03-12 Tessera, Inc. Flip chip interconnection with etched posts on a microelectronic element joined to etched posts on a substrate by a fusible metal and corresponding manufacturing method
CN101630667A (zh) * 2008-07-15 2010-01-20 中芯国际集成电路制造(上海)有限公司 形成具有铜互连的导电凸块的方法和系统
US20100044860A1 (en) * 2008-08-21 2010-02-25 Tessera Interconnect Materials, Inc. Microelectronic substrate or element having conductive pads and metal posts joined thereto using bond layer
US20100155949A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Low cost process flow for fabrication of metal capping layer over copper interconnects
US20100212017A1 (en) * 2009-02-18 2010-08-19 International Business Machines Corporation System and method for efficient trust preservation in data stores
US8610283B2 (en) 2009-10-05 2013-12-17 International Business Machines Corporation Semiconductor device having a copper plug
US8580607B2 (en) 2010-07-27 2013-11-12 Tessera, Inc. Microelectronic packages with nanoparticle joining
US8853558B2 (en) 2010-12-10 2014-10-07 Tessera, Inc. Interconnect structure
CN102903666B (zh) * 2011-07-25 2015-04-01 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US8722530B2 (en) 2011-07-28 2014-05-13 Freescale Semiconductor, Inc. Method of making a die with recessed aluminum die pads
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8659173B1 (en) * 2013-01-04 2014-02-25 International Business Machines Corporation Isolated wire structures with reduced stress, methods of manufacturing and design structures
US9136166B2 (en) * 2013-03-08 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of making same
CN103700648B (zh) * 2013-12-18 2016-09-07 无锡中微晶园电子有限公司 用于高温电路的金属互连结构及制备方法
JP6424610B2 (ja) * 2014-04-23 2018-11-21 ソニー株式会社 半導体装置、および製造方法
EP3034655A1 (en) * 2014-12-19 2016-06-22 ATOTECH Deutschland GmbH Trench pattern wet chemical copper metal filling using a hard mask structure
US9633971B2 (en) 2015-07-10 2017-04-25 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
KR102420586B1 (ko) * 2017-07-24 2022-07-13 삼성전자주식회사 반도체 장치, 반도체 패키지 및 반도체 패키지의 제조 방법
US10818627B2 (en) * 2017-08-29 2020-10-27 Advanced Semiconductor Engineering, Inc. Electronic component including a conductive pillar and method of manufacturing the same
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
KR102294868B1 (ko) 2019-10-02 2021-08-26 심재훈 릴 낚시대를 이용한 스풀낚시
KR20220056309A (ko) * 2020-10-27 2022-05-06 삼성전자주식회사 반도체 패키지

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5169680A (en) 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
JPH02143429A (ja) 1988-11-24 1990-06-01 Toshiba Corp 半導体装置及びその製造方法
GB2233820A (en) 1989-06-26 1991-01-16 Philips Nv Providing an electrode on a semiconductor device
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5243222A (en) 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5747360A (en) 1993-09-17 1998-05-05 Applied Materials, Inc. Method of metalizing a semiconductor wafer
US5622608A (en) 1994-05-05 1997-04-22 Research Foundation Of State University Of New York Process of making oxidation resistant high conductivity copper layers
JP3391933B2 (ja) 1995-04-27 2003-03-31 沖電気工業株式会社 半導体素子とその製造方法
JPH0964034A (ja) 1995-08-18 1997-03-07 Toshiba Corp 半導体装置およびその製造方法
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US5933758A (en) * 1997-05-12 1999-08-03 Motorola, Inc. Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818991B1 (en) 1999-06-01 2004-11-16 Nec Electronics Corporation Copper-alloy interconnection layer
WO2002013233A3 (en) * 2000-08-03 2002-08-08 Advanced Micro Devices Inc Formation of self-aligned passivation for interconnect to minimize electromigration
WO2002013233A2 (en) * 2000-08-03 2002-02-14 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
EP1366512A4 (en) * 2001-02-09 2009-07-08 Ibm COMMON METALLIZATION WITH BALL LIMITATION FOR INPUT / OUTPUT SITES
EP1366512A1 (en) * 2001-02-09 2003-12-03 International Business Machines Corporation A common ball-limiting metallurgy for i/o sites
US7675183B2 (en) 2001-03-01 2010-03-09 Kabushiki Kaisha Toshiba Semiconductor device including an insulating film and insulating pillars and manufacturing method of the semiconductor device
JP2004072074A (ja) * 2002-06-13 2004-03-04 Matsushita Electric Ind Co Ltd 配線構造の形成方法
JP4605995B2 (ja) * 2002-06-13 2011-01-05 パナソニック株式会社 配線構造の形成方法
US7476611B2 (en) 2002-07-08 2009-01-13 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US8110748B2 (en) 2003-03-20 2012-02-07 Toshiba Mobile Display Co., Ltd. Wiring, display device and method of manufacturing the same
JP2005019979A (ja) * 2004-05-31 2005-01-20 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2011009439A (ja) * 2009-06-25 2011-01-13 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
JP2014528180A (ja) * 2011-09-28 2014-10-23 マイクロン テクノロジー, インク. スルー基板ビアの形成方法

Also Published As

Publication number Publication date
KR100647995B1 (ko) 2006-11-23
KR20000011786A (ko) 2000-02-25
US6218302B1 (en) 2001-04-17
CN1244037A (zh) 2000-02-09
JP3588275B2 (ja) 2004-11-10
TW504753B (en) 2002-10-01
CN1156903C (zh) 2004-07-07

Similar Documents

Publication Publication Date Title
JP3588275B2 (ja) 半導体装置の形成方法
JP4346866B2 (ja) TaN材料のバリア層を含む構造
EP1233448B1 (en) Reliable interconnects with low via/contact resistance
US6228754B1 (en) Method for forming semiconductor seed layers by inert gas sputter etching
US7718524B2 (en) Method of manufacturing semiconductor device
US7790617B2 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US20140175652A1 (en) Barrier for Through-Silicon Via
JP3182608B2 (ja) 大きさの異なるコンタクトホールを有する半導体装置のコンタクトプラグの形成方法
US20070145591A1 (en) Semiconductor device and manufacturing method therof
CN1316590C (zh) 用于在具有帽盖层的半导体互连结构上沉积金属层的方法
CN111566800B (zh) 具有自形成扩散阻挡层的低电阻率金属互连结构
JP2004527909A (ja) 誘電体バリアフィルムを用いたダマシンプロセス
US6232230B1 (en) Semiconductor interconnect interface processing by high temperature deposition
US6833623B2 (en) Enhanced barrier liner formation for via
JP2009026989A (ja) 半導体装置及び半導体装置の製造方法
JP2004040101A (ja) 配線性能改善用メタライゼーションの合金化及び/又は局部ドーピング
US7855438B2 (en) Deep via construction for a semiconductor device
US8404577B2 (en) Semiconductor device having a grain orientation layer
US7538024B2 (en) Method of fabricating a dual-damascene copper structure
US6518648B1 (en) Superconductor barrier layer for integrated circuit interconnects
JP2005038999A (ja) 半導体装置の製造方法
WO2002041391A2 (en) Amorphized barrier layer for integrated circuit interconnects
US6649511B1 (en) Method of manufacturing a seed layer with annealed region for integrated circuit interconnects
US6445070B1 (en) Coherent carbide diffusion barrier for integrated circuit interconnects
US6462417B1 (en) Coherent alloy diffusion barrier for integrated circuit interconnects

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040630

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040812

R150 Certificate of patent or registration of utility model

Ref document number: 3588275

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070820

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080820

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080820

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090820

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090820

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100820

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110820

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110820

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120820

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120820

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130820

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term