KR20000011786A - 반도체소자형성방법 - Google Patents

반도체소자형성방법 Download PDF

Info

Publication number
KR20000011786A
KR20000011786A KR1019990028957A KR19990028957A KR20000011786A KR 20000011786 A KR20000011786 A KR 20000011786A KR 1019990028957 A KR1019990028957 A KR 1019990028957A KR 19990028957 A KR19990028957 A KR 19990028957A KR 20000011786 A KR20000011786 A KR 20000011786A
Authority
KR
South Korea
Prior art keywords
film
forming
copper
layer
conductive
Prior art date
Application number
KR1019990028957A
Other languages
English (en)
Other versions
KR100647995B1 (ko
Inventor
브렉켈만그레거
벤카트라만램나스
헤릭매튜토마스
심슨신디알.
피올더리스로버트더블유.
데닝딘엘.
제인어제이
카파소크리스티아노
Original Assignee
비센트 비.인그라시아
모토로라 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비센트 비.인그라시아, 모토로라 인코포레이티드 filed Critical 비센트 비.인그라시아
Publication of KR20000011786A publication Critical patent/KR20000011786A/ko
Application granted granted Critical
Publication of KR100647995B1 publication Critical patent/KR100647995B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0104Zirconium [Zr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판(10)위에 상호접속(60)이 형성된다. 한 실시예에서, 첩착/장벽층(81), 구리합금 시드층(42) 및 구리막(43)이 기판(10) 위에 증착되고, 기판(10)은 어닐된다. 대안적 실시예에서는, 구리막이 기판위에 증착되고, 구리막이 어닐된다. 또다른 실시예에서는, 접착/장벽층(81), 시드층(82), 전도성막(83) 및 구리 합금 캐핑(capping) 막(84)이 기판(10)위에 증착되어 상호접속(92)을 형성한다. 증착 및 어닐 단계는 공통의 처리 플랫폼상에서 수행될 수 있다.

Description

반도체 소자 형성 방법{Method for forming a semiconductor device}
본 발명은 주로 반도체 소자에 관한 것으로서, 특히 반도체 소자의 상호접속 구조 및 그 형성 방법에 관한 것이다.
집적회로는 반도체 소자 제조자에 의해 계속 소형화되고 있다. 상호접속 처리의 개발, 특히, 상감(inlaid) 상호접속 기술은, 회로 크기를 계속 감소시키기 위해 연구되고 있는 분야이다. 그러나, 상호접속의 크기의 축소는 회로 전류 밀도의 대응하는 증가를 초래하고, 전기이동(electromigration)에 있어서 문제점을 유발한다. 이것은 회로의 저항 및 신뢰도에 있어서 되돌릴 수 없는 경시 변화를 초래한다.
전류 밀도 증가의 결과로서 유발되는 문제점을 극복하기 위해, 알루미늄에 대한 대체물로서 현재 구리가 연구되고 있다. 구리는 낮은 고유저항(resistivity) 및 개선된 전기이동 저항을 포함하여, 알루미늄에 비해 본질적인 장점을 제공한다. 그러나, 진보된 상호접속 기술에서 구리를 대체물로 사용하면 전기이동의 문제점을 전부 제거하지는 못한다. 상호접속의 크기가 계속 축소됨에 따라, 전기이동은 계속 신뢰도의 문제가 될 것이다.
또한, 상호접속을 형성하는 데에 구리를 사용하면, 신뢰도에 있어서 새로운 문제점이 유발된다. 구리는 산화물 함유막 및, 폴리이미드와 같은 패시베이팅 중합체에 약하게 접착된다. 이것은 비어(via) 및 상호접속의 형성중에 문제가 될 뿐 아니라, 완성된 반도체 소자의 어셈블링 및 패키징 시에도 문제가 된다. 본질적으로 순수한 구리막을 사용하여 결합 패드를 형성할 경우에 접착에 있어서의 신뢰도 문제점이 보고되어 왔다. 문제점으로는, 구리 결합 패드와 그 구리 결합 패드를 덮는 패시베이션막 사이의 약한 접착으로 인한 고장(failure)이 포함된다.
본 발명은 예에 의해 설명되지만, 첨부된 도면으로 한정되지 않으며, 도면에서 동일 부호는 유사한 요소를 나타낸다.
당업자라면, 도면의 요소들이 간단 명료하게 도시되었으며, 반드시 축적에 맞게 도시되지는 않았다는 것을 이해할 것이다. 예컨대, 도면의 요소 중 어떤 것의 크기는, 본 발명의 실시예(들)의 이해를 돕기 위해 다른 요소들에 비해 과장되었다.
도 1은 제 1 상호접속 레벨을 규정하도록 부분적으로 처리된 반도체 소자의 일부의 단면도.
도 2는 접착/장벽층 및 레벨간 유전체막 형성 후의 도 1의 기판의 단면도.
도 3은 레벨간 유전체막 내에 이중 상감 개구를 형성한 후의 도 2의 기판의 단면도.
도 4는 이중 상감 개구내에 접착/장벽층, 시드층 및, 전도성 막을 증착한 후의 도 3의 기판의 단면도.
도 5는 도 4의 시드층으로부터 전도성 막으로의 합금 조성물을 재분배하는 어닐 단계를 도시하는 도 4의 기판의 단면도.
도 6은 이중 상감 상호접속 구조를 형성한 후의 도 5의 기판의 단면도.
도 7은 제 2 레벨간 유전체막을 증착하고 상부 이중 상감 개구 및 단일 상감 개구를 형성한 후의 도 6의 기판의 단면도.
도 8은 상부 이중 상감 개구 및 단일 상감 개구를 덮는 접착/장벽층, 시드층, 전도성 막 및, 전도성 합금 캐핑 막을 증착한 후의 도 7의 기판의 단면도.
도 9는 이중 상감 상호접속 구조 및 결합 패드 구조를 형성한 후의 도 8의 기판의 단면도.
도 10은 패시베이션막 및, 결합 패드의 부분을 노출시키는 패시베이션막 내의 개구의 형성 후의 도 9의 기판의 단면도.
도 11은 사실상 완성된 소자를 형성한 후의 도 10의 기판의 단면도.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 기판 41, 81 : 접착/장벽층
42, 82 : 시드층 43 : 전도성 막
73 : 유전체 막 77 : 레벨간 유전체층
83 : 전도성 막 84 : 합금 캐핑막
92 : 결합 패드
전도성 상호접속은 기판을 덮도록 형성된다. 한 실시예에서는, 접착/장벽층, 구리 합금 시드층 및 구리막이 기판 위에 증착되어 어닐된다. 대안적 실시예에서는, 구리 함유막이 기판 위에 증착되어 어닐된다. 또다른 실시예에서는, 접착/장벽층, 시드층, 전도성 막 및, 구리 합금 캐핑(capping) 막이 기판위에 증착된다. 이 실시예의 어닐은 선택적으로 수행된다. 증착 및 어닐 단계는 공통 처리 플랫폼 상에서 수행될 수 있다.
도 1은 제 1 상호접속 레벨을 규정하도록 부분적으로 처리된 반도체 소자를 도시한다. 이 반도체 소자는 반도체 소자 기판(10), 필드 절연 영역(102), 트랜지스터(118), 전도성 플러그(112) 및, 유전체층(11)을 구비한다. 트랜지스터(118)는 도핑 영역(104), 게이트 유전체 막(106) 및, 게이트 전극(108)을 포함한다. 이 명세서에서 반도체 소자 기판(10)은, 단결정 반도체 웨이퍼, 절연체상 반도체 기판(a semiconductor-on-insulator substrate) 또는 반도체 소자를 형성하는 데 사용된 임의의 다른 기판을 포함하는 것이다.
한 실시예에서, 게이트 전극(108)은 폴리실리콘의 층이다. 대안적으로, 게이트 전극(108)은, 텅스텐이나 몰리브덴과 같은 금속층, 티타늄 질화물, 텅스텐 질화물과 같은 금속 질화물 층 및 그 조합이 될 수 있다. 또한, 게이트 전극(108)은 폴리실리콘 막을 덮는, 텅스텐 규화물, 티타늄 규화물 및 코발트 규화물과 같은 금속 규화물을 구비하는 폴리사이드(policide)가 될 수 있다.
게이트 전극(108)의 형성에 이어서, 기판(10)위에는 제 1 레벨간 유전체(interlevel dielectric: ILD)층(110)이 형성되어, 접촉 개구를 형성하도록 패터닝된다. 한 실시예에서, 제 1 ILD 층(110)은, 테트라에톡시실란 (tetraethoxysilane: TEOS)을 소스 가스로 사용하여 형성되는 플라즈마 증착된 산화물의 막이다. 대안적으로, 제 1 ILD 층(110)은, 실리콘 질화물의 막, 포스포실리케이트 유리(phosphosilicate glass: PSG)의 막, 보로포스포실리케이트 유리(borophosphosilicate glass: BPSG)의 막, 실리콘 질산화물막, 폴리이미드 막, 낮은 k 유전체 또는 그 조합이 될 수 있다.
패터닝에 이어서, 유전체층(110)내에 접촉 개구가 형성된다. 접촉 개구는 티타늄/티타늄 질화물(Ti/TiN) 및 탄타륨/탄타륨 질화물(Ta/TaN)과 같은 접촉/장벽층(114)을 사용하여 형성되는 전도성 플러그(112) 및, 텅스텐과 같은 전도성 막 재료(116)를 구비한다. 증착 후에, 전도성 막 재료(116) 및 그 아래의 접착/장벽층(114)의 일부가 종래의 에칭 또는 화학-기계적 연마 기술을 사용하여 제거됨으로써 전도성 플러그(112)를 형성한다. 대안적으로, 전도성 플러그(112)는 접착/장벽층(114)을 갖거나 갖지 않는 접촉막 재료로서 도핑된 실리콘을 사용하여 형성될 수 있다.
전도성 플러그(112)를 형성한 후, 제 2 접착/장벽층(122) 및 제 2 전도성 막(124)이 전도성 플러그(112) 및 유전체층(110) 위에 형성된다. 한 실시예에서, 제 2 접착/장벽층(122)은 Ta/TaN을 사용하여 형성되고, 전도성 막(124)은 구리, 알루미늄 등을 사용하여 형성된다. 제 2 접착/장벽층(122) 및 제 2 전도성 막(124)의 조합은 제 1 상호접속 레벨(12)을 형성한다. 도 1에 도시된 소자를 형성하기 위한 지금까지의 처리에는 종래의 방법이 사용되었다.
다음에, 도 2에 도시된 것처럼, 제 1 상호접속 레벨(12) 위에 패시베이션층(21)이 형성된다. 한 실시예에서, 패시베이션층은 플라즈마 증착된 실리콘 질화물의 막이다. 대안적으로, 패시베이션층(21)은 플라즈마 증착된 실리콘 질산화물의 막, 붕소 질화물의 막 등이 될 수 있다. 패시베이션층(21)은, 상호접속 레벨(12) 내의 금속 원자가 상호접속 레벨(12) 위에 그 다음에 증착되는 유전체 막으로 확산되는 것을 감소시키기 위해 사용된다. 예컨대, 상호접속 레벨(12)이 구리를 포함할 경우, 패시베이션 층(21)은 구리 확산 장벽으로서 기능한다.
도 2는 접착/장벽층(122) 위에 형성된 레벨간 유전체 층(interlevel dielectric layer: ILD)(20)을 도시한다. 한 실시예에서, 레벨간 유전체 층(20)은 유전체 막(22), 중간 에칭 정지막(23), 유전체 막(24) 및, 하드마스크 막(25)을 구비한다.
유전체 막(22)은 TEOS를 소스 가스로서 사용하여 형성된 플라즈마 증착된 산화물의 막이 될 수 있다. 대안적으로, 유전체 막(22)은 PSG의 막, BPSG의 막, SOG 막, 낮은 유전 상수(낮은 k)의 절연체 등이 될 수 있다. 이 명세서에서, 낮은 k 절연체는 약 3.5 미만의 유전 상수를 갖는 재료이다. 중간 에칭 정지막(23)은 플라즈마 증착된 실리콘 질산화물의 막이 될 수 있다. 대안적으로, 중간 에칭 정지막(23)은 플라즈막 증착된 실리콘 질화물의 막, 붕소 질화물의 막 등이 될 수 있다. 유전체막(24)은 TEOS를 소스 가스로 사용하여 형성된 플라즈마 증착된 산화물의 막이 될 수 있다. 대안적으로, 유전체막(24)은 PSG의 막, BPSG의 막, SOG 막, 낮은 유전 상수(낮은 k)의 절연체 등이 될 수 있다. 레벨간 유전체 막(20)은 다른 유전체 재료를 사용하여 형성될 필요는 없다. 예컨대, 레벨간 유전체막(20)은 플라즈마 증착된 산화물, PSG, BPSG, SOG, 폴리이미드, 낮은 유전성 절연체 등과 같은 단일 유전체 재료를 사용하여 형성될 수 있다. 유전체 막(24)위에는 하드마스크(25)가 존재한다. 한 실시예에서, 하드마스크 막(25)은 플라즈막 증착된 실리콘 질산화물의 막이다. 대안적으로, 하드마스크막(25)은 플라즈마 증착된 실리콘 질화물의 막, 붕소 질화물의 막 등이 될 수 있다.
도 3에서, 레벨간 절연체층(20) 및 패시베이션 층(21)의 일부가 패터닝되어 이중 상감 개구(dual inlaid opening)(30)를 형성한다. 도 3에 도시된 것처럼, 이중 상감 개구(30)는 상호접속 부(31) 및 비어 부(32)를 구비하며, 여기서, 비어 부(32)는 전도성 상호접속(12)의 일부를 노출시킨다. 선 비어 후 트랜치(via-first trench-last: VFTL) 처리에 따르는 패터닝 처리를 사용함으로써, 하드마스크 막(25)은, 유전체막(24)이 에칭되어 에칭 정지막(23)에 비어 개구를 규정하는 동안 유전체막(24)을 보호하며, 에칭 정지막(23)은 유전체 막(24)내에 이중 상감 개구의 상호접속 부를 형성할 때 유전체 막(22)을 보호한다.
도 4에서, 접착/장벽층(41)은 이중 상감 개구(30)내에 형성된다. 한 실시예에서, 접착/장벽층은 탄타륨 질산화물의 막이다. 대안적으로, 접착/장벽층(41)은 티타늄 질화물의 막, 텅스텐 질화물의 막, 탄타륨 실리콘 질화물의 막, 탄타륨의 막, 티타늄 텅스텐의 막 등이 될 수 있다. 통상, 접착/장벽층(41)은 종래의 스퍼터링법을 사용하여 증착된다. 대안적으로, 접착/장벽층(41)은 조준 스퍼터링(collimated sputtering), 이온화 스퍼터링, 또는 화학적 기상 증착 처리를 사용하여 형성될 수 있다.
다음에, 접착/장벽층(41) 위에 시드(seed) 층(42) 및 전도성 막(43)이 형성된다. 한 실시예에서, 시드층(42)은 구리 및 마그네슘을 구비한다. 대안적으로, 인듐, 주석, 크로뮴, 아연, 탄소, 지르코늄, 팔라듐, 티타늄, 철, 니오븀, 마그네슘 등을 포함하는 다른 합금 재료 또는 합금 재료의 조합이 사용될 수 있다. 대안적 실시예에서, 시드 층(42)은 본질적으로, 구리, 니켈, 주석 등과 같은 단일 요소로 구성될 수 있다.
시드 층(42)을 형성하는 방법은 다양하다. 한 실시예에서, 시드층(42)은 대략 2원자 퍼센트의 마그네슘 및 대략 98 원자 퍼센트의 구리를 포함하는 스퍼터링 타겟에 의해 화학적 기상 증착(PVD) 처리를 사용하여 형성된다. 대안적으로, 시드 층(42)은, 이온화 PVD, 긴 투사(long throw) PVD, 또는 조준 PVD를 포함하는 다른 PVD 증착 처리를 사용하여 증착될 수 있으며, 또한, 화학적 기상 증착(CVD) 처리, 또는 비전기 도금(electroless plating) 또는 전기 도금과 같은 도금 처리 등을 사용하여 증착될 수도 있다. 시드 층(42)은 접착/장벽층(41) 위의 연속막으로서 증착되며, 이중 상감 개구(30) 내에 형성된다. 한 실시예에서, 시드 층(42)은 약 150-250 나노미터 범위의 두께로 증착된다. 그러나, 당업자라면, 시드층이, 전도성 막의 적절한 도금을 허용할 정도로 충분히 두꺼우면서도, 이중 상감 개구(30)의 코너 에지 상에서의 시드 층(42)의 과도한 측면 형성 및 그 다음의 이중 상감 상호접속 개구(30)의 바닥에서의 공백의 형성을 방지할 정도로 충분히 얇게 형성되어야 한다는 것을 인식할 것이다.
시드층(42) 위에는 전도성막(43)이 형성된다. 전도성 막(43)은 이중 상감 개구(30)를 완전히 채우기에 충분한 두께를 갖는다. 한 실시예에서, 전도성 막은 종래의 전기도금 기술을 사용하여 증착된 구리이다. 구리는 약 600 나노미터의 두께로 도금되는데, 이것은 이중 상감 개구의 트랜치부 두께의 약 1.5 배이다. 대안적으로, 전도성막(43)은 비전기 도금, CVD, PVD, 또는 CVD와 PVD의 조합을 포함하는 다른 증착 처리에 의해 형성될 수 있다.
도 5는 화살표(45)에 의해 표시된 어닐 공정동안의 도 4의 구조를 도시한다. 어닐 공정은 합금 조성물(44)을 시드층으로부터 전도성 막(43)으로 확산시킨다. 시드층(42)으로부터 전도성막(43)으로의 합금 조성물(44)의 확산은 시드층(42) 및 전도성 막(43) 전반에 걸쳐 합금 조성물의 재분배를 초래한다. 합금 조성물(44)의 재분배는, 사용된 합금 재료와 어닐 조건에 따라, 시드층(42) 및 전도성 막(43) 전반에 걸쳐 균일하거나, 시드층(42)에서 더 높거나, 시드층(42) 및 전도성 막(43)의 표면 및 경계면에 집중된다.
합금 조성물(44)의 전도성 막(43)으로의 어닐 및 그 다음의 혼입(incorporation)의 결과로서 잠재적인 이점이 발생된다. 이들은 전도성 막의 저항 특성 및 그 접착 특성에 있어서의 개선을 포함한다. 어닐은 시드층(42) 및 전도성 막(43)의 표면 구성, 형태(morphology) 및 내부 미세구조를 변화시킨다. 300℃ 이상의 지속적인 온도는 전도성 막(43)의 표면 및 경계면에 대한 합금 조성물(44)의 이동을 촉진시킨다. 산소 원자에 노출될 때, 합금 산화물 막이 형성된다. 합금 산화물 막은, 전도성 막(43)과, 그 다음에 증착된 패시베이션 층을 포함하는 인접 막들 사이의 접착을 촉진시킨다. 합금 조성물(44)의 확산에 어닐이 사용되는 실시예에 따르면, 어닐은 용광로에서 약 300-450℃의 온도로 20 내지 30 분간 수행된다.
대안적으로, 어닐은 상호접속의 전기이동의 신뢰도를 개선하기 위해, 전도성 막을 포함하는 비합금(non-alloy)에 대해 수행될 수도 있다. 전도성 상호접속이 장벽층 및 본질적으로 단일 재료를 구비하는 전도성 막을 사용하여 형성되는 실시예에서, 기판은 약 200℃에서 약 5분간 어닐될 수 있다. 대안적으로, 기판은, 스루풋(throughput)을 개선시키는 수단으로서, 적어도 1분 동안 250 내지 400℃의 범위에서 어닐될 수 있다. 어닐은 노출된 표면의 산화를 최소화하기 위해, 질소, 환원 대기(reducing ambient) 또는 진공에서 수행될 수 있다. 이 실시예에서, 본질적으로 단일 재료를 구비하는 전도성 막의 예로는 전기도금된 구리 막, CVD 증착된 구리막 등과 함께 비전기 도금된 구리 시드층이 포함된다.
저항 및 전기이동 데이터를 사용하여 측정되는 파라미터 테스트는 어닐 공정의 결과로서 개선이 달성될 수 있음을 나타낸다. 막저항의 축소, 막의 전반적 저항 분배의 개선 및, 전기 이동 성능에 대한 개선은, 어닐동안 구리막의 입자 성장(grain growth) 및 조밀화(densification)에 기여한다. 어닐 전에, 구리의 입자(grain) 구조 및 입자의 배향은 막 전반에 걸쳐 변한다. 크게 변할 수 있는 입자의 구조 및 배향에 관련된 다른 고장 모드들은 모두 전기 이동 고장을 유발하는데 기여한다. 구리를 어닐함으로써, 막내의 입자 구조 분포가 보다 균일해 지고, 따라서 이들 입자 구조에 관련된 전기 이동 고장의 변화는 보다 조밀한 분포를 갖는다.
시드층 및 전도성 막의 어닐의 결과로서 달성된 이점은, 전도성 막의 증착전에 시드층을 어닐함으로써 유사하게 획득될 수 있다. 이것은 원위치에서 약 200-400℃의 온도 범위에서 시드층을 증착함으로써 수행될 수 있다. 이것은 또한 전도성 층의 증착전에, 시드층을 먼저 증착하고, 다음에 시드층을 약 200-400℃ 범위의 온도에서 약 1-5분간 어닐함으로써 수행될 수 있다.
본 발명의 실시예에 따라, 어닐 공정은 고속 열 어닐(rapid thermal anneal: RTA), 고온 도금, 가열된 척(heated chuck), 또는 용광로를 사용하여 수행될 수 있다. 어닐 스테이션은 클러스터 툴(cluster tool)의 일부로서 처리 흐름에 통합될 수 있는데, 이 경우 시드층 증착 공정, 전도성 막 증착 공정, 스핀-세정-건조(spin-rinse-dry: SRD) 및, 어닐 공정, 또는 이들 공정의 임의의 조합은 모두 단일 처리 플랫폼에서 수행될 수 있다. 유사하게, 이들 공정은 단일 웨이퍼 또는 배치(batch) 웨이퍼 처리 동작에 따라 수행될 수 있다.
도 6에서, 전도성 막(43), 시드층(42) 및, 접착/장벽층(41)의 일부가 종래의 화학 기계적 연마 처리를 사용하여 제거되어 상호접속 개구(30) 내에 상호접속(60)을 형성한다. 대안적으로, 상호접속(60)은 이온 밀링(ion milling), 반응성 이온 에칭 및, 플라즈마 에칭과 같은 종래의 에칭 기술을 사용하거나 에칭 및 연마기술을 결합시킴으로써 형성될 수 있다.
합금 조성물(44)이 시드층(42)으로부터 전도성 막(43)으로 확산되는 실시예에서, 대안적으로 어닐은 상호접속 형성 후에 수행될 수 있다. 대안적 실시예에서는, 상호접속을 형성하도록 전도성 막(43), 시드 층(42) 및, 접착/장벽층(41)의 일부를 제거한 후, 기판은 용광로에서 약 300-450℃에서 약 20-30분간 어닐된다. 어닐동안 유전체 막(24) 및 전도성 상호접속이 산화될 가능성을 감소시키도록, 아르곤, 헬륨, 질소 등과 같은 비교적 비활성의 대기가 사용될 수 있다. 어닐 공정 동안, 합금 조성물은 시드층(42)으로부터 전도성 막(43)으로 확산된다. 대안적으로, 어닐은 전술된 고속 열 어닐(RTA), 고온 도금 어닐 또는 용광로 어닐 처리를 사용하여 수행될 수 있다. 이 어닐 공정은, 전도성 상호접속을 형성하는 공정 후에 수행된다는 점에서 전술된 어닐과 다르다. 그러나, 최종 산물은 전술된 상호접속(60)과 본질적으로 동일한 이점을 제공하는 전도성 상호접속이다.
도 7은, 패시베이션 층(70), 레벨간 유전체 층(ILD)(77) 및, 하드마스크 층(76)을 추가로 도시한다. ILD 층(77)은 하부 유전체막(71), 중간 에칭 정치막(72) 및, 상부 유전체막(73)을 또한 포함한다. 패시베이션 층(70), ILD 층(77) 및, 하드마스크 층(76)은 패시베이션 층(21), ILD 층(20) 및, 하드 마스크(25)를 형성하는데에 사용된 것과 유사한 방법을 사용하여 형성된다. 하드마스크 층(76), ILD 층(77) 및 패시베이션 층(70)에는 상호접속(60)의 부분을 노출시키도록 이중 상감 개구(74)가 형성되었다. 이중 상감 개구(74)는 이중 상감 개구(30)를 형성하기 위한 전술된 것과 유사한 기술을 사용하여 형성된다.
본 발명의 실시예에 따라, 이중 상감 구조(74)의 형성 중에 단일 상감 개구(75)가 또한 형성된다. 한 실시예에서, 단일 상감 개구(75)는 반도체 소자에 대한 결합 패드를 형성하는 데에 사용된다. 단일 상감 개구(75)를 규정하는 에칭동안, 이중 상감 개구(74)의 상호접속 트랜치 부분을 규정하는데에 사용되는 에칭 정치막(72)은 또한 하부 유전체막(71)의 일부의 제거를 방지한다.
도 8은 접착/장벽층(81), 시드층(82), 이중 상감 구조를 완전히 채우고 단일 상감 구조를 부분적으로 채우는 전도성 막(83) 및, 전도성 합금 캐핑(capping) 막(84)을 포함하는 반도체 소자 기판을 도시한다. 한 실시예에서, 접착/장벽층(81)은 탄타륨 질화물의 막이며, 하드 마스크 층(76)의 상부 및 도 7에서 이미 규정된 이중 상감 개구(74) 및 단일 상감 개구(75) 내에 형성된다. 대안적으로, 접착/장벽층(81)은 텅스텐 질화물의 막, 탄타륨 실리콘 질화물의 막, 탄타륨의 막, 탄타륨 텅스텐 막 등이 될 수 있다. 접착/장벽층(81)은 종래의 스퍼터링 또는 화학적 기상 증착 기술을 사용하여 증착될 수 있다.
접착/장벽층(81) 위에는 시드층(82)이 덮인다. 이 특정 실시예에서, 시드층(82)은 구리 시드층이며, PVD 처리를 사용하여 약 150-250 나노미터 범위의 두께로 증착된다. 대안적으로, 시드층(82)은 전도성 합금으로서 증착될 수 있으며, 다른 종래의 증착 기술을 사용할 수 있다. 합금 재료의 예로는, 인듐, 주석, 크로뮴, 아연, 지르코늄, 팔라듐, 탄소, 티타늄, 철, 니오븀 등이 있다.
시드 층(82) 위에는 전도성 막(83)이 덮인다. 전도성 막(83)을 형성하는데에는 전기도금 처리가 통상 사용된다. 이 특정 실시예에서, 전도성 막(83)은 약 300-500 나노미터 범위의 두께로 전기도금된 구리막이다. 대안적으로, 전도성 막(83)은 PVD 또는 CVD 처리를 사용하여 형성될 수 있으며, 알루미늄 또는 금과 같은 다른 전도성 재료를 사용하여 형성될 수 있다.
본 발명의 실시예에 따라, 전도성 막은 이중 상감 개구(74)를 채우기에 충분하면서 단일 상감 개구(75)를 완전히 채우지 않는 두께를 갖는다.
도 8을 참조하면, 전도성 막(83)의 총 두께의 일부는 유전체 막(73)의 최상부 레벨 아래에 위치한다. 단일 상감 개구의 가로방향 크기(이것은 축적대로 도시된 것이 아니다)는 이중 상감 개구보다 상당히 더 크다. 예컨대, 단일 상감 개구의 크기는 길이가 25-50 미크론 범위이고, 이중 상감 개구의 크기는 약 0.35 미크론 이하일 수 있다. 단일 상감 개구(75)는 너무 넓기 때문에 부분적으로만 채워진다.
전도성 막(83) 위에는 전도성 합금 캐핑 막(84)이 덮인다. 본 발명의 실시예에 따라, 전도성 합금 캐핑 막(84)은 전도성 막(83) 위에 형성된 구리 마그네슘 합금이다. 전도성 합금 캐핑 막(84)은 약 2.0 원자 퍼센트의 마그네슘 및 98 원자 퍼센트의 구리를 함유하는 구리 마그네슘 스퍼터링 타겟에 의해 PVD 처리를 사용하여 증착된다. 대안적으로, 전도성 합금 캐핑 막(84)은 다른 종래의 증착 기술을 사용하여, 인듐, 주석, 크로뮴, 아연, 지르코늄, 팔라듐, 탄소, 티타늄, 철, 니오븀 등을 포함하는 다른 합금 재료에 의해 형성될 수 있다. 도 8에 도시된 것처럼, 전도성 합금 캐핑 막(84)은 유전체 막(73)의 최상부 아래에 있는 단일 상감 구조의 부분들을 완전히 채운다. 구리 합금 캐핑 막(84)은 전도성 막(83)에 의해 채워지지 않고 남은 단일 상감 개구의 부분을 완전히 채우도록 증착된다.
대안적으로, 구리 합금 캐핑막(84)은 전술된 PVD 처리를 사용하여 형성될 수 있으며, 여기서 처리 온도는 약 300-450℃ 범위이다. 높은 온도는 단일 상감 구조 및 이중 상감 구조 모두에서 합금 원소의 전도성 막(83)으로의 확산을 촉진하여, 전술된 전기이동 및 접착의 이점을 제공한다. 대안적으로, 구리 합금 캐핑 막(84) 및 전도성 막(83)의 복합체가 그 다음의 처리 공정동안 어닐됨으로써 유사한 전반적 이점을 획득할 수 있다.
도 9에서, 전도성 합금 캐핑막(84), 전도성 막(83), 시드 층(82) 및, 접착/장벽층(81)의 일부가 종래의 화학-기계적 연마 처리를 사용하여 제거됨으로써 이중 상감 개구(74)내에 상호접속(91)을 형성하고, 단일 상감 개구(75)내에 결합 패드(92)를 형성했다. 대안적으로, 상호접속(91) 및 결합 패드(92)는 이온 밀링(milling), 반응성 이온 에칭 및, 플라즈마 에칭과 같은 종래의 에칭 기술을 사용하거나, 에칭 및 연마 기술을 결합시켜 사용함으로써 형성될 수 있다.
전도성 상호접속(91)은 전도성 접착/장벽층(81), 시드층(82) 및, 전도성막(83)의 나머지 부분을 구비한다. 결합 패드(92)는 전도성 접착/장벽층(81), 시드층(82), 전도성 막(83) 및 전도성 합금 캐핑막(84)의 나머지 부분을 구비한다.
도 10은 전도성 상호접속(91), 하드마스크 층(76) 및, 결합 패드(92)의 일부를 덮는 추가 패시베이션층(1001)을 포함하는 반도체 소자를 도시한다. 한 실시예에서, 패시베이션 층(1001)은 10-20 나노미터의 플라즈마 강화된 질화물(plasma enhanced nitride: PEN) 막을 덮는 250-350 나노미터의 실리콘 질산화물 막을 구비한다. 도 10에 도시된 것처럼, 패시베이션 층(1001)은 결합 패드(92)의 일부를 노출시키는 하부 개구(1002)를 형성하도록 에칭되었다. 패시베이션 막은 종래의 플라즈마 또는 습식 에칭 처리 기술을 사용하여 에칭된다.
도 11은 패시베이션 층(1001)을 덮는 폴리이미드 막(1102)을 포함하는 반도체 소자를 도시한다. 한 실시예에서, 폴리이미드 막(1002)은 종래의 스핀온 처리(spin-on process)를 사용하여 형성되고 약 2.5-3.5 미크론 범위의 두께로 증착된다. 다음에 폴리이미드 막내에 종래의 처리를 사용하여 상부 개구(1103)가 형성된다. 본 발명의 실시예에 따라, 도 11에 도시된 것처럼, 상부 개구(1103)는 하부 개구(1002)보다 더 크다. 이들의 크기는, 반도체 소자의 설계 및 패키징 요건과, 개구를 형성하는 데에 사용된 처리 및 기기에 의해 정해진다. 다음에, 패시베이션 막(1001)의 일부는 결합 패드(92)내의 전도성 합금 캐핑 막(84)의 일부까지 확장되어 그 캐핑 막(84)의 일부를 덮는다. 다음에, 결합 패드(92) 및 패시베이션 막의 일부를 덮도록 전도성 상호접속 범프(1104)가 형성된다. 다음에 전도성 범프(1104)는 반도체 소자로부터 반도체 패키지까지의 접속을 제공할 것이다.
전도성 합금 캐핑막(84)의 존재는 패시베이션막/결합 패드 경계면에서 결합 패드에 대한 패시베이션막의 접착을 개선시킨다. 패시베이션 막(1101)의 일부를 덮는 전도성 상호접속 범프(1104)의 일부는 패시베이션막/결합 패드 경계면에서 쉽게 적층(delaminate)되지 않는다. 따라서, 합금 캐핑막(84)의 존재의 결과로서 다이(die) 결합의 신뢰도가 개선된다. 이것은 반도체 소자의 전반적인 신뢰도를 개선시킨다.
따라서, 본 발명의 실시예에 따르면, 종래기술에 비해 전술된 세 가지 이점이 제공되었음이 명백하다. 이들 이점은, 전도성 상호접 속의 저항 분포에 있어서의 개선, 금속 상호접속의 개선된 전기 이동 성능 및, 하부 및 인접막에 대한 상호접속의 접착 특성에 있어서의 개선을 포함한다.
지금까지의 설명에서, 본 발명은 특정 실시예를 기준으로 설명되었다. 그러나, 당업자라면, 첨부된 청구범위에 기재된 본 발명의 범위에서 벗어나지 않고 다양한 수정 및 변경이 이루어질 수 있음을 인식할 것이다. 따라서, 본 명세서 및 도면은 한정적 의미가 아닌 예시적 의미로 간주되어야 하며, 그런 모든 수정들은 본 발명의 범위내에 포함되는 것이다. 지금까지, 이점, 다른 장점, 문제점에 대한 해결책이 특정 실시예에 관련하여 설명되었다. 그러나, 이런 이점, 장점, 문제점에 대한 해결책 및, 임의의 이점, 장점 또는 해결책이 발생되거나 명백하게 되도록 할 수 있는 임의의 요소(들)는, 임의의 또는 모든 청구범위에서 매우 중요하거나, 필요하거나, 필수적인 기능 또는 요소로서 해석되어서는 안 된다.

Claims (5)

  1. 반도체 소자를 형성하는 방법에 있어서,
    기판(10) 위에 장벽층(41)을 형성하는 단계와;
    상기 장벽층(41) 위에, 구리 합금을 포함하는 시드층(42)을 형성하는 단계와;
    상기 시드층(42) 위에 전도성 막(43)을 형성하는 단계 및;
    상기 기판(10)을 어닐하는 단계를 구비하는 반도체 소자 형성 방법.
  2. 반도체 소자를 형성하는 방법에 있어서,
    제 1 최상부 표면을 갖는 유전체막(73)내에 제 1 개구(75)를 갖는 기판(10) 위에 장벽층(81)을 형성하는 단계와;
    상기 장벽층(81)을 덮는 구리 함유막(83)을 형성하는 단계와;
    상기 구리 함유막(83)을 덮는 구리 합금 캐핑막(84)을 형성하는 단계와;
    상기 장벽층(81), 상기 구리 함유막(83) 및, 상기 구리 함금 캐핑막(84)의 일부를 제거하여, 제 1 상감 구조(92)를 규정하는 단계로서, 상기 제 1 상감 구조(92)는 제 2 최상부 표면을 가지며, 상기 제 2 최상부 표면은 상기 제 1 최상부 표면과 거의 동일 평면이며 상기 구리 합금 캐핑 막(84)의 일부를 포함하는, 반도체 소자 형성 방법.
  3. 반도체 소자를 형성하는 방법에 있어서,
    개구를 거의 채우도록 기판(10) 위에 구리 주성분 함유막(mostly copper-containing film)(43)을 형성하는 단계 및;
    상기 구리 주성분 함유막(43) 위에 절연층(77)을 형성하기 전에 상기 기판(10)을 어닐하는 단계를 구비하는 반도체 소저 형성 방법.
  4. 반도체 소자를 형성하는 방법에 있어서,
    유전체막 내에 개구(30)를 갖는 기판(10) 위에 제 1 구리 주성분 함유막을 형성하는 단계와;
    상기 제 1 구리 주성분 함유막을 어닐하는 단계와;
    상기 기판 위에 제 2 구리 주성분 함유막을 형성하는 단계 및;
    상기 제 1 및 제 2 구리 주성분 함유막의 일부를 제거하여 상감 구조를 규정하는 단계를 구비하는 반도체 소자 형성 방법.
  5. 반도체 소자를 형성하는 방법에 있어서,
    도금실(plating chamber) 및 어닐실(annealing chamber)을 갖는 플랫폼을 제공하는 단계와;
    상기 도금실을 사용하여 기판 상에 재료를 도금하는 단계와;
    상기 어닐실을 사용하여 상기 재료를 어닐하는 단계를 구비하는 반도체 소자 형성 방법.
KR1019990028957A 1998-07-21 1999-07-16 반도체 디바이스 형성 방법 KR100647995B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9/121,068 1998-07-21
US09/121,068 1998-07-21
US09/121,068 US6218302B1 (en) 1998-07-21 1998-07-21 Method for forming a semiconductor device

Publications (2)

Publication Number Publication Date
KR20000011786A true KR20000011786A (ko) 2000-02-25
KR100647995B1 KR100647995B1 (ko) 2006-11-23

Family

ID=22394305

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990028957A KR100647995B1 (ko) 1998-07-21 1999-07-16 반도체 디바이스 형성 방법

Country Status (5)

Country Link
US (1) US6218302B1 (ko)
JP (1) JP3588275B2 (ko)
KR (1) KR100647995B1 (ko)
CN (1) CN1156903C (ko)
TW (1) TW504753B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100420611B1 (ko) * 2000-12-18 2004-03-02 인터내셔널 비지네스 머신즈 코포레이션 Ti를 포함하는 라이너를 갖는 상호접속부
WO2013048685A1 (en) * 2011-09-28 2013-04-04 Micron Technology, Inc. Methods of forming through-substrate vias
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
KR101288790B1 (ko) * 2005-09-27 2013-07-29 에이저 시스템즈 엘엘시 플립 칩 반도체 디바이스들을 위한 솔더 범프 구조 및 이의제조 방법
KR20210039877A (ko) 2019-10-02 2021-04-12 심재훈 릴 낚시대를 이용한 스풀낚시

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100404649B1 (ko) * 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
JP2000111952A (ja) * 1998-10-07 2000-04-21 Sony Corp 電気光学装置、電気光学装置用の駆動基板、及びこれらの製造方法
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6965165B2 (en) * 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7405149B1 (en) * 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
KR100280288B1 (ko) * 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
US6218317B1 (en) * 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
JP2000349085A (ja) 1999-06-01 2000-12-15 Nec Corp 半導体装置及び半導体装置の製造方法
US6319834B1 (en) * 1999-08-18 2001-11-20 Advanced Micro Devices, Inc. Method and apparatus for improved planarity metallization by electroplating and CMP
US7388289B1 (en) * 1999-09-02 2008-06-17 Micron Technology, Inc. Local multilayered metallization
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
FR2805084B1 (fr) * 2000-02-14 2003-09-26 St Microelectronics Sa Procede de fabrication de pistes metalliques pour des circuits integres
US6373137B1 (en) * 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6309959B1 (en) * 2000-08-03 2001-10-30 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
US6392922B1 (en) 2000-08-14 2002-05-21 Micron Technology, Inc. Passivated magneto-resistive bit structure and passivation method therefor
US6534394B1 (en) * 2000-09-13 2003-03-18 International Business Machines Corporation Process to create robust contacts and interconnects
US6635564B1 (en) * 2000-09-14 2003-10-21 Infineon Technologies Ag Semiconductor structure and method of fabrication including forming aluminum columns
US6373135B1 (en) * 2000-09-14 2002-04-16 Infineon Technologies Ag Semiconductor structure and method of fabrication
US6498397B1 (en) * 2000-11-06 2002-12-24 Advanced Micro Devices, Inc. Seed layer with annealed region for integrated circuit interconnects
US6515373B2 (en) * 2000-12-28 2003-02-04 Infineon Technologies Ag Cu-pad/bonded/Cu-wire with self-passivating Cu-alloys
JP3954312B2 (ja) * 2001-01-15 2007-08-08 ローム株式会社 半導体装置の製造方法
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6677679B1 (en) * 2001-02-06 2004-01-13 Advanced Micro Devices, Inc. Use of SiO2/Sin for preventing copper contamination of low-k dielectric layers
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
US6727593B2 (en) * 2001-03-01 2004-04-27 Kabushiki Kaisha Toshiba Semiconductor device with improved bonding
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US6448177B1 (en) * 2001-03-27 2002-09-10 Intle Corporation Method of making a semiconductor device having a dual damascene interconnect spaced from a support structure
JP2002324797A (ja) * 2001-04-24 2002-11-08 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
US6391777B1 (en) * 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6426293B1 (en) * 2001-06-01 2002-07-30 Advanced Micro Devices, Inc. Minimizing resistance and electromigration of interconnect by adjusting anneal temperature and amount of seed layer dopant
US6485989B1 (en) 2001-08-30 2002-11-26 Micron Technology, Inc. MRAM sense layer isolation
JP2003068740A (ja) * 2001-08-30 2003-03-07 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP4711624B2 (ja) * 2001-10-26 2011-06-29 アプライド マテリアルズ インコーポレイテッド 銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
US7932603B2 (en) 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
US7096581B2 (en) * 2002-03-06 2006-08-29 Stmicroelectronics, Inc. Method for providing a redistribution metal layer in an integrated circuit
US6656836B1 (en) * 2002-03-18 2003-12-02 Advanced Micro Devices, Inc. Method of performing a two stage anneal in the formation of an alloy interconnect
TWI300971B (en) * 2002-04-12 2008-09-11 Hitachi Ltd Semiconductor device
US6783995B2 (en) * 2002-04-30 2004-08-31 Micron Technology, Inc. Protective layers for MRAM devices
CN1462069A (zh) * 2002-05-31 2003-12-17 松下电器产业株式会社 布线结构的形成方法
CN1248304C (zh) * 2002-06-13 2006-03-29 松下电器产业株式会社 布线结构的形成方法
JP4605995B2 (ja) * 2002-06-13 2011-01-05 パナソニック株式会社 配線構造の形成方法
JP4555540B2 (ja) 2002-07-08 2010-10-06 ルネサスエレクトロニクス株式会社 半導体装置
KR100434511B1 (ko) * 2002-08-12 2004-06-05 삼성전자주식회사 다마신 배선을 이용한 반도체 소자의 제조방법
US6864581B1 (en) 2002-08-15 2005-03-08 National Semiconductor Corporation Etched metal trace with reduced RF impendance resulting from the skin effect
US6740956B1 (en) 2002-08-15 2004-05-25 National Semiconductor Corporation Metal trace with reduced RF impedance resulting from the skin effect
US6853079B1 (en) 2002-08-15 2005-02-08 National Semiconductor Corporation Conductive trace with reduced RF impedance resulting from the skin effect
US6703710B1 (en) * 2002-08-15 2004-03-09 National Semiconductor Corporation Dual damascene metal trace with reduced RF impedance resulting from the skin effect
US6830971B2 (en) * 2002-11-02 2004-12-14 Chartered Semiconductor Manufacturing Ltd High K artificial lattices for capacitor applications to use in CU or AL BEOL
US6674168B1 (en) * 2003-01-21 2004-01-06 International Business Machines Corporation Single and multilevel rework
JP2004304167A (ja) 2003-03-20 2004-10-28 Advanced Lcd Technologies Development Center Co Ltd 配線、表示装置及び、これらの形成方法
EP1610376B1 (en) * 2003-03-28 2014-10-15 Fujitsu Semiconductor Limited Semiconductor device
US7675174B2 (en) * 2003-05-13 2010-03-09 Stmicroelectronics, Inc. Method and structure of a thick metal layer using multiple deposition chambers
US7112454B2 (en) * 2003-10-14 2006-09-26 Micron Technology, Inc. System and method for reducing shorting in memory cells
US7009280B2 (en) * 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)
JP2005019979A (ja) * 2004-05-31 2005-01-20 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
TWI331370B (en) * 2004-06-18 2010-10-01 Megica Corp Connection between two circuitry components
US20060001170A1 (en) * 2004-07-01 2006-01-05 Fan Zhang Conductive compound cap layer
US7157378B2 (en) * 2004-07-06 2007-01-02 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7122458B2 (en) * 2004-07-22 2006-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating pad redistribution layer
TWI240977B (en) * 2004-07-23 2005-10-01 Advanced Semiconductor Eng Structure and formation method for conductive bump
US20060178007A1 (en) * 2005-02-04 2006-08-10 Hiroki Nakamura Method of forming copper wiring layer
US7422979B2 (en) * 2005-03-11 2008-09-09 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
EP1970947B1 (en) * 2005-12-02 2016-08-24 Ulvac, Inc. METHOD FOR FORMING Cu FILM
US7635643B2 (en) * 2006-04-26 2009-12-22 International Business Machines Corporation Method for forming C4 connections on integrated circuit chips and the resulting devices
US8592977B2 (en) * 2006-06-28 2013-11-26 Megit Acquisition Corp. Integrated circuit (IC) chip and method for fabricating the same
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
JP4506767B2 (ja) * 2007-02-28 2010-07-21 カシオ計算機株式会社 半導体装置の製造方法
CN101874296B (zh) 2007-09-28 2015-08-26 泰塞拉公司 利用成对凸柱进行倒装芯片互连
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
CN101630667A (zh) 2008-07-15 2010-01-20 中芯国际集成电路制造(上海)有限公司 形成具有铜互连的导电凸块的方法和系统
US20100044860A1 (en) * 2008-08-21 2010-02-25 Tessera Interconnect Materials, Inc. Microelectronic substrate or element having conductive pads and metal posts joined thereto using bond layer
US20100155949A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Low cost process flow for fabrication of metal capping layer over copper interconnects
US20100212017A1 (en) * 2009-02-18 2010-08-19 International Business Machines Corporation System and method for efficient trust preservation in data stores
JP2011009439A (ja) * 2009-06-25 2011-01-13 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
US8610283B2 (en) 2009-10-05 2013-12-17 International Business Machines Corporation Semiconductor device having a copper plug
US8580607B2 (en) 2010-07-27 2013-11-12 Tessera, Inc. Microelectronic packages with nanoparticle joining
US8853558B2 (en) 2010-12-10 2014-10-07 Tessera, Inc. Interconnect structure
CN102903666B (zh) * 2011-07-25 2015-04-01 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US8722530B2 (en) 2011-07-28 2014-05-13 Freescale Semiconductor, Inc. Method of making a die with recessed aluminum die pads
US8659173B1 (en) 2013-01-04 2014-02-25 International Business Machines Corporation Isolated wire structures with reduced stress, methods of manufacturing and design structures
US9136166B2 (en) * 2013-03-08 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of making same
CN103700648B (zh) * 2013-12-18 2016-09-07 无锡中微晶园电子有限公司 用于高温电路的金属互连结构及制备方法
JP6424610B2 (ja) * 2014-04-23 2018-11-21 ソニー株式会社 半導体装置、および製造方法
EP3034655A1 (en) * 2014-12-19 2016-06-22 ATOTECH Deutschland GmbH Trench pattern wet chemical copper metal filling using a hard mask structure
US9633971B2 (en) 2015-07-10 2017-04-25 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
TW202414634A (zh) 2016-10-27 2024-04-01 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
KR102420586B1 (ko) * 2017-07-24 2022-07-13 삼성전자주식회사 반도체 장치, 반도체 패키지 및 반도체 패키지의 제조 방법
US10818627B2 (en) * 2017-08-29 2020-10-27 Advanced Semiconductor Engineering, Inc. Electronic component including a conductive pillar and method of manufacturing the same
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
KR20220056309A (ko) * 2020-10-27 2022-05-06 삼성전자주식회사 반도체 패키지

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5169680A (en) 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
JPH02143429A (ja) 1988-11-24 1990-06-01 Toshiba Corp 半導体装置及びその製造方法
GB2233820A (en) 1989-06-26 1991-01-16 Philips Nv Providing an electrode on a semiconductor device
US5243222A (en) 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5747360A (en) 1993-09-17 1998-05-05 Applied Materials, Inc. Method of metalizing a semiconductor wafer
US5622608A (en) 1994-05-05 1997-04-22 Research Foundation Of State University Of New York Process of making oxidation resistant high conductivity copper layers
JP3391933B2 (ja) 1995-04-27 2003-03-31 沖電気工業株式会社 半導体素子とその製造方法
JPH0964034A (ja) 1995-08-18 1997-03-07 Toshiba Corp 半導体装置およびその製造方法
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US5933758A (en) * 1997-05-12 1999-08-03 Motorola, Inc. Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100420611B1 (ko) * 2000-12-18 2004-03-02 인터내셔널 비지네스 머신즈 코포레이션 Ti를 포함하는 라이너를 갖는 상호접속부
KR101288790B1 (ko) * 2005-09-27 2013-07-29 에이저 시스템즈 엘엘시 플립 칩 반도체 디바이스들을 위한 솔더 범프 구조 및 이의제조 방법
WO2013048685A1 (en) * 2011-09-28 2013-04-04 Micron Technology, Inc. Methods of forming through-substrate vias
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
US8835311B2 (en) 2011-10-28 2014-09-16 Applied Materials, Inc. High temperature tungsten metallization process
KR20210039877A (ko) 2019-10-02 2021-04-12 심재훈 릴 낚시대를 이용한 스풀낚시

Also Published As

Publication number Publication date
TW504753B (en) 2002-10-01
JP2000049229A (ja) 2000-02-18
KR100647995B1 (ko) 2006-11-23
CN1244037A (zh) 2000-02-09
US6218302B1 (en) 2001-04-17
JP3588275B2 (ja) 2004-11-10
CN1156903C (zh) 2004-07-07

Similar Documents

Publication Publication Date Title
KR100647995B1 (ko) 반도체 디바이스 형성 방법
US6972254B1 (en) Manufacturing a conformal atomic liner layer in an integrated circuit interconnect
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6787460B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses and conductive contacts so formed
US6468906B1 (en) Passivation of copper interconnect surfaces with a passivating metal layer
US7211512B1 (en) Selective electroless-plated copper metallization
US6989604B1 (en) Conformal barrier liner in an integrated circuit interconnect
US7790617B2 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US6893955B1 (en) Manufacturing seedless barrier layers in integrated circuits
WO2021156688A1 (en) Interconnect structures with cobalt-infused ruthenium liner and a cobalt cap
US6406996B1 (en) Sub-cap and method of manufacture therefor in integrated circuit capping layers
US6465867B1 (en) Amorphous and gradated barrier layer for integrated circuit interconnects
US8404577B2 (en) Semiconductor device having a grain orientation layer
US6642145B1 (en) Method of manufacturing an integrated circuit with a dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
US6518648B1 (en) Superconductor barrier layer for integrated circuit interconnects
US6583051B2 (en) Method of manufacturing an amorphized barrier layer for integrated circuit interconnects
US6479898B1 (en) Dielectric treatment in integrated circuit interconnects
JP2005038999A (ja) 半導体装置の製造方法
US6649511B1 (en) Method of manufacturing a seed layer with annealed region for integrated circuit interconnects
US6445070B1 (en) Coherent carbide diffusion barrier for integrated circuit interconnects
US6756303B1 (en) Diffusion barrier and method for its production
US6462417B1 (en) Coherent alloy diffusion barrier for integrated circuit interconnects
US6501177B1 (en) Atomic layer barrier layer for integrated circuit interconnects

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121025

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131025

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141028

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151027

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee