FR2856518A1 - Procede de fabrication d'un dispositif a semiconducteur comportant une structure d'interconnexion - Google Patents

Procede de fabrication d'un dispositif a semiconducteur comportant une structure d'interconnexion Download PDF

Info

Publication number
FR2856518A1
FR2856518A1 FR0406669A FR0406669A FR2856518A1 FR 2856518 A1 FR2856518 A1 FR 2856518A1 FR 0406669 A FR0406669 A FR 0406669A FR 0406669 A FR0406669 A FR 0406669A FR 2856518 A1 FR2856518 A1 FR 2856518A1
Authority
FR
France
Prior art keywords
insulating film
semiconductor device
film
manufacturing
porous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR0406669A
Other languages
English (en)
Other versions
FR2856518B1 (fr
Inventor
Nobuyuki Ohtsuka
Akira Furuya
Shinichi Ogawa
Hiroshi Okamura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Leading Edge Technologies Inc
Original Assignee
Semiconductor Leading Edge Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Leading Edge Technologies Inc filed Critical Semiconductor Leading Edge Technologies Inc
Publication of FR2856518A1 publication Critical patent/FR2856518A1/fr
Application granted granted Critical
Publication of FR2856518B1 publication Critical patent/FR2856518B1/fr
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Dans un procédé pour fabriquer un dispositif à semiconducteur, on forme (S2) une pellicule isolante ayant des pores, disposée sur un substrat, et on forme (S4) une ouverture dans la pellicule isolante. Ensuite, on fournit (S8) à la pellicule isolante un gaz de source de matière introduisant Si ou C. Des éléments tels que Si ou C qui sont en quantité insuffisante dans certaines régions, à cause d'un traitement antérieur, sont ainsi incorporés dans la pellicule isolante. Ensuite, on remplit (S14) avec un élément conducteur au moins l'ouverture, avec interposition d'un métal de barrière, pour former une structure d'interconnexion.

Description

PROCEDE DE FABRICATION D'UN DISPOSITIF A SEMICONDUCTEUR
La présente invention concerne un procédé de fabrication d'un dispositif à semiconducteur. La présente invention concerne de façon plus spécifique un procédé pour fabriquer un dispositif à semiconducteur in5 cluant une étape de formation d'une structure d'interconnexion sur un substrat.
Conjointement à l'intégration et la miniaturisation plus poussées de dispositifs à semiconducteur, la réduction du retard RC a été particulièrement recherchée. Pour faire face à ce problème, on considère l'utili10 sation d'un matériau ayant une faible résistivité pour le matériau employé pour des interconnexions, et d'un matériau à faible k, ayant une faible constante diélectrique, pour le matériau employé pour des pellicules isolantes.
En ce qui concerne des matériaux ayant une faible résistivité, 15 on examine actuellement l'application de Cu ou d'alliages de Cu. Du fait que Cu a une résistivité inférieure d'environ 35% à celle d'AI, qui a été utilisé en tant que matériau pour des interconnexions, et a une résistance à lélectromigration élevée, on prévoit d'utiliser Cu en tant que matériau pour des interconnexions à haute fiabilité dans des dispositifs à semi20 conducteur plus fortement intégrés.
La micro-fabrication de Cu pour produire des motifs d'interconnexion est difficile en employant une technique de gravure telle que la gravure ionique réactive (RIE pour "Reactive Ion Etching"), qui a été utilisée dans la formation d'interconnexions en AI classiques, et autres. Par 25 conséquent, on utilise à titre de procédé pour former des interconnexions en Cu un procédé de damasquinage, dans lequel on remplit de Cu une ouverture formée dans une pellicule de base, et par dessus tout, le procédé de damasquinage double pour former simultanément des interconnexions et des parties de trous de passage, s'est imposé.
Lorsque des interconnexions et des trous de passage sont formés en utilisant le procédé de damasquinage double, de façon spécifique, le processus commence par la formation d'un métal de barrière tel que 5 TaN sur un substrat de base ayant une ouverture. Ensuite, une pellicule germe de Cu est formée comme une couche germe pour le revêtement électrolytique, et des trous de passage sont remplis par du Cu en utilisant le revêtement électrolytique. Ensuite, la surface est aplanie en utilisant le polissage mécano-chimique ou CMP ("Chemical Mechanical Polishing"). 10 Des interconnexions en Cu et des cheminées de passage consistant en Cu sont ainsi formées simultanément.
L'utilisation du métal de barrière a pour but d'empêcher la diffusion de Cu dans la pellicule isolante.
D'autre part, en ce qui concerne une pellicule isolante à faible 15 constante diélectrique (faible k), on a étudié une pellicule isolante ayant une constante diélectrique spécifique, k, inférieure à 3,0. Les exemples de matériaux pour de telles pellicules isolantes à faible k comprennent les suivants: polysiloxane, HSQ (silsesquioxane hydrogéné), polyméthylsiloxane, et MSQ (méthylsilsesquioxane). Parmi ceux-ci, le polyméthylsi20 loxane, MSQ, et les substances semblables, qui ont une résistance élevée à la chaleur et au traitement, sont largement utilisés.
On étudie également l'utilisation d'une pellicule isolante poreuse ayant une constante diélectrique spécifique inférieure à environ 2,5. La pellicule isolante poreuse a des pores d'un diamètre de plusieurs dixiè25 mes de nanomètres à plusieurs nanomètres dans une pellicule à faible k.
Cependant, du fait qu'une pellicule isolante poreuse a des pores dans la pellicule, elle a une densité inférieure à celle de pellicules isolantes ordinaires. Par conséquent, la pellicule isolante poreuse est plus susceptible d'être endommagée que des pellicules isolantes ordinaires, du 30 fait que des particules telles que du plasma ou des détergents pénètrent profondément à l'intérieur de la pellicule dans les étapes de gravure et d'incinération qui suivent, pour former les ouvertures ou pour traiter des interconnexions. Si un métal de barrière ou une interconnexion en Cu est formé sur une telle pellicule isolante poreuse endommagée, les propriétés 35 de barrière sont diminuées, et la diffusion de Cu est augmentée. On considère que la diffusion de Cu conduit à la dégradation des performances du dispositif à semiconducteur.
Le but de la présente invention est donc de procurer un procédé perfectionné pour fabriquer un dispositif à semiconducteur qui puisse ré5 soudre les problèmes ci-dessus. Particulièrement, la présente invention procure une méthode pour empêcher la diffusion de matériaux d'interconnexion qui est due à l'endommagement pendant le traitement de la pellicule isolante lorsqu'une pellicule isolante ayant des pores est utilisée en tant que pellicule isolante et lorsque la structure d'interconnexion est for10 mée, et pour fabriquer un dispositif à semiconducteur ayant une fiabilité élevée.
Selon un aspect de la présente invention, dans un procédé pour fabriquer un dispositif à semiconducteur, une pellicule isolante ayant des pores est formée sur un substrat, et une ouverture est formée dans cette 15 pellicule isolante. Un gaz de source de matière pour introduire Si ou C dans la pellicule isolante, est introduit dans la pellicule isolante. Un élément conducteur remplit ensuite au moins ladite ouverture.
D'autres caractéristiques et avantages de l'invention seront mieux compris à la lecture de la description qui va suivre de modes de 20 réalisation, donnés à titre d'exemples non limitatifs. La suite de la description se réfère aux dessins annexés, dans lesquels: La figure 1 est une coupe schématique pour illustrer la structure d'interconnexion dans le premier mode de réalisation de la présente invention; La figure 2 est un organigramme pour illustrer un procédé pour former une structure d'interconnexion conforme au premier mode de réalisation de la présente invention; Les figures 3 à 6 sont des coupes schématiques illustrant chacune l'état dans chaque étape de la formation de la structure d'intercon30 nexion conforme au premier mode de réalisation de la présente invention; Les figures 7A à 7F et 8 sont des représentations graphiques pour illustrer les résultats de l'analyse par spectroscopie à diffusion d'énergie (EDS) d'un dispositif à semiconducteur dans le premier mode de réalisation, et d'un dispositif à semiconducteur classique; et La figure 9 est un organigramme pour illustrer un procédé pour former une structure d'interconnexion conforme au second mode de réalisation de la présente invention.
Les modes de réalisation sont décrits ci-dessous en référence aux figures. Dans les dessins, les éléments identiques ou semblables se5 ront désignés par les mêmes références numériques, et leur description sera omise ou simplifiée.
Premièrement, on décrira sommairement les modes de réalisation. La présente demande s'applique à ces modes de réalisation lorsque des cheminées, des interconnexions et autres sont formées dans une pel10 licule isolante poreuse.
De façon générale, lorsque des cheminées, des interconnexions et autres sont formées dans une pellicule isolante poreuse, premièrement, un masque de matière de réserve ou un masque dur est formé sur une pellicule isolante poreuse en utilisant une technique lithographique. En15 suite, une opération de gravure est effectuée en utilisant le masque de matière de réserve ou le masque dur pour former des ouvertures. Le masque est ensuite enlevé par incinération, de la manière exigée. Ensuite, des pellicules de métal de barrière, des pellicules de métal et autres, sont formées dans les ouvertures formées dans la pellicule isolante 20 poreuse.
Comme décrit ci-dessus, du fait que la pellicule isolante poreuse contient des pores, elle a une densité inférieure à celle d'une pellicule isolante ordinaire. Par conséquent, la pellicule isolante poreuse est plus susceptible d'être endommagée, du fait que des particules de plasma 25 ou autres, ou un détergent, s'infiltrent aisément et profondément dans la pellicule pendant des étapes telles que la gravure et l'incinération dans la formation d'ouvertures ou d'interconnexions. Par exemple, I'analyse par Spectroscopie de rayons X à Dispersion d'Energie ou EDS ("Energy Dispersive x-ray Spectroscopy"), de la couche endommagée dans une pelli30 cule de MSQ poreuse a révélé que la teneur en Si ou C dans la couche était diminuée. Si un métal de barrière ou une interconnexion en Cu est formé sur une telle pellicule isolante poreuse endommagée, la zone dans laquelle la teneur en Si ou autres a diminué présente une possibilité particulièrement élevée de diminution des caractéristiques de barrière et 35 d'augmentation de la diffusion de Cu.
ristiques de barrière et d'augmentation de la diffusion de Cu.
Par conséquent, dans la présente invention, la pellicule isolante poreuse est restaurée à partir de son état endommagé, en fournissant Si ou C à sa zone endommagée. Dans le procédé de restauration réel, après 5 la formation d'ouvertures dans la pellicule isolante poreuse, on introduit un gaz de source de matière capable de rétablir la teneur en Si ou C dans la pellicule isolante poreuse. Bien que des procédés spécifiques soient décrits ci-dessous, on considère divers procédés d'introduction, comme un procédé dans lequel SiH4 est introduit dans un appareil de 10 formation de pellicule après que la pellicule isolante poreuse a été formée; et un procédé dans lequel un plasma est rayonné simultanément à l'introduction de SiH4.
Premier Mode de Réalisation La figure 1 est une coupe schématique pour illustrer la struc15 ture d'interconnexion dans le premier mode de réalisation de la présente invention.
Comme le montre la figure 1, des interconnexions en Cu 4 sont formées dans le substrat 2 avec interposition de métaux de barrière 3.
Une pellicule de MSQ poreuse 6 est formée sur la surface du substrat 2. 20 La pellicule de MSQ poreuse 6 est une pellicule isolante à faible constante diélectrique (faible k) ayant des pores et consistant en Si, C, O ou H. La constante diélectrique de la pellicule de MSQ poreuse 6 est d'environ 2,5. Les pourcentages des éléments constitutifs sont: environ 30% de Si, environ 50% de O, environ 15% de C, et le reste en H. Les pores 25 dans la pellicule de MSQ poreuse 6 occupent environ 30% du volume de la pellicule de MSQ poreuse 6.
Des trous de passage 10 traversant la pellicule de MSQ poreuse 6 sont formés sur les interconnexions en Cu 4. Des tranchées 12 traversant la pellicule de MSQ poreuse 6, ayant une largeur supérieure 30 au diamètre de trous de passage 10, sont formées sur les trous de passage 10. Les trous de passage 10 sont des ouvertures pour former des parties de cheminées de passage dans le premier mode de réalisation, et les tranchées 12 sont des ouvertures pour former des parties d'interconnexions en métal dans ce mode de réalisation.
Des pellicules de TaN 14 sont formées sur les parties intérieu- res d'ouvertures consistant en trous de passage 10 et en tranchées 12. Les pellicules de TaN 14 sont des pellicules de métal de barrière qui ont pour fonction d'empêcher une diffusion de Cu et ont une épaisseur de 1 à 20 nm.
Des pellicules de germe de Cu 16 sont formées sur les surfaces des pellicules de TaN 14. L'épaisseur des pellicules de germe de Cu 16 est de 10 à 100 nm. On remplit de Cu 18 sur les pellicules de germe de Cu 16.
Dans la structure d'interconnexion du premier mode de réalisa10 tion, des cheminées de passage sont formées dans les trous de passage 10, et des interconnexions sont formées dans les tranchées 12. Les interconnexions sont connectées par l'intermédiaire des cheminées de passage à des interconnexions en Cu 4 formées dans le substrat 2. Bien que le Cu dans les cheminées de passage soit connecté aux interconnexions 15 en Cu 4 à travers les pellicules de TaN 14, le Cu dans les cheminées de passage peut être directement connecté aux interconnexions en Cu 4 en enlevant les pellicules de TaN 14 au fond du trou de passage 10.
Comme décrit ci-dessus, les teneurs en Si, O et C sont respectivement d'environ 30%, environ 50% et environ 15%, et H représente le 20 reste. Ces teneurs restent pratiquement constantes également dans les surfaces de paroi des trous de passage et des tranchées 12, qui sont les voisinages de la frontière entre les pellicules de MSQ poreuses 6 et la pellicule de TaN 14.
La figure 2 est un organigramme pour illustrer un procédé pour 25 former une structure d'interconnexion conforme au premier mode de réalisation. Les figures 3 à 6 sont des coupes schématiques illustrant chacune l'état à chaque étape dans la formation de la structure d'interconnexion.
On décrira ci-dessous en référence aux figures 1 à 6 le procédé 30 pour former une structure d'interconnexion conforme au premier mode de réalisation.
Premièrement, comme le montre la figure 3, on forme une pellicule de MSQ poreuse 6 sur un substrat 2 dans lequel des interconnexions en Cu 4 sont formées (Etape S2). On utilise ici un procédé de dépôt chi35 mique en phase vapeur ou CVD ("Chemical Vapor Deposition"). Ensuite, des tranchées 12 ayant une largeur prédéterminée, en utilisant un masque de matière de réserve. Ensuite, on forme un trou de passage 10 en utilisant le masque de matière de réserve, de façon à atteindre I'interconnexion en Cu 4 sur le substrat 2.
La pellicule de MSQ poreuse 6 est ici dans l'état dans lequel la surface, en particulier, est endommagée dans l'étape de gravure pour former des ouvertures, et la partie endommagée contient du Si en quantité insuffisante.
Ensuite, le substrat dans cet état est déposé sur un suscepteur 10 chauffé à 300 C dans un appareil de formation de pellicule, et est maintenu pendant environ 60 secondes (Etape S6). De cette manière, la température du substrat est également maintenue à 300 C.
Ensuite, dans cet appareil, du SiH4 est fourni au substrat pendant environ 10 secondes (Etape S8). Du Si est ainsi fourni et réincorporé 15 dans la partie contenant du Si en quantité insuffisante, près des surfaces de la pellicule de MSQ poreuse 6.
Ensuite, comme le montre la figure 4, une pellicule de TaN 14 est formée (Etape S10). La pellicule de TaN 14 a ici une épaisseur de 1 à 20 nm et est formée en utilisant un procédé de pulvérisation cathodique, 20 ou un procédé de CVD tel que le dépôt de couches atomiques ou ALD ("Atomic Layer Deposition") le long de l'intérieur des trous de passage 10 et des tranchées 12, et des surfaces supérieures des pellicules de MSQ poreuses 6.
Ensuite, comme le montre la figure 5, une pellicule de germe de 25 Cu 16 est formée (Etape S12). La pellicule de germe de Cu 16 d'une épaisseur de 10 à 100 nm est formée ici en utilisant un procédé de pulvérisation cathodique, le long des surfaces de la pellicule de TaN 14.
Ensuite, I'intérieur des trous de passage 10 et des tranchées 12 est rempli par du Cu 18 (Etape S14). Ici, on remplit de Cu 18 par un pro30 cédé de revêtement électrolytique utilisant en tant que couche de germe la pellicule de germe de Cu 16. De cette manière, comme le montre la figure 6, on remplit de Cu 18 les trous de passage 10 et les tranchées 12 sur la pellicule de germe de Cu 16 dans et, en outre, le Cu 18 est également déposé sur la pellicule de germe de Cu 16 sur la surface de la pelli35 cule de MSQ poreuse 6.
Ensuite, un aplanissement est effectué en utilisant le polissage mécanochimique ou CMP ("Chemical Mechanical Polishing") (Etape S16).
Cet aplanissement est effectué jusqu'à ce que la surface de la pellicule de MSQ poreuse 6 soit à nu, et la structure d'interconnexion d'un disposi5 tif à semiconducteur est ainsi formée comme le montre la figure 1.
On a effectué l'analyse par EDS de la pellicule de MSQ poreuse 6 dans la structure d'interconnexion ainsi formée.
Les figures 7A à 7F et 8 sont des représentations graphiques pour illustrer les résultats de l'analyse par EDS d'un dispositif à semi10 conducteur dans le premier mode de réalisation, et d'un dispositif à semiconducteur classique. De façon spécifique, les figures 7A à 7F montrent schématiquement les résultats de l'analyse d'éléments contenus au voisinage de la zone indiquée par "a" sur la figure 5, et de la zone correspondante d'un dispositif à semiconducteur classique; les figures 7A et 7B 15 montrent respectivement les distributions de Si et Ta; les figures 7C et 7D montrent la distribution de Ta et les figures 7E et 7F montre la distribution de Cu. Les figures 7A, 7C et 7E montrent celles du dispositif à semiconducteur du premier mode de réalisation; et les figures 7B, 7D et 7F montrent celles du dispositif à semiconducteur classique. Les numéros 6, 20 14 et 16 sur les figures 7A, 7C et 7E correspondent respectivement à la pellicule de MSQ poreuse 6 à la pellicule de TaN 14 et à la pellicule de germe de Cu 16 du premier mode de réalisation. Les numéros 106, 114 et 116 sur les figures 7B, 7D et 7F correspondent respectivement à la pellicule de MSQ poreuse, à la pellicule de TaN et à la pellicule de germe de 25 Cu du dispositif à semiconducteur classique. Les lignes en pointillés sur les figures 7A à 7F montrent les frontières entre ces pellicules, lorsque c'est nécessaire.
La figure 8 est une représentation graphique pour illustrer la quantité de chaque élément constitutif contenu au voisinage de la zone 30 indiquée par "a" sur la figure 5; I'abscisse montre la position latérale (nm) sur la figure 5 lorsqu'on prend pour origine "O" la frontière entre la pellicule de MSQ poreuse 6 et la pellicule de TaN 14, et l'ordonnée montre la quantité (pourcentage atomique) de chaque élément. Les figures 7A à 7F et 8 montrent les résultats de l'analyse EDS dans l'état dans lequel la pel35 licule de TaN 14 et la pellicule de germe de Cu 16 sont formées, comme à la figure 5. Cependant la figure 8 n'indique pas la partie de la pellicule de germe de Cu 16.
Comme le montre la flèche b sur la figure 7B, dans le dispositif à semiconducteur classique, il y a une zone de déficience en Si, B, entre 5 la pellicule de MSQ poreuse 106 et la pellicule de TaN 114. De plus, comme le montre la flèche c sur la figure 7F, il y a une zone de diffusion de Cu, C. La zone de diffusion de Cu, C, correspond approximativement à la zone de déficience en Si, B et à la région de la pellicule de TaN 114 dans la figure 7B. Par conséquent, il apparaît que la diffusion de Cu se 10 produit dans la zone de déficience en Si B et dans la région de la pellicule de TaN 114.
D'autre part, comme le montrent la figure 7A et la figure 8, aucune diminution de la quantité de Si dans la pellicule de MSQ poreuse 6 n'est trouvée à la frontière entre la pellicule de MSQ poreuse 6 et la pelli15 cule de TaN 14. Par conséquent, comme les figures 7C, 7E et la figure 8 le montrent, la diffusion de Ta et de Cu dans la pellicule de MSQ poreuse 6 est empêchée. De plus, comme le montre la figure 7A, la teneur en Si est pratiquement constante depuis le voisinage de la frontière jusqu'à l'intérieur de la pellicule de MSQ poreuse 6.
Conformément au premier mode de réalisation, comme décrit cidessus, du SiH4 est fourni à la pellicule de MSQ poreuse 6 avant la formation de la pellicule de TaN 14. De cette manière, une quantité suffisante de Si peut être fournie à la pellicule de MSQ poreuse 6. Par conséquent, le Si est suffisamment réincorporé dans la partie de la pellicule de 25 MSQ poreuse 6 déficiente en Si, endommagée à cause de la gravure, etc. Il en résulte que la diffusion de Ta et Cu susceptible de se produire dans la partie déficiente en Si peut être empêchée, et un dispositif à semiconducteur ayant une fiabilité élevée peut être obtenu.
Bien que le premier mode de réalisation ait été décrit en utili30 sant une pellicule de MSQ poreuse, la pellicule d'isolation de la présente invention n'est pas limitée à des pellicules de MSQ poreuses. La présente invention peut être largement appliquée à la restauration de pellicules à faible constante diélectrique endommagées, ayant des pores.
Dans le premier mode de réalisation, on a décrit le cas dans le35 quel des pores dans la pellicule de MSQ poreuse 6 occupaient environ 30% du volume de la pellicule de MSQ poreuse 6, et la constante diélectrique de la pellicule de MSQ poreuse 6 était de 2,5. Ceci vient du fait que l'application de la présente invention est très efficace puisque la pellicule isolante est faible et est aisément endommagée, en particulier, lorsque 5 des pores sont contenus dans une proportion supérieure à environ 30%, ou lorsque la constante diélectrique est de 2,5 ou moins. La présente invention n'est cependant pas limitée à cette proportion et cette constante diélectrique, et on peut utiliser d'autres valeurs.
De plus, dans le premier mode de réalisation, on a décrit le cas 10 dans lequel les teneurs en Si, O et C dans la pellicule de MSQ poreuse 6 étaient respectivement d'environ 30%, environ 50% et environ 15%, et le reste consistait en hydrogène. Ceci est un exemple de la proportion de chaque élément dans une pellicule isolante poreuse ayant de bonnes caractéristiques de pellicule, lorsqu'elle est utilisée comme la pellicule iso15 lante d'un dispositif à semiconducteur. Du fait que la proportion de Si diminuée pendant les étapes pour former des ouvertures et autres est compensée en appliquant la présente invention, la pellicule de MSQ poreuse 6 dans le dispositif à semiconducteur de la présente demande peut conserver cette proportion, et peut conserver de bonnes caractéristiques de pel20 licule. Cette proportion ne limite cependant pas la constitution de la pellicule isolante dans la présente invention.
On a décrit le premier mode de réalisation pour le cas dans lequel une structure d'interconnexion est formée en connectant des interconnexions en Cu, 4, formées dans le substrat, aux interconnexions en Cu 25 formées dans la pellicule de MSQ poreuse 6, par l'intermédiaire de cheminées de passage. La présente invention n'est pas cependant pas limitée à la formation d'une structure d'interconnexion ayant des cheminées de passage, et on peut également former une structure d'interconnexion ayant des cheminées de prise de contact, ou autres.
On a également décrit le premier mode de réalisation pour le cas dans lequel une structure d'interconnexion en Cu est formée par un procédé de damasquinage double utilisant Cu comme un matériau d'interconnexion. Bien que le procédé de la présente invention soit particulièrement efficace pour des interconnexions en Cu, du fait que Cu est capable 35 de diffuser, la présente invention peut également être appliquée à la for- mation de structures d'interconnexion utilisant d'autres matériaux. Même lorsqu'on utilise Cu, le procédé pour former la structure d'interconnexion n'est pas limité au procédé de damasquinage double, et on peut également utiliser d'autres procédés tels qu'un procédé de damasquinage simple.
A titre d'exemple, on va décrire de façon spécifique le cas dans lequel le procédé de la présente invention est appliqué au procédé utilisant un procédé de damasquinage simple. Premièrement, après avoir formé une pellicule isolante telle qu'une pellicule de MSQ poreuse de la première couche, ou de type semblable, on forme des trous (ou trous de 10 prise de contact) pour des cheminées de passage (ou cheminées de prise de contact). Ici, dans le but de restaurer le MSQ poreux qui est endommagé pendant la formation des trous à l'intérieur, on place le substrat dans l'appareil de formation de pellicule, et on l'expose au gaz SiH4 pendant environ 10 secondes, comme expliqué dans le premier mode de ré15 alisation. Ensuite, on dépose un métal de barrière, Cu, ou autre, dans les trous de prise de contact, et on effectue un polissage par CMP. En outre, après la formation d'une pellicule de MSQ poreuse de la seconde couche, on forme une tranchée pour une interconnexion. A nouveau dans le but de restaurer le MSQ poreux endommagé, on expose la structure multi cou20 ches ayant une seconde couche au gaz SiH4 pendant environ 10 secondes dans l'appareil de formation de pellicule. En procédant ainsi, le procédé pour restaurer du MSQ poreux endommagé, conforme à la présente demande, peut être appliqué au procédé de damasquinage simple.
L'application de ce procédé n'est pas limitée au procédé de damasqui25 nage simple et au procédé de damasquinage double, mais peut être utilisée largement comme le moyen pour restaurer la pellicule isolante poreuse endommagée par gravure ou incinération.
On a également décrit le premier mode de réalisation pour le cas dans lequel du Si est fourni en utilisant SiH4, pour réincorporer le Si 30 manquant, du fait qu'il y a un manque de Si quand la pellicule de MSQ poreuse 6 est endommagée. Cependant, dans la présente invention, le gaz de source de matière fourni n'est pas limité à SiH4. Par exemple, on peut également utiliser une matière qui peut fournir Si-R (R représente H ou des groupes moléculaires constitués de CnHm), tel que SiHn, et autres. 35 L'utilisation de ces gaz peut également réincorporer le Si manquant.
Lorsqu'il y a un manque de C, au lieu de Si, on peut fournir CnHm, CH4, CnHmOH, CH3OH, CnHmCOOH, HCOOH, ou autres, pour réincorporer le C manquant. Par exemple, lorsqu'on fournit CH4, le CH4 peut être fourni pendant environ 30 secondes au lieu de fournir SiH4 (Etape S8) dans le premier mode de réalisation.
La présente invention n'est également pas limitée à la fourniture de l'un ou l'autre de Si ou C, et un élément en quantité insuffisante peut être fourni en sélectionnant de façon appropriée une matière qui contient l'élément en quantité insuffisante, en fonction de celui-ci, pendant un 10 temps approprié à la matière. Par conséquent, à titre d'exemple, on peut fournir à la fois Si et C. Dans ce cas, il est préférable que l'un ou l'autre de Si ou C soit fourni en premier, ou que Si et C soient fournis simultanément.
Dans le premier mode de réalisation, on a également décrit le 15 cas dans lequel une pellicule de TaN 14 est utilisée comme un métal de barrière. Le métal de barrière dans la présente invention n'est cependant pas limité à celui-ci, et on peut également utiliser d'autres pellicules de métal de barrière telles qu'une pellicule de TiN. Bien que le métal de barrière soit formé ici dans le but d'empêcher une diffusion de Cu, la forma20 tion du métal de barrière tel que la pellicule de TaN 14 n'est pas exigée si on peut empêcher suffisamment ou si on peut négliger la diffusion de Cu ou d'autres matières conductrices remplissant les trous de passage 10, les tranchées 12, ou autres.
Seconde Mode de réalisation La figure 9 est un organigramme pour illustrer un procédé pour former une structure d'interconnexion conforme au second mode de réalisation de la présente invention.
La structure d'interconnexion formée dans le second mode de réalisation est identique à la structure d'interconnexion décrite dans le 30 premier mode de réalisation. Cependant, dans le second mode de réalisation, on décrira un procédé plus efficace pour former une structure d'interconnexion.
De façon spécifique, le procédé pour former une structure d'interconnexion décrit dans le second mode de réalisation ressemble au pro35 cédé décrit dans le premier mode de réalisation. Cependant, dans le se- cond mode de réalisation, on fournit du SiH4 tout en accomplissant une décharge plasma (Etape S20). Une décharge plasma est accomplie de façon spécifique en utilisant un appareil de CVD par plasma, et en même temps qu'on fournit duSiH4 dans l'appareil de CVD par plasma, on dé5 charge le plasma. Ici, en ce qui concerne les conditions pour la décharge plasma, la pression est d'environ 100 à 500 Pa, et le débit normalisé d'Ar est de 10 à 500 cm3/min.
D'autres étapes de pellicule sont identiques au procédé décrit dans le premier mode de réalisation.
Dans le second mode de réalisation, comme décrit ci-dessus, on effectue une décharge plasma pendant la fourniture de SiH4. De cette manière, le Si en quantité insuffisante dans la pellicule de MSQ poreuse 6 peut être plus efficacement complété dans la pellicule de MSQ poreuse 6.
Par conséquent, la diffusion de Cu et Ta dans la pellicule de MSQ po15 reuse 6 peut être plus effectivement empêchée.
Les conditions pour la décharge plasma décrites dans le second mode de réalisation ne limitent pas la présente invention. Cependant, lorsqu'on considère le but qui est de restaurer la pellicule de MSQ poreuse 6 endommagée, et lorsqu'on considère la prévention de l'endomma20 gement du substrat par le rayonnement plasma, les conditions correspondant à une pression d'environ 100 à 500 Pa et à un débit normalisé d'Ar de 10 à 500 cm3/min, sont préférables.
Du fait que d'autres étapes sont les mêmes que les étapes du premier mode de réalisation, leur description sera omise.
On a décrit la présente invention comme un procédé pour fabriquer un dispositif à semiconducteur. La présente invention peut cependant être transposée en une invention originale d'un dispositif à semiconducteur fabriqué en utilisant un tel procédé.
En d'autres termes, le dispositif à semiconducteur de la pré30 sente invention est un dispositif à semiconducteur fabriqué en utilisant le procédé décrit dans la présente invention. De façon spécifique, il s'agit d'un dispositif à semiconducteur comprenant un substrat, une pellicule isolante formée sur le substrat, contenant au 35 moins Si ou C, et ayant des pores, des ouvertures formées dans la pellicule isolante, et atteignant un emplacement prédéterminé du substrat, et un élément conducteur remplissant au moins les ouvertures; dans lequel la teneur en Si ou C au voisinage de la frontière entre l'élément conducteur et la pellicule isolante dans les ouvertures est pratiquement constante.
Dans les premier et second modes de réalisation, par exemple, la pellicule de MSQ poreuse 6 équivaut à la "pellicule isolante ayant des 10 pores" de la présente invention; le trou de passage 10 et les tranchées 12 équivalent aux "ouvertures" de la présente invention; et SiH4 équivaut au "gaz de source de matière introduisant Si ou C dans la pellicule isolante".
De plus, par exemple, la pellicule de TaN 14 équivaut au métal de barrière et Cu 18 équivaut à l'élément conducteur.
De plus, dans les premier et second modes de réalisation, par exemple, I'étape pour former la pellicule isolante et l'étape pour former les ouvertures de la présente invention sont accomplies en exécutant les Etapes S2 et S4; et l'étape d'introduction de la présente invention est accomplie en exécutant I'Etape S8. En outre, par exemple, l'étape pour for20 mer le métal de barrière de la présente invention est accomplie en exécutant l'Etape S10; et l'étape de remplissage de la présente invention est accomplie en exécutant les Etapes S12 et S14. Dans le second mode de réalisation, par exemple, I'étape d'introduction et l'étape pour rayonner un plasma sont accomplies simultanément en exécutant l'Etape S20.
Les caractéristiques et les avantages de la présente invention, décrits ci-dessus, peuvent être résumés de la façon suivante.
Selon un aspect de la présente invention, un gaz de source de matière introduisant Si ou C dans une pellicule isolante est fourni à la pellicule isolante après formation d'une ouverture dans celle-ci. De cette ma30 nière, I'endommagement de la pellicule isolante occasionné par la gravure ou autres peut être réparé, et des éléments en quantité insuffisante dans la pellicule isolante, tels que Si ou C, peuvent être complétés. Par conséquent, la diffusion de métaux à partir des interconnexions de couche supérieure, susceptible de se produire dans la partie contenant une quantité 35 insuffisante des éléments, peut être effectivement évitée. On peut ainsi former un dispositif à semiconducteur ayant de bonnes caractéristiques de dispositif.
Il va de soi que de nombreuses modifications peuvent être apportées au dispositif et au procédé décrits et représentés, sans sortir du cadre de l'invention.

Claims (12)

REVENDICATIONS
1. Procédé pour fabriquer un dispositif à semiconducteur, caractérisé en ce qu'il comprend les étapes suivantes: on forme (S2) une pellicule isolante (6) ayant des pores sur un substrat (2); on forme (S4) 5 une ouverture (10, 12) dans la pellicule isolante (6); on fournit (S8) un gaz de source de matière à la pellicule isolante (6), ce gaz de source de matière introduisant Si ou C dans la pellicule isolante (6); et on remplit (S14) avec un élément conducteur (18) au moins l'ouverture (10, 12).
2. Procédé pour fabriquer un dispositif à semiconducteur selon 10 la revendication 1, caractérisé en ce qu'il comprend en outre une étape de formation (S10) d'un métal de barrière (14) au moins sur la paroi intérieure de l'ouverture (10, 12), avant l'étape d'enterrement (S14).
3. Procédé pour fabriquer un dispositif à semiconducteur selon la revendication 1 ou 2, caractérisé en ce qu'il comprend en outre une 15 étape consistant à rayonner un plasma (S20) sur la pellicule isolante (6) avant l'étape de fourniture de gaz, ou en même temps que celle-ci.
4. Procédé pour fabriquer un dispositif à semiconducteur selon la revendication 3, caractérisé en ce que le plasma est rayonné dans la condition d'une pression d'environ 100 à 500 Pa.
5. Procédé pour fabriquer un dispositif à semiconducteur selon la revendication 3 ou 4, caractérisé en ce que le plasma est rayonné dans la condition d'un débit normalisé d'argon d'environ 10 à 500 cm3/min.
6. Procédé pour fabriquer un dispositif à semiconducteur selon 25 I'une quelconque des revendications 1 à 5, caractérisé en ce que l'élément conducteur (18) consiste en Cu ou un alliage de Cu.
7. Procédé pour fabriquer un dispositif à semiconducteur selon l'une quelconque des revendications 1 à 6, caractérisé en ce que la pellicule isolante (6) contient n'importe lequel des éléments Si, C et O.
8. Procédé pour fabriquer un dispositif à semiconducteur selon la revendication 7, caractérisé en ce que la pellicule isolante (6) contient environ 30% de Si, environ 50% d'O et environ 15% de C.
9. Procédé pour fabriquer un dispositif à semiconducteur selon 5 la revendication 7 ou 8, caractérisé en ce que la pellicule isolante (6) contient en outre H.
10. Procédé pour fabriquer un dispositif à semiconducteur selon l'une quelconque des revendications 1 à 9, caractérisé en ce que les pores occupent environ 30% ou plus du volume total de la pellicule isolante 10 (6).
11. Procédé pour fabriquer un dispositif à semiconducteur selon l'une quelconque des revendications 1 à 10, caractérisé en ce que la pellicule isolante (6) a une constante diélectrique d'environ 2,5 ou moins.
12. Procédé pour fabriquer un dispositif à semiconducteur selon 15 I'une quelconque des revendications 1 à 11, caractérisé en ce que le gaz de source de matière est l'un des suivants CnHm, CH4, Si-R (R représente H ou un groupe moléculaire constitué de CnHm), SiHn, SiH4, CnHmOH, CH3OH, CnHmCOOH ou HCOOH.
FR0406669A 2003-06-18 2004-06-18 Procede de fabrication d'un dispositif a semiconducteur comportant une structure d'interconnexion Expired - Fee Related FR2856518B1 (fr)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003173149 2003-06-18

Publications (2)

Publication Number Publication Date
FR2856518A1 true FR2856518A1 (fr) 2004-12-24
FR2856518B1 FR2856518B1 (fr) 2006-08-18

Family

ID=33487608

Family Applications (1)

Application Number Title Priority Date Filing Date
FR0406669A Expired - Fee Related FR2856518B1 (fr) 2003-06-18 2004-06-18 Procede de fabrication d'un dispositif a semiconducteur comportant une structure d'interconnexion

Country Status (4)

Country Link
US (1) US7199044B2 (fr)
KR (1) KR100709161B1 (fr)
FR (1) FR2856518B1 (fr)
TW (1) TWI257120B (fr)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618343B1 (ko) * 2004-10-28 2006-08-31 삼성전자주식회사 패키징 기판의 제조방법 및 이를 이용한 패키징 방법.
US7985677B2 (en) * 2004-11-30 2011-07-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7696625B2 (en) * 2004-11-30 2010-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP4630756B2 (ja) * 2005-08-05 2011-02-09 パナソニック株式会社 半導体装置及びその製造方法
JP4965830B2 (ja) * 2005-08-12 2012-07-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20070087856A (ko) * 2005-12-29 2007-08-29 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 및 그 형성 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001621A2 (fr) * 2000-06-23 2002-01-03 Honeywell International, Inc. Procede permettant de conferer des proprietes hydrophobes a des films dielectriques et a des materiaux endommages
US6410419B1 (en) * 1999-08-26 2002-06-25 Agere Systems Guardian Corp. Silicon carbide barrier layers for porous low dielectric constant materials

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US224589A (en) * 1880-02-17 Bee-hive
KR100198678B1 (ko) 1996-02-28 1999-06-15 구본준 금속 배선 구조 및 형성방법
JP4427840B2 (ja) 1999-09-17 2010-03-10 ソニー株式会社 半導体装置及びその製造方法
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
JP2002026121A (ja) 2000-06-30 2002-01-25 Tokyo Electron Ltd 半導体装置およびその製造方法、絶縁膜の形成方法
US6713874B1 (en) * 2001-03-27 2004-03-30 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
JP3924501B2 (ja) 2001-06-25 2007-06-06 Necエレクトロニクス株式会社 集積回路装置の製造方法
TW544855B (en) 2001-06-25 2003-08-01 Nec Electronics Corp Dual damascene circuit with upper wiring and interconnect line positioned in regions formed as two layers including organic polymer layer and low-permittivity layer
US6541842B2 (en) 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
JP4778660B2 (ja) * 2001-11-27 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
JP2003332426A (ja) * 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP2003338452A (ja) 2002-05-22 2003-11-28 Matsushita Electric Ind Co Ltd パターン形成方法
US6831003B1 (en) * 2002-05-31 2004-12-14 Advanced Micro Devices, Inc. Continuous barrier for interconnect structure formed in porous dielectric material with minimized electromigration
JP2004103971A (ja) 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US6787453B2 (en) * 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410419B1 (en) * 1999-08-26 2002-06-25 Agere Systems Guardian Corp. Silicon carbide barrier layers for porous low dielectric constant materials
WO2002001621A2 (fr) * 2000-06-23 2002-01-03 Honeywell International, Inc. Procede permettant de conferer des proprietes hydrophobes a des films dielectriques et a des materiaux endommages

Also Published As

Publication number Publication date
KR20040111084A (ko) 2004-12-31
FR2856518B1 (fr) 2006-08-18
US20040259381A1 (en) 2004-12-23
US7199044B2 (en) 2007-04-03
TW200501221A (en) 2005-01-01
TWI257120B (en) 2006-06-21
KR100709161B1 (ko) 2007-04-19

Similar Documents

Publication Publication Date Title
KR100516337B1 (ko) 반도체 디바이스 및 그 제조 방법
FR2679069A1 (fr) Dispositif a semiconducteur comportant une couche d'interconnexion et procede de fabrication de celui-ci.
EP0325808B1 (fr) Procédé pour établir une structure d'interconnexion électrique sur un dispositif semiconducteur au silicium
EP1970950B1 (fr) Procédé de fabrication d'une structure d'interconnexions à cavités d'air pour circuit intégré
FR2901406A1 (fr) Processus d'amelioration de la formation d'un couvercle de ligne en cuivre
FR2872628A1 (fr) Procede de fabrication d'un dispositif semiconducteur
FR2907259A1 (fr) Realisation d'une barriere metallique dans un circuit electronique integre
EP1936680B1 (fr) Elément d'interconnexion à base de nanotubes de carbone
FR2774809A1 (fr) Structure de couches barriere comportant deux couches et procede de fabrication
FR2887368A1 (fr) Interconnexions avec la contrainte harmonisee et procedes de fabrication de celles-ci
US6713407B1 (en) Method of forming a metal nitride layer over exposed copper
FR2720856A1 (fr) Electrode de cablage pour dispositif à semi-conducteur et son procédé de fabrication.
FR2856518A1 (fr) Procede de fabrication d'un dispositif a semiconducteur comportant une structure d'interconnexion
EP1352422B1 (fr) Procede de fabrication de reseaux d'interconnexions
EP2534678A1 (fr) Structure d'interconnexion a base de nanotubes de carbone rediriges
EP2922088B1 (fr) Procédé de gravure d'un matériau diélectrique poreux
FR2926925A1 (fr) Procede de fabrication d'heterostructures
FR2770028A1 (fr) Procede de fabrication d'une structure d'interconnexion pour un dispositif a circuit integre
EP2138457A1 (fr) Procédé d'obtention de tapis de nanotubes de carbone sur substrat conducteur ou semi-conducteur
FR2782839A1 (fr) Procede de fabrication d'un dispositif a semiconducteur
CN114121782A (zh) 形成互连结构的方法
JP2006073569A (ja) 半導体装置とその製造方法
JP2001053023A (ja) 半導体装置の製造方法及び製造装置
FR2855911A1 (fr) Procede de fabrication d'une pellicule isolante multicouche pour un dispositif a semiconducteur
JP2004342977A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
TP Transmission of property
TP Transmission of property
CA Change of address
CD Change of name or company name
PLFP Fee payment

Year of fee payment: 12

PLFP Fee payment

Year of fee payment: 13

PLFP Fee payment

Year of fee payment: 14

CA Change of address

Effective date: 20170726

PLFP Fee payment

Year of fee payment: 15

ST Notification of lapse

Effective date: 20200206