EP1133786A2 - Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces - Google Patents

Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces

Info

Publication number
EP1133786A2
EP1133786A2 EP99965053A EP99965053A EP1133786A2 EP 1133786 A2 EP1133786 A2 EP 1133786A2 EP 99965053 A EP99965053 A EP 99965053A EP 99965053 A EP99965053 A EP 99965053A EP 1133786 A2 EP1133786 A2 EP 1133786A2
Authority
EP
European Patent Office
Prior art keywords
wafer chuck
assembly
wafer
electroplating
shaft
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP99965053A
Other languages
German (de)
English (en)
French (fr)
Inventor
Hui Wang
Felix Gutman
Voha Nuch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ACM Research Inc
Original Assignee
ACM Research Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ACM Research Inc filed Critical ACM Research Inc
Publication of EP1133786A2 publication Critical patent/EP1133786A2/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Definitions

  • the present invention generally relates to methods and apparatus for holding and positioning semiconductor workpieces during processing of the workpieces. More particularly, the present invention relates to methods and apparatus for holding and positioning semiconductor workpieces during electroplating and/or electropolishing of semiconductor workpieces.
  • semiconductor devices are manufactured or fabricated on disks of semiconducting materials called wafers or slices. More particularly, wafers are initially sliced from a silicon ingot. The wafers then undergo multiple masking, etching, and deposition processes to form the electronic circuitry of semiconductor devices.
  • interconnections the lines of conductors, which connect elements of a single semiconductor device and/or connect any number of semiconductor devices together.
  • the density of interconnections on the devices has increased.
  • the closer proximity of interconnections increases the line- to-line capacitance of the interconnections, which results in greater signal delay at the interconnections.
  • interconnection delays have been found to increase with the square of the reduction in feature size.
  • gate delays i.e., delay at the gates or mesas of semiconductor devices
  • One conventional approach to compensate for this increase in interconnection delay has been to add more layers of metal.
  • the wafer In general, in an electroplating and/or an electropolishing process, the wafer is held within an electrolyte solution and an electric charge is then applied to the wafer.
  • a wafer chuck is needed for holding the wafer and applying the electric charge to the wafer during the electroplating and/or electropolishing process.
  • the wafer chuck assembly also includes an actuator assembly for moving the wafer chuck between a first and a second position. When in the first position, the wafer chuck is opened. When in the first position, the wafer chuck is closed.
  • Fig. 1 is a top view of an exemplary embodiment of a wafer-processing tool
  • Fig. 2 is a cross sectional view of the wafer-processing tool shown in Fig. 1 taken through line 2-2;
  • Fig. 3 is another cross sectional view of the wafer-processing tool shown in Fig. 1 taken through line 3-3;
  • Fig. 4 is a flow chart for processing wafers using the wafer-processing tool shown in Fig. 1 ;
  • Fig. 5 is a top view of an alternative configuration of the wafer-processing tool shown in Fig. 1 ;
  • Fig. 6 is a cross sectional view of the wafer-processing tool shown in Fig. 5 taken through line 6-6;
  • Fig. 7 is another cross sectional view of the wafer-processing tool shown in
  • Fig. 8 is a top view of another alternative configuration of the wafer- processing tool shown in Fig. 1 ;
  • Fig. 9 is a top view of still another alternative configuration of the wafer- processing tool shown in Fig. 1;
  • Fig. 10 is a top view of yet another alternative configuration of the wafer- processing tool shown in Fig. 1 ;
  • Fig. 11 is a cross sectional view of the wafer-processing tool shown in Fig. 10 taken through line 11-11;
  • Fig. 12 is another cross sectional view of the wafer-processing tool shown in
  • Fig. 13 is another alternative configuration of the wafer-processing tool shown in Fig. 1;
  • Fig. 14 is a cross sectional view of the wafer-processing tool shown in Fig. 13 taken through line 14-14;
  • Fig. 15 is another cross sectional view of the wafer-processing tool shown in Fig. 13 taken through line 15-15;
  • Fig. 16 is a cross sectional view of an exemplary embodiment of an electroplating and/or electropolishing cell
  • Fig. 17 is a top view of a portion of the electroplating and/or electropolishing cells shown in Fig. 16;
  • Figs. 18A through 18C are cross sectional view of an exemplary embodiment of a wafer chuck assembly
  • Fig. 19 is a cross sectional view of an alternative configuration of the wafer chuck assembly shown in Figs 18A through 18C;
  • Fig. 20 is a cross sectional view of another alternative configuration of the wafer chuck assembly shown in Figs. 18A through 18C;
  • Fig. 21 is a cross sectional view of still another alternative configuration of the wafer chuck assembly shown in Figs. 18A through 18C;
  • Figs. 22A and 22B are cross sectional views of yet another alternative configuration of the wafer chuck assembly shown in Figs. 18A through 18C;
  • Fig. 23 is a cross sectional view of an exemplary embodiment of a wafer chuck
  • Fig. 24 is a cross sectional view of an alternative configuration of the wafer chuck shown in Fig. 23;
  • Fig. 25 is a cross sectional view of another alternative configuration of the wafer chuck shown in Fig. 23;
  • Fig. 26 is a cross sectional view of still another alternative configuration of the wafer chuck shown in Fig. 23;
  • Fig. 27 is a cross sectional view of yet another alternative configuration of the wafer chuck shown in Fig. 23;
  • Fig. 28 is a cross sectional view of another alternative configuration of the wafer chuck shown in Fig. 23;
  • Fig. 29 is a cross sectional view of still another alternative configuration of the wafer chuck shown in Fig. 23;
  • Fig. 30 is a cross sectional view of yet another alternative configuration of the wafer chuck shown in Fig. 29;
  • Figs. 31 A and 31 B are side views of an alternative configuration of an electroplating and/or electropolishing station shown in Fig. 16;
  • Figs. 32A and 32B are top views of the electroplating and or electropolishing station shown in Figs 31 A and 3 IB;
  • Figs. 33 A and 33B are front views of the electroplating and/or electropolishing station shown in Figs. 31A and 3 IB;
  • Fig 34 is a top view of an exemplary embodiment of an electroplating and/or electropolishing cell shown in Figs. 31 through 33;
  • Fig. 35 is a side view of the exemplary embodiment of the electroplating and/or electropolishing cell shown in Fig. 34;
  • Fig. 36 is a top view of a portion of the electroplating and/or electropolishing cell shown in Fig. 34;
  • Fig. 37 is a side view of the portion shown in Fig. 36;
  • Fig. 38 is a top view of another portion of the electroplating and/or electropolishing cell shown in Fig. 34;
  • Fig. 39 is a side view of the portion shown in Fig. 38;
  • Figs. 40A and 40B are a cross sectional views of the portion shown in Fig. 38 taken through line 40;
  • Fig. 41 is a cross sectional view of the portion shown in Fig. 38 taken through line 41;
  • Fig. 42 is another cross sectional view of the portion shown in Fig. 38 taken through line 42;
  • Fig. 43 is a cross sectional view of a portion of the electroplating and/or electropolishing cell shown in Fig. 34;
  • Fig. 44 is a perspective view of another portion of the electroplating and/or electropolishing cell shown in Fig. 34;
  • Fig. 45 is a perspective view of still another portion of the electroplating and/or electropolishing cell shown in Fig. 34;
  • Fig. 46 is a bottom view of yet another portion of the electroplating and/or electropolishing cell shown in Fig. 34;
  • Fig. 47 is a side view of the portion shown in Fig. 46;
  • Fig. 48 is an enlarged view of a portion of the side view shown in Fig. 47;
  • Fig. 49 is an exploded perspective view of an exemplary embodiment of a wafer chuck
  • Fig. 50 is an exploded perspective view of an alternative configuration of the wafer chuck shown in Fig. 49;
  • Fig. 51 is a cross section view of the wafer chuck shown in Fig. 49;
  • Figs 52A and 52B are cross section views of the wafer chuck shown in Fig. 49;
  • Figs. 53 A to 53 G are cross section views of various alternative configurations of a portion of the wafer chuck shown in Fig. 51 ;
  • Fig. 54 is a flow chart for handling wafers using the wafer chuck shown in Fig. 51;
  • Fig. 55 is a cross section view of an alternative embodiment a wafer chuck
  • Fig. 56 is a cross section view of a second alternative embodiment of a wafer chuck
  • Fig. 57 is a cross section view of a third alternative embodiment of a wafer chuck
  • Fig. 58 is a cross section view of a fourth alternative embodiment of a wafer chuck
  • Fig. 59 is a cross section view of a fifth alternative embodiment of a wafer chuck
  • Fig. 60 is a cross section view of a sixth alternative embodiment of a wafer chuck
  • Fig. 61 is a cross section view of a seventh alternative embodiment of a wafer chuck
  • Fig. 62 is a cross section view of an eighth alternative embodiment of a wafer chuck
  • Fig. 63 is a cross section view of a ninth alternative embodiment of a wafer chuck
  • Fig. 64 is a cross section view of a tenth alternative embodiment of a wafer chuck
  • Fig. 65 is a cross section view of an eleventh alternative embodiment of a wafer chuck
  • Fig. 66 is a cross section view of a twelfth alternative embodiment of a wafer chuck.
  • Fig. 67 is a top view of a wafer.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS In order to provide a more thorough understanding of the present invention, the following description sets forth numerous specific details, such as specific material, parameters, and the like. It should be recognized, however, that such description is not intended as a limitation on the scope of the present invention, but is instead provided to enable a more full and a more complete description of the exemplary embodiments.
  • a wafer-processing tool 100 is configured to electroplate and/or electropolish semiconductor workpieces or wafers.
  • wafer-processing tool 100 includes an electroplating and/or electropolishing station 102, a cleaning station 104, wafer-handling stations 108 and 110, and a robot 106.
  • the processing steps performed by wafer- processing tool 100 are set forth in a flow chart format.
  • unprocessed semiconductor workpieces or wafers are obtained by robot 106 from wafer-handling stations 108 and 110 (Fig. 4, block 402).
  • the wafers are transported by robot 106 from wafer-handling stations 108 and 110 to electroplating and/or electropolishing station 102 (Fig. 4, block 404).
  • the wafers are electroplated and/or electropolished in electroplating and/or electropolishing station 102 (Fig. 4, block 406).
  • the electroplated and/or electropolished wafers are transported by robot 106 to cleaning station 104 (Fig. 4, block 408).
  • the wafers are cleaned and dried in cleaning station 104 (Fig. 4, block 410).
  • the cleaned and dried wafers are transported by robot 106 back to wafer- handling stations 108 and 110 (Fig. 4, block 412).
  • the entire process can then be repeated for another unprocessed wafer.
  • electroplating and/or electropolishing station 102 and cleaning station 104 include five electroplating and/or electropolishing cells 112 and five cleaning cells 114. Accordingly, as many as five wafers can be electroplated and/or electropolished and cleaned at one time. It should be recognized, however, that electroplating and/or electropolishing station 102 and cleaning station 104 can include any number of electroplating and/or electropolishing cells 112 and cleaning cells 114 depending on the particular application. For example, for a low volume application, electroplating and/or electropolishing station 102 and cleaning station 104 can be configured with one electroplating and/or electropolishing cell 112 and one cleaning cell 114, respectively.
  • the ratio of electroplating and/or electropolishing cells 112 to cleaning cells 114 can vary depending on the particular application. For example, in an application where the electroplating and/or electropolishing process requires more processing time than the cleaning process, wafer-processing tool 100 can be configured with more electroplating and/or electropolishing cells 1 12 than cleaning cells 1 14. Alternatively, in an application where the electroplating and/or electropolishing process requires less processing time than the cleaning process, wafer-processing tool 100 can be configured with fewer electroplating and/or electropolishing cells 112 than cleaning cells 114.
  • electroplating and/or electropolishing cells 112 and cleaning cells 114 are configured as vertical stacks.
  • the number of wafers processed can be increased without increasing the footprint of (the amount of floor space occupied by) wafer-processing tool 100.
  • increasing the ratio of wafers processed per square foot of fabrication floor space occupied by wafer-processing tool 100 can be advantageous.
  • unprocessed wafers are obtained at wafer-handling station 108 and 1 10
  • processed wafers are returned to wafer-handling stations 108 and 110. More particularly, with reference to Fig. 3, in the present exemplary embodiment, wafer-handling station 108 and 110 (Fig.
  • wafer cassette 116 for holding wafers.
  • robot 106 is configured to remove an unprocessed wafer from wafer cassette 1 16 and transport the wafer to any one of the electroplating and/or electropolishing cells 112 (Fig. 2).
  • Robot 106 is also configured to return a processed wafer from any one of the cleaning cells 114 (Fig. 2) to wafer cassette 116.
  • wafer-handling station 108 and 110 can include any number of wafer cassettes 116.
  • wafer-handling station 108 and 1 10 can include various configurations depending on the particular application.
  • wafer-handling station 108 and 110 can each include at least one wafer cassette 116.
  • a wafer cassette 116 containing unprocessed wafers is provided at wafer-handling station 108. The wafers are removed, processed, then returned to the same wafer cassette 1 16 at wafer-handling station 108.
  • another wafer cassette 116 containing unprocessed wafers is provided at wafer-handling station 1 10.
  • wafer-processing tool 100 can begin processing the unprocessed wafers from wafer cassette 1 16 at wafer-handling station 110.
  • the processed wafers in wafer cassette 116 at wafer-handling station 108 can then be removed and replaced with yet another wafer cassette 116 containing unprocessed wafers.
  • wafer- processing tool 100 can be operated continuously without unintended interruption.
  • a wafer cassette 116 containing unprocessed wafer can be provided at wafer-handling station 108.
  • An empty wafer cassette 116 can be provided at wafer-handling station 1 10.
  • the unprocessed wafers from wafer cassette 116 at wafer-handling station 108 can be processed then returned to the empty wafer cassette 116 at wafer-handling station 110.
  • This configuration also facilitates continuously operation of processing tool 100.
  • This configuration has the advantage that one of the two handling stations 108 and 110 can be designated for unprocessed wafers and the other for processed wafers. In this manner, an operator or a robot is less likely to mistake a wafer cassette 116 containing processed wafers for one with unprocessed wafers and vice versa.
  • wafer-processing tool 100 includes housing unit 118 for housing the various electrical and mechanical components of wafer- processing tool 100, such as power supplies, filters, wires, plumbing, chemical containers, pumps, valves, and the like.
  • wafer- processing tool 100 can also include a computer 132 for controlling the operation of wafer-processing tool 100. More particularly, computer 132 can be configured with an appropriate software program to implement the processing steps set forth in Fig. 4 and described above in conjunction with Fig. 4.
  • wafer-processing tool 100 includes a wafer-handling station 500.
  • wafer-handling station 500 includes a robot 502 configured to raise and lower wafer cassette 116. Accordingly, when transporting wafers in and out of wafer cassette 116, the movement of robot 106 in the vertical direction can be reduced. In this manner, the operating speed of robot 106 can be increased to facilitate overall processing speed of wafer-processing tool 100.
  • wafer-processing tool 100 includes a robot 800 configured to move laterally (indicated as the x-direction in Fig. 8). Accordingly, robot 800 need not rotate about its vertical axis.
  • wafer-processing tool 100 includes a stack 902 of electroplating and/or electropolishing cells 112 (Fig. 2) and cleaning cells 114 (Fig. 2). Accordingly, the footprint of processing tool 100 can be further reduced. With reference to Figs 10 through 12. in yet another alternative embodiment of the present invention, wafer-processing tool 100 includes three stacks 1002, 1004 and 1006 of electroplating and/or electropolishing cells 112 (Fig. 12) and cleaning cells 114 (Fig. 12). It should be recognized that stacks 1002, 1004 and 1006 can be configured having various combinations of electroplating and/or electropolishing cells 112 depending on the particular application.
  • column 1002 and 1006 can be configured to include only electroplating and/or electropolishing cells 112.
  • Column 1004 can be configured to include only cleaning cells 114.
  • each column 1002, 1004 and 1006 can be configured with combinations of electroplating and/or electropolishing cells 1 12 and cleaning cells 114.
  • Wafer- processing tool 100 also includes robot 1008 configured to move laterally (indicated as the y-direction in Fig. 10). With reference to Fig. 12, wafer-processing tool 100 includes additional wafer cassette 1202 to accommodate the additional processing capacity of wafer-processing tool 100.
  • wafer-processing tool 100 has been described with an electroplating and/or electropolishing station 102 (Fig. 1) and cleaning station 104 (Fig. 2).
  • wafer-processing tool 100 can be configured to include only electroplating and/or electropolishing station 102 (Fig. 1).
  • wafer-processing tool 100 can be configured with stack 902 having only electroplating and/or electropolishing cells 112 (Fig. 1).
  • wafer-processing tool 100 electroplates and/or electropolishes wafers without cleaning the wafers.
  • the processed wafers can be cleaned in a separate wafer- cleaning tool.
  • the processed wafers can be cleaned in a cleaning station in another wafer-processing tool.
  • wafer-processing tool 100 can include other wafer processing stations. For example, with reference to Figs.
  • wafer-processing tool 100 includes a Chemical Mechanical Planarization (CMP) station 1302.
  • CMP Chemical Mechanical Planarization
  • wafers can be planarized and/or polished in addition to being electroplated and/or electropolished and cleaned.
  • the particular order of performing these processes can vary depending on the particular application.
  • the wafer can be electroplated at electroplating and/or electropolishing station 102, cleaned at cleaning station 104, then planarized at CMP station 1302.
  • the wafer can be initially electropolished at electroplated and/or electropolishing station 102, cleaned at cleaning station 104, then planarized at CMP station 1302.
  • wafer electroplating and/or electropolishing cell 112 includes an electrolyte solution receptacle 1608, a wafer chuck 1604, and a wafer chuck assembly 1600.
  • electrolyte solution receptacle 1608 holds the electrolyte solution for electroplating and/or electropolishing of a wafer 1602.
  • wafer chuck 1604 holds wafer 1602.
  • Wafer chuck assembly 1600 positions wafer chuck 1604 within electrolyte solution receptacle 1608.
  • Wafer chuck assembly 1600 also rotates wafer chuck 1604 to enhance the uniformity of the electroplating and/or electropolishing process.
  • electrolyte solution receptacle 1608 is preferably divided into sections 1620, 1622, 1624, 1626, 1628 and 1630 by section walls 1610, 1612. 1614. 1616 and 1618. It should be recognized, however, that electrolyte solution receptacle 1608 can be divided into any number of sections by any number of appropriate sections walls depending on the particular application.
  • a pump 1654 pumps an electrolyte solution 1656 from a reservoir 1658 into electrolyte solution receptacle 1608. More particularly, electrolyte solution 1656 flows through a pass filter 1652 and Liquid Mass Flow Controllers (LMFCs) 1646, 1648 and 1650. Pass filter 1652 removes contaminants and unwanted particles from electrolyte solution 1656. LMFCs 1646, 1648 and 1650 control the flow of electrolyte solution 1656 into sections 1620, 1624 and 1628 (Fig. 17), respectively. It should be recognized, however, that electrolyte solution 1656 can be provided using any convenient method depending on the particular application.
  • LMFCs Liquid Mass Flow Controllers
  • wafer chuck 1604 holds wafer 1602.
  • robot 106 inserts or provides wafer 1602 into wafer chuck 1604.
  • robot 106 can obtain wafer 1602 from wafer cassette 116 (Fig. 3) or from a previous processing station or processing tool. Wafer 1602 can also be loaded into wafer chuck 1604 manually by an operator depending on the particular application.
  • wafer chuck 1604 closes to hold wafer 1602.
  • Wafer chuck assembly 1600 positions wafer chuck 1604 and wafer 1602 within electrolyte solution receptacle 1608. More particularly, in the present exemplary embodiment, wafer chuck assembly 1600 positions wafer chuck 1604 and wafer 1602 above section walls 1610, 1612, 1614, 1616 and 1618 (Fig. 17) to form a gap between the bottom surface of wafer 1602 and the tops of section walls 1610, 1612, 1614, 1616 and 1618 (Fig. 17).
  • electrolyte solution 1656 flows into sections 1620, 1624 and 1628 (Fig. 17), and contacts the bottom surface of wafer 1602. Electrolyte solution 1656 flows through the gap formed between the bottom surface of wafer 1602 and section walls 1610, 1612, 1614, 1616 and 1618 (Fig. 17). Electrolyte solution 1656 then returns to reservoir 1658 through sections 1622, 1626 and 1630 (Fig. 17).
  • wafer 1602 is connected to one or more power supplies 1640, 1642 and 1644.
  • one or more electrodes 1632, 1634 and 1636 disposed within electrolyte solution receptacle 1608 are connected to power supplies 1640, 1642 and 1644.
  • electrolyte solution 1656 contacts wafer 1602, a circuit is formed to electroplate and/or to electropolish wafer 1602.
  • wafer 1602 is electrically charged to have negative electric potential relative to electrodes 1632, 1634 and 1636
  • wafer 1602 is electroplated.
  • wafer 1602 is electrically charged to have positive electric potential relative to electrodes 1632. 1634 and 1636
  • electrolyte solution 1656 is preferably a sulfuric acid solution.
  • electrolyte solution 1656 is preferably a phosphoric acid solution. It should be recognized, however, that electrolyte solution 1656 can include various chemistries depending on the particular application.
  • wafer chuck assembly 1600 can rotate and/or oscillate wafer 1602 to facilitate a more uniform electroplating and/or electropolishing of wafer 1602.
  • wafer 1602 is electroplated and/or electropolished.
  • wafer 1602 is removed from electrolyte solution receptacle 1608. More particularly, wafer chuck assembly 1600 lifts wafer chuck 1604 from electrolyte solution receptacle 1608. Wafer chuck 1604 then opens.
  • Robot 106 removes wafer 1602 from wafer chuck 1604, then provides another wafer 1602 for electroplating and/or electropolishing.
  • electroplating and/or electropolishing cell 112 As alluded to earlier, specific details related to electroplating and/or electropolishing cell 112 have been provided above to enable a more full and a more complete description of the present invention. As such, various aspects of electroplating and/or electropolishing cell 112 can be modified without deviating from the spirit and/or scope of the present invention. For example, although electroplating and/or electropolishing cell 112 has been depicted and described as having electrolyte solution receptacle 1608 with a plurality of sections, electroplating and/or electropolishing cell 112 can include a static bath.
  • wafer chuck 1604 and wafer chuck assembly 1600 will be described below.
  • wafer chuck 1604 and wafer chuck assembly 1600 will hereafter be described in connection with electroplating of a semiconductor wafer.
  • wafer chuck 1604 and wafer chuck assembly 1600 can be used in connection with any convenient wafer process, such as electropolishing, cleaning, etching, and the like.
  • wafer chuck 1604 and wafer chuck assembly 1600 can be used in connection with processing of various workpieces other than semiconductor wafers.
  • wafer chuck assembly 1600 positions wafer chuck 1604 within electrolyte receptacle 1608 (Fig. 16). Additionally, wafer chuck assembly 1600 is configured to open and close wafer chuck 1604 for insertion and removal of wafer 1602.
  • wafer chuck assembly 1600 includes an actuator assembly 1860 and a spring assembly 1894.
  • Actuator assembly 1860 is configured to move wafer chuck 1604 between a first position and a second position.
  • actuator assembly 1860 is configured to move wafer chuck 1604 between a raised position and a lowered position.
  • spring assembly 1894 is configured to open wafer chuck 1604 to permit removal and insertion of wafer 1602.
  • spring assembly 1894 is configured to close wafer chuck 1604.
  • actuator assembly 1860 includes a motor 1828, gears 1822 and 1824, and lead screw 1820.
  • Motor 1828 is connected to shaft 1802 through bracket 1816, lead screw 1820 and gears 1822 and 1824. More particularly, motor 1828 turns lead screw 1820 through gears 1822 and 1824 to translate bracket 1816 along a guide rail 1826.
  • Bracket 1816 is attached to shaft 1802, which is rigidly attached to top section 1858 of wafer chuck 1604. In this manner, motor 1828 can lower and raise wafer chuck 1604. It should be recognized, however, that wafer chuck 1604 can be raised and lowered using any convenient apparatus and method, such as pneumatic actuators, magnetic forces, and the like.
  • motor 1828 can include a direct current servomotor, stepper motor, and the like.
  • motor 1828 can include a direct current servomotor, stepper motor, and the like.
  • joints 1902 and 1904 are disposed between bracket 1816 and an additional bracket 1906. Joints 1902 and 1904 permit movement between bracket 1906 and 1816 as lead screw 1820 raises and lowers wafer chuck 1604. As such, bracket 1816 is less likely to seize on guide rail 1826.
  • joints 1902 and 1904 are universal joints.
  • spring assembly 1894 includes a collar 1804, a plurality of rods 1806, and a plurality of springs 1808.
  • Rods 1806 are rigidly fixed to collar 1804 and to bottom section 1856 of wafer chuck 1604.
  • Springs 1808 are disposed around rods 1806 and between collar 1804 and top section 1858 of wafer chuck 1604.
  • collar 1804 is not attached to shaft 1802. Accordingly, as depicted in Fig. 18B, as wafer chuck 1604 is raised, collar 1804 contacts a lid 1810. As depicted in Fig.
  • rods 1806 prevent bottom section 1856 of wafer chuck 1604 from rising any further.
  • springs 1808 compress to permit top section 1858 of wafer chuck 1604 to continue to rise.
  • wafer chuck 1604 is opened for inserting and removing of wafer 1602.
  • the single action of raising wafer chuck 1604 also opens wafer chuck 1604.
  • the reverse action of lowering wafer chuck 1604 also closes wafer chuck 1604. More particularly, starting from Fig. 18C, when wafer 1602 has been positioned within wafer chuck 1604, motor 1828 begins to lower wafer chuck 1604. As depicted in Fig. 18B, as motor 1828 lowers wafer chuck 1604, spring 1808 extend to close wafer chuck 1604.
  • wafer chuck assembly 1600 includes a slip-ring assembly 1838 configured with inlets 1870 and 1872.
  • Slip- ring assembly 1838 also includes a plurality of seals 1842 configured to form cavities 1866 and 1868.
  • vacuum and/or reduced pressure gas is applied through inlet 1870, channel 1874, and line 1832 to cavity 1830.
  • wafer chuck 1604 also includes seals 1878 disposed between top section 1858 and bottom section 1856.
  • slip-ring assembly 1838 includes a brush 1844, springs 1846, and screws 1848.
  • wafer chuck 1604 includes a conducting member 1880, which electrically contacts line 1850, and a spring member 1882, which electrically contacts wafer 1602. Accordingly, the electric charge is applied to wafer 1602 through screw 1848, spring 1846. brush 1844. shaft 1802, line 1850. conducting member 1880, and spring member 1882.
  • screw 1848, spring 1846, brush 1844, shaft 1802 , line 1850, conducting member 1880, and spring member 1882 are formed from an electrically conducting material.
  • brush 1844 is formed from an electrically conducting and low friction material, such as graphite.
  • wafer chuck 1604 includes a seal member 1884.
  • a positive pressure gas is applied to a cavity 1892 to check the seal quality of seal member 1884. More particularly, pressure gas is applied through inlet 1872, channel 1876, and line 1852. Wafer chuck 1604 also includes seals 1886 and 1888 to help seal cavity 1892. Alternatively, a vacuum and/or a reduced pressure gas can be applied to cavity 1892 to check the seal quality of seal member 1884. After wafer chuck 1604 is removed from the electrolyte solution, positive pressure gas can be applied to cavity 1892 to purge electrolyte solution from wafer chuck 1604.
  • wafer chuck assembly 1600 is configured to rotate wafer chuck 1604 to enhance the uniformity of the electroplating and/or electropolishing process. More particularly, during the electroplating and/or electropolishing process, wafer chuck assembly 1600 rotates wafer chuck 1604 at about 5 revolutions per minute to about 100 revolutions per minute. It should be recognized, however, that wafer chuck 1604 can be rotated at various speeds depending on the particular application.
  • wafer chuck assembly 1600 is configured to rotate wafer chuck 1604 to help remove electrolyte solution from wafer chuck 1604 after the electroplating and/or electropolishing process.
  • wafer chuck assembly 1600 rotates wafer chuck 1604 at about 300 revolutions per minute to about 5000 revolutions per minute, and preferably about 500 revolutions per minute. It should be recognized, however, that wafer chuck assembly 1600 can rotate wafer chuck 1604 at various speeds depending on the particular application. As depicted in Fig. 20, during this process, wafer chuck 1604 can be rotated when wafer chuck 1604 is in an open position. Accordingly, in an alternative embodiment, wafer chuck assembly 1600 includes bearing 2002 (Fig. 20).
  • bearing 2002 is depicted as being disposed between collar 1804 and lid 1810. It should be recognized, however, that bearing 2002 can be disposed in various locations depending on the particular application. For example, if collar 1804 is removed or reduced in size, bearing 2002 can be provided between top section 1858 and lid 1810. Additionally, it should be recognized, however, that wafer chuck assembly 1600 can rotate wafer chuck 1604 at various speeds depending on the particular application.
  • wafer chuck assembly 1600 includes rotational assembly 1864 to rotate wafer chuck 1604.
  • rotational assembly 1864 includes a motor 1836 and a drive belt 1834 connected to shaft 1802.
  • motor 1836 and drive belt 1834 are disposed below bracket 1816. It should be recognized, however, that motor 1836 and drive belt 1834 can be disposed in various locations to rotate shaft 1802.
  • wafer chuck assembly 1600 is depicted with motor 1836 and drive belt 1834 disposed above bracket 1816.
  • motor 1836 can be connected to shaft 1802 through gears rather than drive belt 1834.
  • Motor 1836 can also be connected directly to shaft 1802.
  • motor 1836 can include a direct current servomotor, a stepper motor, and the like.
  • rotational assembly 1864 can include various other mechanisms for rotating wafer chuck 1604.
  • rotational assembly 1864 can be configured as an electro-magnetic system to rotate wafer chuck 1604.
  • shaft 1802 is formed from a metal or metal alloy resistant to corrosion, such as stainless steel. In order to reduce friction, the surface of shaft 1802 contacting seals 1842 and brush 1844 is machined to a surface roughness less than about 5 micron, and preferably less than about 2 micron.
  • wafer chuck assembly 1600 includes bearings 1812 and 1814 disposed between shaft 1802 and lid 1810.
  • Wafer chuck assembly 1600 also includes bearings 1818 disposed between shaft 1802 and bracket 1816.
  • Bearings 1812, 1814, 1818 can include ball-bearings, bushings, low-friction material, and the like
  • slip-ring assembly 1838 is configured to supply vacuum and/or reduced pressure gas, reduced pressure gas, pressure gas, and electricity to shaft 1802.
  • slip-ring assembly 1838 has been shown as fixed to bracket 1816.
  • wafer chuck assembly 1600 includes a slip-ring assembly 2200, which remains fixed when wafer chuck 1604 is raised and lowered. More particularly, shaft 1802 slides through slip-ring assembly 2200 as it is raised and lowered.
  • conducting member 1880 of wafer chuck 1604 is depicted without seal 1888 (Fig. 18A). Additionally, a spring 2302 applies the charge to conducting member 1880. In contrast to wire 1890 depicted in Fig. 18C, spring 2302 lifts clear of conducting member 1880 when wafer chuck 1604 opens.
  • wafer chuck 1604 is depicted with seal member 1884 having a Z-shaped cross-sectional profile.
  • the Z-shaped cross-sectional profile can hold spring member 1882 more securely in place.
  • seal member 1884 can be formed having various cross-sectional profiles. In this regard, a number of these possible profiles will be described and depicted below.
  • wafer chuck 1604 is depicted with lines 1832 and 1852 formed into top section 1858. It should be recognized, however, that lines 1832 and 1852 can be formed in various manner. For example, grooves can be formed along the top surface of top section 1858. Lines 1832 and 1852 can be tubes inserted into the grooves. In this manner, lines 1832 and 1852 can be held more securely.
  • wafer chuck 1604 is depicted with rods 1806 attached to bottom section 1856 using nuts 2602. The ends of rods 1806 and nuts 2602 are sealed with caps 2604 to protect them from the electrolyte solution during the electroplating and/or electropolishing process.
  • Fig. 27 in an alternative embodiment, the embodiment shown in Fig. 26 is depicted with seal member 1884 having a Z-shaped cross- sectional profile. As described above, this cross-sectional profile can hold spring member 1882 more securely.
  • wafer chuck 1604 is depicted having line 1852.
  • a vacuum and/or a reduced pressure gas is first applied to line 1852 to increase the force holding together wafer chuck 1604.
  • a pressure gas can be applied to line 1852 to help purge electrolyte from wafer chuck 1604.
  • wafer chuck 1604 is depicted having a line 2902 to apply a vacuum and/or a reduced pressure gas and pressure gas to the surface of wafer 1602. Accordingly, after wafer chuck 1604 is closed, a vacuum and/or a reduced pressure gas is applied to line 1852 and line 2902 to increase the force holding together wafer chuck 1604. After the electroplating and/or electropolishing process, a pressure gas can be applied to line 1852 to help purge electrolyte from wafer chuck 1604. Then, wafer chuck 1604 is opened, preferably with a gap of about 1 millimeter to about 3 millimeter, preferably about 1.5 millimeter. After wafer chuck 1604 is opened, pressure gas can be applied to line 2902 to help dislodge wafer 1602.
  • electroplating and/or electropolishing station 102 includes one or more electroplating and/or electropolishing cells 1 12. More particularly, in the present exemplary embodiment, electroplating and/or electropolishing station 102 includes three electroplating and/or electropolishing cells 112 mounted in a frame 3202.
  • electroplating and/or electropolishing station 102 also includes guide rails 3204 and an air cylinder 3206 for moving wafer chuck assembly 1600. More particularly, air cylinder 3206 translates wafer chuck assembly 1600 along guide rails 3204 attached to frame 3202. In this manner, as depicted in Figs. 32A and 32B, wafer chuck assembly 1600 and wafer chuck 1604 can be retracted from electrolyte receptacle 1608 for servicing of electroplating and/or electropolishing cell 112 including wafer chuck assembly 1600 and wafer chuck 1604. More particular, in Fig.
  • electroplating and/or electropolishing cell 112 is depicted with wafer chuck assembly 1600 retracted in an open position.
  • electroplating and/or electropolishing cell 1 12 is depicted with wafer chuck assembly 1600 in a closed position over electrolyte receptacle 1608. It should be recognized, however, that various actuators can be used to retract wafer chuck assembly 1600.
  • electroplating and/or electropolishing cell 112 includes electrolyte solution receptacle 1608 and wafer chuck assembly 1600.
  • wafer chuck assembly 1600 includes lid 1810 to cover electrolyte solution receptacle 1608.
  • lid 1810 includes an exhaust hole 3208 for removing vapors from within electrolyte solution receptacle 1608.
  • each electroplating and/or electropolishing cell 112 in electroplating and/or electropolishing station 102 can be individually vented, thus reducing the need for a large ventilation system for the entire electroplating and/or electropolishing station 102 (Figs. 32A and 33 A).
  • wafer 1602 can be inserted into and removed from electrolyte solution receptacle 1608 through slot 1892. More particularly, as describe above, robot 106 transports wafer 1602 into and out of electrolyte solution receptacle 1608. Although slot 1892 is depicted as being formed in electrolyte receptacle 1608, slot 1892 can also be formed in lid 1810.
  • wafer 1602 is held by wafer chuck 1604 (Fig. 18A).
  • wafer chuck assembly 1600 lowers wafer 1602 into electrolyte receptacle 1608 to be electroplated and/or electropolished. After the electroplating and/or electropolishing process is complete, wafer chuck assembly 1600 raises wafer 1602 to be unloaded and a new wafer 1602 to be loaded.
  • wafer chuck assembly 1600 (Fig. 31 A) includes bracket 1816.
  • bracket 1816 is connected to wafer chuck 1604 through shaft 1802 (Fig. 18A). More particularly, as will be described in greater detail below, shaft 1802 is fixed to top section 1858 of wafer chuck 1604. Additionally, slip-ring assembly 1838 is fixed to bracket 1816. Accordingly, shaft 1802 is disposed within slip-ring assembly 1838.
  • bracket 1816 includes guide rails 1826. More particularly, in the present exemplary embodiment, each guide rail 1826 include a rod 3402 disposed within a bushing 3404. Rod 3402 is mounted to lid 1810 and bushing 3404 is connected to bracket 1816. Additionally, in the present exemplary embodiment, four guide rails 1826 are provided. It should be recognized, however, that any number of guide rails 1826 can be used depending on the particular application.
  • motor 1828 is configured to move bracket 1816 along guide rails 1826. More particularly motor 1828 engages with lead screw 1820 to move bracket 1816. Additionally, as described above, in the present exemplary embodiment, bracket 1816 is connected to bracket 1906. More particularly, brackets 1816 and 1906 are connected through joints 1902 and 1904 to permit movement between brackets 1816 and 1906. As described earlier, joints 1902 and 1904 reduces the likelihood of brackets 1816 and 1906 seizing on guide rails 1826. With reference now to Fig. 37. as described above, wafer chuck 1604 is configured to be rotated. With reference now to Fig. 35, motor 1836 is configured to rotate wafer chuck 1604 (Fig. 37).
  • motor 1836 rotates shaft 1802 through drive belt 1834.
  • shaft 1802 is fixed to top section 1858 of wafer chuck 1604. Additionally, shaft 1802 rotates within slip-ring assembly 1838.
  • wafer chuck 1604 includes a plurality of spring assemblies 1894 configured to open and close wafer chuck 1604. More particularly, in the present exemplary embodiment, wafer chuck 1604 includes six spring assemblies 1894. It should be recognized, however, that any number of spring assemblies 1894 can be used depending on the particular application.
  • each spring assembly 1894 includes rod 1806 with one end formed with a head portion rather than collar 1804 (Fig. 18A). More particularly, with reference to Figs. 40A and 40B, one end of rod 1806 is fixed to bottom section 1856 of wafer chuck 1604. The other end of rod 1806 includes a head portion 4002. Additionally, spring 1808 is disposed around rod 1806 and between top section 1858 and head portion 4002. Accordingly, when wafer chuck 1604 is in a lowered position, spring 1808 is extended to apply a force to hold top section 1858 and bottom section 1856 closed.
  • a vacuum and/or reduced pressure is applied to hold together wafer chuck 1604.
  • vacuum and/or reduced pressure is applied to cavity 1830 formed by a seal 4104.
  • cavity 1830 was formed in bottom section 1856 and sealed by seals 1878.
  • seal 4104 can be more easily installed into bottom section 1856 using any convenient fastening device and/or method, such as screws, bolts, adhesives, and the like.
  • seal 4104 is attached using a ring 1406, which can be fastened to bottom section 1856 using any convenient fastening device, such as screws, bolts, and the like. Ring 1406 helps to distribute the force applied by the fastening devices around seal 4104. Additionally, the use of seal 4104 can be more cost efficient and more reliable than forming cavity 1830 in bottom section 1856.
  • Seal 4104 can include any flexible compliant material such as Viton, (fluorocarbon) rubber, silicon rubber, and the like.
  • vacuum and/or reduced pressure can be supplied to cavity 1892 to check and/or enhance the seal formed by seal member 1884.
  • pressure gas can be supplied to cavity 1892 to check the seal formed by seal member 1884, to enhance the seal formed by seal member 1884, to purge residual electrolyte solution, and various other purposes.
  • a textured pad 4600 can be provided between wafer 1602 (Fig. 42) and top section 1852 (Fig. 42).
  • textured pad 4600 includes a multitude of grooves 4602 formed throughout the surface to be in contact with wafer 1602 (Fig. 42). As such, any vacuum and/or reduced pressure gas, which seeps behind wafer 1602 (Fig. 42), can more easily escape. Consequently, wafer 1602 (Fig. 42) is less likely to adhere to top section 1852 (Fig. 42).
  • vacuum, reduced pressure, and/or pressure gases are supplied to cavities 1830 and 1892 through fittings 4102 (Fig. 41) and 4202 (Fig. 42), respectively.
  • vacuum, reduced pressure, and/or pressure gases are supplied to fitting 4102 (Fig. 41) and fitting 4202 (Fig. 42) through line 1832 from channel 1874 and line 1852 from channel 1876, respectively.
  • vacuum, reduced pressure, and/or pressure gases are supplied to channels 1874 and 1876 formed in shaft 1802 through slip-ring assembly 1838.
  • slip-ring assembly 1838 is configured to supply vacuum and/or reduced pressure into shaft 1802 even as shaft 1802 is rotating. More particularly, as described above, seals 1842 form cavities 1866 and 1868 (Fig. 18B) in between shaft 1802 and slip-ring assembly 1838, into which vacuum and/or reduced pressure can be introduced through inlets 1870 and 1872.
  • bracket 1816 can be configured to be parallel with wafer chuck 1858.
  • the alignment of bracket 1816 with respect to slip- ring assembly 1838 can be adjusted by variously adjusting a plurality of screws 4312 and a plurality of set screws 4314. More particularly, the gap between bracket 1816 and slip-ring assembly 1838 can be increased and decreased by adjusting screws 4312 and set-screws 4314, respectively. In the present embodiment, the use of at least three screws 4312 and three set-screws 4314 permits slip-ring assembly 1838 to be essentially gimbaled relative to bracket 1816. It should be recognized, however, that various devices and methods can be employed to permit the alignment of bracket 1816 and slip-ring assembly 1838 to be adjusted. With reference to Fig.
  • the alignment of top section 1858 with respect to shaft 1802 can be adjusted by variously adjusting a plurality of screws 4304 and a set screw 4306.
  • the adjustment of screws 4304 and set screw 4306 adjusts the alignment of top section 1858 with respect to a stem piece 4302. More particularly, the gap between top section 1858 and stem piece 4302 can be adjusted using screws 4304 and set screw 4306.
  • the use of three screws 4304 and the location of set screw 4306 at the center of top section 1858 and stem piece 4302 permits top section 1858 to be essentially gimbaled relative to stem piece 4302. Additionally, in the present embodiment, stem piece 4302 is attached to shaft
  • top section 1858 can be removed from shaft 1802 without having to necessarily reset its alignment.
  • wafer chuck 1604 Fig. 37
  • stem piece 4302 and shaft 1802 are joined using a tenon and mortise shaped joint.
  • bolts 4308 only contact stem piece 4302 and shaft 1802. In this manner, adjustment of bolts 4308 does not affect the alignment of top portion 1858 to stem piece 4302.
  • wafer chuck 1604 includes bottom section 1856 and top section 1858.
  • Bottom section 1856 is formed having an opening to expose the bottom surface of wafer 1602 during the electroplating and/or electropolishing process.
  • bottom section 1856 and top section 1858 are formed from any convenient material electrically insulated and resistant to acid and corrosion, such as ceramic, polytetrafluoroethylene (commercially known as TEFLON), Poly Vinyl Choride (PVC), Poly Vinylindene Fluoride (PVDF), Polypropylene, and the like.
  • bottom section 1856 and top section 1858 can be formed from any electrically conducting material (such as metal, metal alloy, and the like), coated with material, which is electrically insulating and resistant to acid and corrosion.
  • bottom section 1856 and top section 1858 are formed from a sandwich of a layer of metal with a layer of plastic.
  • the metal layer provides structural integrity and strength.
  • the plastic layer provides protection against the electrolyte solution.
  • Wafer chuck 1604 further includes a spring member 1882, a conducting member 1880, and a seal member 1884.
  • the present invention is particular well suited for use in connection with holding semiconductor wafers.
  • semiconductor wafers are substantially circular in shape.
  • the various components of wafer chuck 1604 i.e., bottom section 1856, seal member 1884, conducting member 1880, spring member 1882, and top section 1858
  • the various components of wafer chuck 1604 can include various shapes depending on the particular application.
  • wafer 6700 can be formed with a flat edge 6702.
  • the various components of wafer chuck 1604 can be formed to conform with flat edge 6702.
  • spring member 1882 when wafer 1602 is disposed between bottom section 1856 and top section 1858, in accordance with one aspect of the present invention, spring member 1882 preferably contacts wafer 1602 around the outer perimeter of wafer 1602. Spring member 1882 also preferably contacts conducting member 1880. Thus, when an electric charge is applied to conducting member 1880, the electric charge is transmitted to wafer 1602 through spring member 1882. As depicted in Fig. 51 , in the present exemplary embodiment, spring member
  • spring member 1882 is disposed between wafer 1602 and lip portion 1880a of conducting member 1880. Accordingly, when pressure is applied to hold bottom section 1856 and top section 1858 together, spring member 1882 conforms to maintain electrical contact between wafer 1602 and conducting member 1880. More particularly, the tops and bottoms of the coils in spring member 1882 contact wafer 1602 and lip portion 1880a, respectively. Additionally, spring member 1882 can be joined to lip portion 1880a to form a better electrical contact using any convenient method, such as soldering, welding, and the like.
  • the number of contact points formed between wafer 1602 and conducting member 1880 can be varied by varying the number of coils in spring member 1882. In this manner, the electric charge applied to wafer 1602 can be more evenly distributed around the outer perimeter of wafer 1602. For example, for a 200 millimeter (mm) wafer, an electric charge having about 1 to about 10 amperes is typically applied. If spring member 1882 forms about 1000 contact points with wafer 1602, then for the 200 mm wafer, the applied electric charge is reduced to about 1 to about 10 milli-amperes per contact point.
  • conducting member 1880 has been thus far depicted and described as having a lip section 1880a. It should be recognized, however, that conducting member 1880 can include various configurations to electrically contact spring member 1882.
  • conducting member 1880 can be formed without lip section 1880a. In this configuration, electrical contact can be formed between the side of conducting member 1880 and spring member 1882.
  • conducting member 1880 can be removed altogether. An electric charge can be applied directly to spring member 1882. However, in this configuration, hot spots can form in the portions of spring member 1882 where the electric charge is applied.
  • Spring member 1882 can be formed from any convenient electrically conducting, and corrosion-resistant material.
  • spring member 1882 is formed from a metal or metal alloy (such as stainless steel, spring steel, titanium, and the like). Spring member 1882 can also be coated with a corrosion-resistant material (such as platinum, gold, and the like).
  • spring member 1882 is formed as a coil spring formed in a ring.
  • conventional coil springs typically have cross sectional profiles, that can vary throughout the length of the coil. More specifically, in general, conventional coil springs have elliptical cross-sectional profiles, with a long diameter and a short diameter.
  • the long and short diameters of the elliptical cross-sectional profile can be oriented vertically and horizontally, respectively.
  • this elliptical cross-sectional profile typically twists or rotates along the length of the coil spring.
  • the long and short diameters of the elliptical cross-sectional profile can be oriented horizontally and vertically, respectively. This nonuniformity in the cross-sectional profile of the coil spring can result in nonuniform electrical contact with wafer 1602 and thus nonuniform electroplating.
  • spring member 1882 is formed from a plurality of coil springs to maintain a substantially uniform cross sectional profile.
  • spring member 1882 when spring member 1882 is disposed on top of lip portion 1880a. the applied electric charge is transmitted from lip portion 1880a throughout the length of spring member 1882. Accordingly, in this configuration, the plurality of coil springs need not be electrically joined. However, as alluded to earlier, in another configuration of the present invention, the electric charge can be applied directly to spring member 1882.
  • spring member 1882 includes a plurality of coil springs, each coil spring having a length of about 1 to about 2 inches. It should be recognized, however, that spring member 1882 can include any number of coil springs having any length depending on the particular application. Moreover, as alluded to earlier, spring member 1882 can include any convenient conforming and electrically conducting material.
  • spring member 1882 can include a spring holder 5002.
  • spring holder 5002 when spring member 1882 is a coil spring, spring holder 5002 is configured as a rod that passes through the center of the loops of the coil spring. Spring holder 5002 facilitates the handling of spring member 1882, particularly when spring member 1882 includes a plurality of coil springs. Additionally, spring holder 5002 provides structural support to reduce undesired deformation of spring member 1882.
  • spring holder 5002 is preferably formed from a rigid material (such as metal, metal alloy, plastic, and the like). Additionally, spring holder 5002 is preferably formed from a corrosion resistant material (such as platium, titanium, stainless steel, and the like). Furthermore, spring holder 5002 can be electrically conducting or non-conducting.
  • Conducting member 1880 can be formed from any convenient electrically conducting and corrosion-resistant material.
  • conducting member 1880 is formed from a metal or metal alloy (such as titanium, stainless steel, and the like) and coated with corrosion-resistant material (such as platinum, gold, and the like).
  • transmission line 5104 can include any convenient electrically conducting medium.
  • transmission line 5104 can include electric wire formed from copper, aluminum, gold, and the like.
  • transmission line 5104 can be connected to power supplies 1640, 1642 and 1644 (Fig. 16) using any convenient method.
  • transmission line 5104 can be run through top section 1858 and along the top surface of top section 1858.
  • Electrode 5102 is preferably configured to be compliant. Accordingly, when pressure is applied to hold bottom section 1856 and top section 1858 together, electrode 5102 conforms to maintain electric contact with conducting member 1880.
  • electrode 5102 can include a leaf spring assembly, a coil spring assembly, and the like.
  • Electrode 5102 can be formed from any convenient electrically conducting material (such as any metal, metal alloy, and the like).
  • electrode 5102 is formed from anti-corrosive material (such as titanium, stainless steel, and the like).
  • any number of electrodes 5102 can be disposed around top section 1858 to apply an electric charge to conducting member 1880. In the present exemplary embodiment, four electrodes 5102 are disposed approximately equally spaced at an interval of about 90 degrees around top section 1858.
  • wafer 1602 is immersed in an electrolyte solution and an electric charge is applied to wafer 1602.
  • wafer 1602 is electrically charged with a potential greater than electrodes 1632, 1634 and 1636 (Fig. 16)
  • metal ions within the electrolyte solution migrate to the surface of wafer 1602 to form a metal layer.
  • the electric charge is applied, shorting can result if spring member 1882 and/or conducting member 1 80 are exposed to the electrolyte solution.
  • the metal seed layer can act as an anode and spring member 1882 can act as a cathode.
  • seal member 1884 isolates spring member 1882 and conducting member 1880 from the electrolyte solution.
  • Seal member 1884 is preferably formed from anti-corrosive material, such as Viton (fluorocarbon) rubber, silicone rubber, and the like. Also, although in the present exemplary embodiment depicted in Fig.
  • seal member 1884 includes an L-shaped profile, it should be recognized that seal member 1884 can include various shapes and configurations depending on the particular application. Some examples of the various configurations of seal member 1884 are depicted in Figs. 53A to 53G. However, it should be recognized that the various configurations depicted in Figs. 53A to 53G are only exemplary and not intended to show each and every possible alternative configuration of seal member 1884.
  • spring member 1882 and seal member 1884 contact wafer 1602 around the outer perimeter of wafer 1602. More particularly, spring member 1882 and seal member 1884 contact a width 5106 of the outer perimeter of wafer 1602.
  • width 5106 is maintained at a small ratio of the overall surface area of wafer 1602. For example, for about a 300 millimeter (mm) wafer, width 5106 is kept between about 2 mm to about 6 mm. It should be recognized, however, that width 5106 can be any ratio of the overall surface area of wafer 1602 depending on the particular application. For example, in one application, the amount of metal layer deposited on wafer 1602 can be more important than the usable area of wafer 1602. As such, a large portion of the surface area of wafer 1602 can be dedicated to contacting spring member 1882 and sealing member 1884 to receive a large applied charge. With reference now to Fig. 54, the processing steps performed by wafer chuck
  • wafer chuck 1604 (Fig. 51 ) are set forth in a flow chart format.
  • wafer chuck 1604 is opened (Fig. 54, block 5402) to receive a wafer 1602 to be processed. More particularly, bottom section 1856 can be lowered relative to top section 1858. Alternatively, top section 1858 can be raised relative to bottom section 1856.
  • various methods can be used to open wafer chuck 1604, such as pneumatics, springs, vacuum, magnetics, and the like.
  • wafer chuck 1604 is empty (Fig. 54, YES branch on Decision Block 5404 to Block 5408), then a new wafer 1602, which is to be processed, is provided or inserted (Fig. 54, block 5408). However, if wafer chuck 1604 contains a wafer, which has been previously processed, then the previously processed wafer is removed from wafer chuck 1604 (Fig. 54. NO branch on Decision Block 5404 to Block 5406), then the new wafer 1602 is provided (Fig. 54, block 5408. As described above, the handling of wafer 1602 can be performed by a robot 106 (Fig. 16). Also, wafer 1602 can be obtained from a wafer cassette 116 (Fig. 3) and returned to wafer cassette 116 (Fig. 3).
  • wafer chuck 1604 can be closed (Fig. 54, block 5410). As alluded to above, bottom section 1856 can be raised relative to top section 1858. Alternatively, top section 1858 can be lowered relative to bottom section 1856. As described above, when wafer chuck 1604 is closed, spring member 1882 forms an electrical contact with wafer 1602 and conducting member 1880. Additionally, conducting member 1880 forms an electrical contact with electrode 502.
  • wafer chuck 1604 is closed, wafer chuck 1604 is lowered (Fig. 54, block 5412) within electrolyte solution receptacle 1608 (Fig. 16). As described above, wafer 1602 is then immersed in an electrolyte solution. Also, as described above, seal member 1884 prevents the electrolyte solution from coming into contact with spring member 1882 and conducting member 1880.
  • an electric charge is applied to wafer 1602 (Fig. 54, block 5414). More particularly, in the present exemplary embodiment, an electric charge is applied to wafer 1602 through transmission line 504, conductor 502, conducting member 1880, and spring member 1882. As described above, spring member 1882 forms a plurality of contact points around the outer perimeter of wafer 1602 to facilitate a more even distribution of the electric charge applied to wafer 1602. Additionally, as described above, spring member 1882 forms a plurality of contact points with conducting member 1880 to facilitate a more even distribution of the electric charge applied to spring member 1882.
  • wafer chuck 1604 can be rotated to facilitate a more even electroplating of the metal layer on wafer 1602 (Fig. 16). As depicted in Fig. 16, in the present exemplary embodiment, wafer chuck 1604 can be rotated about the z-axis. Additionally, wafer chuck 1604 can be oscillated in the x-y plane.
  • a dry gas (such as argon, nitrogen, and the like) is applied to remove residual electrolyte solution. More particularly, with reference to Fig. 52A, the dry gas is applied through nozzle 5202 to remove residual electrolyte from the joint between seal member 1884 and wafer 1602. It should be recognized that any number of nozzles 5202 can be used depending on the particular application.
  • wafer chuck 1604 can be rotated while the dry gas is applied through nozzle 5202.
  • nozzle 5202 can be fixed or moveable.
  • wafer chuck 1604 is opened (Fig. 54, block 5402).
  • the processed wafer is then removed (Fig. 54, NO branch on Decision Block 5404 to Block 5406).
  • a dry gas (such as argon, nitrogen, and the like) can be applied to remove residual electrolyte solution. More particularly, with reference to Fig. 52B, the dry gas is applied through nozzle 5204 to remove residual electrolyte from conducting member 1880, spring member 1882, and seal member 1884. Additionally, wafer chuck 1604 can be rotated while the dry gas is applied through nozzle 5204. As such, nozzle 5204 can be fixed or moveable. After a new wafer is provided (Fig. 54, block 5408), the entire process can be repeated.
  • a wafer chuck 5500 includes a purge line 5506, a nozzle 5508 and a nozzle 5510.
  • purge line 5506 and nozzles 5508 and 5510 inject a dry gas (such as argon, nitrogen, and the like) onto spring member 5514 and seal member 5504.
  • a dry gas such as argon, nitrogen, and the like
  • residual electrolyte can be purged from spring member 5514 and seal member 5504.
  • maintaining spring member 5514 free of electrolyte solution facilitates a more uniform electroplating process.
  • purge line 5506 and nozzles 5508 and 5510 are formed in conducting member 5502. Additionally, purge line 5506 can be connected to pressure line 1852 (Fig. 18 A). It should be recognized, however, that wafer chuck 5500 can be suitably configured with purge line 5506 and nozzles 5508 and 5510 in a variety of manners without deviating from the spirit and/or scope of the present invention. Furthermore, it should be recognized that any number of purge lines 5506, nozzles 5508 and nozzles 5510 can be formed in wafer chuck 5500. With reference now to Fig.
  • a wafer chuck 5600 in another alternative exemplary embodiment of the present invention, includes a purge line 5602 and a plurality of nozzles 5604.
  • purge line 5602 and plurality of nozzles 5604 inject a dry gas (such as argon, nitrogen, and the like) onto seal member 5606.
  • a dry gas such as argon, nitrogen, and the like
  • purge line 5602 and plurality of nozzles 5604 are formed in top section 5608.
  • wafer chuck 5600 can be suitably configured in a variety manner with purge line 5602 and plurality of nozzles 5604 without deviating from the spirit and/or scope of the present invention. Furthermore, it should be recognized that any number of purge lines 5602 and nozzles 5604 can be formed in wafer chuck 5600.
  • a wafer chuck 5700 includes a purge line 5702 and a plurality of nozzles 5704 and 5710.
  • purge line 5702 and plurality of nozzles 5704 and 5710 inject a dry gas (such as argon, nitrogen, and the like) onto seal member 5706 and spring member 5712, respectively.
  • a dry gas such as argon, nitrogen, and the like
  • purge line 5702 and plurality of nozzles 5704 and 5710 are formed in top section 5708. It should be recognized, however, that wafer chuck 5700 can be suitably configured in a variety of manners with purge line 5702 and plurality of nozzles 5704 and 5710 without deviating from the spirit and/or scope of the present invention. Furthermore, it should be recognized that any number of purge lines 5702 and nozzles 5704 and 5710 can be formed in wafer chuck 5700.
  • a wafer chuck 5800 includes a purge line 5802 and a plurality of seal rings 5804 and 5806.
  • seal ring 5806 forms a seal between conducting member 5808 and bottom section 5810.
  • seal ring 5804 forms a seal between conducting member 5808 and top section 5812.
  • purge line 5802 can be pumped to generate negative pressure to check the seal quality between wafer 1602 and seal member 5814.
  • purge line 5802 If this latter process is used, to prevent electrolyte from being sucked into purge line 5802, the pumping of purge line 5802 should cease after processing of wafer 1602, then positive pressure should be injected through purge line 5802 prior to removing wafer 1602. After wafer 1602 is processed and removed from wafer chuck 1200, by injecting a dry gas (such as argon, nitrogen, and the like) through purge line 5802, residual electrolyte can be purged from spring member 5816 and seal member 5814.
  • a dry gas such as argon, nitrogen, and the like
  • a wafer chuck 5900 includes a seal member 5902 having a trapezoidal shape.
  • the trapezoidal shape of seal member 5902 facilitates the removal of residual electrolyte from seal member 5902.
  • angle 5904 of seal member 5902 can range between about 0 degrees to about 60 degrees, and preferably about 20 degrees.
  • a wafer chuck 6000 in another alternative exemplary embodiment of the present invention, includes a purge line 6002.
  • purge line 6002 is formed through bottom section 6006 and seal member 6004.
  • purge line 6004 can be pumped to generate negative pressure to check the seal quality between wafer 1602 and seal member 6004.
  • purge line 6002 should cease after processing of wafer 1602 and positive pressure should be injected through purge line 6002 prior to removing wafer 1602
  • a wafer chuck 6100 includes a purge line 6102, a purge line 6108, and a plurality of seal rings 6116 and 6104.
  • seal ring 6116 forms a seal between conducting member 6118 and top section 6110.
  • seal ring 6104 forms a seal between conducting member 6118 and bottom section 6106.
  • the seal quality between wafer 1602 and seal member 6112 can be checked using purge line 6102 and/or purge line 6108. More particularly, in one configuration, the seal quality can be checked by feeding pressure gas into purge line 6102 and purge line 6108 and checking for leakage.
  • purge line 6102 and purge line 6108 can be pumped to generate negative pressure to check the seal quality between wafer 1602 and seal member 6112.
  • either purge line 6102 or purge line 6108 can be fed with pressure while the other is pumped to generate negative pressure.
  • negative pressure is used to check for leakage, to prevent electrolyte from being sucked into purge line 6102 and/or purge line 6108, pumping should cease after processing of wafer 1602, then positive pressure should be injected through purge line 6102 and/or purge line 6108 prior to removing wafer 1602.
  • a wafer chuck 6200 includes a spring member 6208, a conducting member 6210 and a seal member 6206.
  • spring member 6208 and conducting member 6210 are disposed within seal member 6206. This configuration has the advantage that spring member 6208, conducting member 6210, and seal member 6206 can be pre-assembled.
  • Wafer chuck 6200 further includes a purge line 6214 and a plurality of nozzles 6212 formed through seal member 6214 and conducting member 6210.
  • purge line 6214 By feeding positive pressure gas through purge line 6214, the seal quality between wafer 1602 and seal member 6206 can be checked.
  • purge line 6214 can be pumped to generate negative pressure to check the seal quality between wafer 1602 and seal member 6206.
  • the pumping of purge line 6214 should cease after processing of wafer 1602, then positive pressure should be injected through purge line 6214 prior to removing wafer 1602
  • a wafer chuck 6300 includes a purge line 6302 and a plurality of nozzles 6304.
  • purge line 6302 and plurality of nozzles 6304 inject a dry gas (such as argon, nitrogen, and the like) onto seal member 6310, conducting member 6308, and spring member 6306.
  • a dry gas such as argon, nitrogen, and the like
  • purge line 6302 and plurality of nozzles 6304 are formed in top section 6312. It should be recognized, however, that wafer chuck 6300 can be suitably configured in a variety of manners with purge line 6302 and plurality of nozzles 6304 without deviating from the spirit and/or scope of the present invention. Furthermore, it should be recognized that any number of purge lines 6302 and nozzles 6304 can be formed in wafer chuck 6300.
  • a wafer chuck 6400 includes a seal member 6402.
  • seal member 6402 is formed with a square interior groove for receiving spring member 6404. This configuration has the advantage of more securely receiving spring member 6404. It should be recognized, however, seal member 6402 can be formed with a variety of shapes depending on the particular application.
  • a wafer chuck 6500 according to various aspects of the present invention includes a purge line 6502, a purge line 6508, and a seal ring 6506.
  • seal ring 6506 forms a seal between bottom section 6504 and top section 6510. As a result, the seal quality between wafer 1602 and seal member 6512 can be checked using purge line 6502 and/or purge line 6508.
  • the seal quality can be checked by feeding pressure gas into purge line 6502 and purge line 6508 and checking for leakage.
  • purge line 6502 and purge line 6508 can be pumped to generate negative pressure to check the seal quality between wafer 1602 and seal member 6512.
  • either purge line 6502 or purge line 6508 can be fed with pressure while the other is pumped to generate negative pressure.
  • negative pressure is used to check for leakage, to prevent electrolyte from being sucked into purge line 6502 and/or purge line 6508, pumping should cease after processing of wafer 1602, then positive pressure should be injected through purge line 6502 and/or purge line 6508 prior to removing wafer 1602.
  • a wafer chuck 6600 includes a seal member 6602 having a trapezoidal shape.
  • angle 6604 of seal member 6602 can range between about 0 degrees to about 60 degrees, and preferably about 20 degrees.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)
EP99965053A 1998-11-28 1999-11-24 Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces Withdrawn EP1133786A2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11013698P 1998-11-28 1998-11-28
US110136P 1998-11-28
PCT/US1999/028106 WO2000033356A2 (en) 1998-11-28 1999-11-24 Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces

Publications (1)

Publication Number Publication Date
EP1133786A2 true EP1133786A2 (en) 2001-09-19

Family

ID=22331393

Family Applications (1)

Application Number Title Priority Date Filing Date
EP99965053A Withdrawn EP1133786A2 (en) 1998-11-28 1999-11-24 Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces

Country Status (9)

Country Link
EP (1) EP1133786A2 (zh)
JP (2) JP2002531702A (zh)
KR (3) KR100503553B1 (zh)
CN (2) CN1191605C (zh)
AU (1) AU3105400A (zh)
CA (1) CA2352160A1 (zh)
IL (1) IL143316A (zh)
TW (1) TW430919B (zh)
WO (1) WO2000033356A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11545378B2 (en) 2020-09-16 2023-01-03 Changxin Memory Technologies, Inc. Leak detection apparatus and method and wafer electroplating method

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6848970B2 (en) 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6537144B1 (en) 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US7066800B2 (en) 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
JP4644926B2 (ja) * 2000-10-13 2011-03-09 ソニー株式会社 半導体製造装置および半導体装置の製造方法
WO2002083995A1 (en) * 2001-04-12 2002-10-24 Arthur, Keigler Method of and apparatus for controlling fluid flow
JP2003027280A (ja) * 2001-07-18 2003-01-29 Ebara Corp めっき装置
US7150816B2 (en) * 2001-08-31 2006-12-19 Semitool, Inc. Apparatus and method for deposition of an electrophoretic emulsion
TWI275436B (en) 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
TWI274393B (en) * 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
JP2006511699A (ja) * 2002-07-22 2006-04-06 エーシーエム リサーチ,インコーポレイティド 厚さの計測値を使用した適応型の電解研磨と障壁及び犠牲層の除去
JP3860111B2 (ja) * 2002-12-19 2006-12-20 大日本スクリーン製造株式会社 メッキ装置およびメッキ方法
US7842169B2 (en) 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US8804535B2 (en) * 2009-03-25 2014-08-12 Avaya Inc. System and method for sending packets using another device's network address
TWI410531B (zh) * 2010-05-07 2013-10-01 Taiwan Semiconductor Mfg 直立式電鍍設備及其電鍍方法
KR101211826B1 (ko) * 2010-06-14 2012-12-18 연세대학교 산학협력단 자기유변유체를 이용한 피가공물의 연마장치 및 그 연마방법
JP5782398B2 (ja) 2012-03-27 2015-09-24 株式会社荏原製作所 めっき方法及びめっき装置
US9399827B2 (en) * 2013-04-29 2016-07-26 Applied Materials, Inc. Microelectronic substrate electro processing system
SG11201508466QA (en) 2013-05-09 2015-11-27 Acm Res Shanghai Inc Apparatus and method for plating and/or polishing wafer
KR101353378B1 (ko) * 2013-08-19 2014-01-22 주식회사 케이엠 주사기용 믹싱 조인트
CN104465481A (zh) * 2013-09-22 2015-03-25 盛美半导体设备(上海)有限公司 晶圆夹盘
CN105297127B (zh) * 2014-05-30 2019-04-05 盛美半导体设备(上海)有限公司 带有电极的喷头装置
JP6449091B2 (ja) * 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
US10053793B2 (en) * 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
KR102156430B1 (ko) * 2020-04-28 2020-09-15 주식회사 스마트코리아피씨비 회전식 기판 도금장치
CN114262920A (zh) * 2020-09-16 2022-04-01 长鑫存储技术有限公司 晶圆电镀设备、漏气检测装置和方法、晶圆电镀方法
CN112144096B (zh) * 2020-09-25 2022-05-10 深圳市生利科技有限公司 一种锌镍合金电镀设备
CN113013078A (zh) * 2021-03-04 2021-06-22 苏州竣合信半导体科技有限公司 一种用于芯片定位的兼容芯片定位槽及其使用方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5419649A (en) * 1977-07-15 1979-02-14 Hitachi Ltd Wafer holding jig for electrtolytic plating
JPS58181898A (ja) * 1982-04-14 1983-10-24 Fujitsu Ltd メツキ用給電装置
JPS6396292A (ja) * 1986-10-13 1988-04-27 Mitsubishi Electric Corp 電解メツキ装置
JPH0375394A (ja) * 1989-08-18 1991-03-29 Fujitsu Ltd メッキ装置
JPH03232994A (ja) * 1990-02-06 1991-10-16 Fujitsu Ltd メッキ装置
JP2567716B2 (ja) * 1990-03-20 1996-12-25 富士通株式会社 電気メッキ装置
JP2608485B2 (ja) * 1990-05-30 1997-05-07 富士通株式会社 めっき装置
DE4024576A1 (de) * 1990-08-02 1992-02-06 Bosch Gmbh Robert Vorrichtung zum einseitigen aetzen einer halbleiterscheibe
JPH04186630A (ja) * 1990-11-19 1992-07-03 Oki Electric Ind Co Ltd 半導体ウエハのバンプ電極めっき装置
JP2704796B2 (ja) * 1991-04-22 1998-01-26 株式会社東芝 半導体ウェハめっき用治具
JPH05243236A (ja) * 1992-03-03 1993-09-21 Fujitsu Ltd 電気メッキ装置
US5405518A (en) * 1994-04-26 1995-04-11 Industrial Technology Research Institute Workpiece holder apparatus
US5670034A (en) * 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP3627884B2 (ja) * 1996-10-17 2005-03-09 株式会社デンソー メッキ装置
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO0033356A3 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11545378B2 (en) 2020-09-16 2023-01-03 Changxin Memory Technologies, Inc. Leak detection apparatus and method and wafer electroplating method

Also Published As

Publication number Publication date
CN1346510A (zh) 2002-04-24
TW430919B (en) 2001-04-21
WO2000033356A9 (en) 2001-08-02
JP2002531702A (ja) 2002-09-24
IL143316A (en) 2005-03-20
KR20050013179A (ko) 2005-02-02
KR100503553B1 (ko) 2005-07-26
WO2000033356A3 (en) 2001-07-12
CN100382235C (zh) 2008-04-16
CA2352160A1 (en) 2000-06-08
JP2007119923A (ja) 2007-05-17
WO2000033356A2 (en) 2000-06-08
KR100516776B1 (ko) 2005-09-26
CN1191605C (zh) 2005-03-02
CN1632914A (zh) 2005-06-29
IL143316A0 (en) 2002-04-21
KR100562011B1 (ko) 2006-03-22
KR20010086051A (ko) 2001-09-07
KR20040070317A (ko) 2004-08-06
AU3105400A (en) 2000-06-19

Similar Documents

Publication Publication Date Title
US6726823B1 (en) Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6749728B2 (en) Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
WO2000033356A2 (en) Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6436267B1 (en) Method for achieving copper fill of high aspect ratio interconnect features
US7947157B2 (en) Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7341649B2 (en) Apparatus for electroprocessing a workpiece surface
JP4766579B2 (ja) 電気化学堆積装置
US20050282371A1 (en) Sequential station tool for wet processing of semiconductor wafers
US20040104120A1 (en) Method and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US11643744B2 (en) Apparatus for electrochemically processing semiconductor substrates
WO2002031227A2 (en) Deposition uniformity control for electroplating apparatus, and associated method

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20010622

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

RBV Designated contracting states (corrected)

Designated state(s): DE FR GB

RIN1 Information on inventor provided before grant (corrected)

Inventor name: NUCH, VOHA

Inventor name: GUTMAN, FELIX

Inventor name: WANG, HUI

RIN1 Information on inventor provided before grant (corrected)

Inventor name: NUCH, VOHA

Inventor name: GUTMAN, FELIX

Inventor name: WANG, HUI

17Q First examination report despatched

Effective date: 20080729

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20081209