DE60203398T2 - Verzögerungsfehler-testschaltung und -methode - Google Patents

Verzögerungsfehler-testschaltung und -methode Download PDF

Info

Publication number
DE60203398T2
DE60203398T2 DE60203398T DE60203398T DE60203398T2 DE 60203398 T2 DE60203398 T2 DE 60203398T2 DE 60203398 T DE60203398 T DE 60203398T DE 60203398 T DE60203398 T DE 60203398T DE 60203398 T2 DE60203398 T2 DE 60203398T2
Authority
DE
Germany
Prior art keywords
clock
pulses
signal
circuit arrangement
pulse
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60203398T
Other languages
English (en)
Other versions
DE60203398D1 (de
Inventor
P. David PRICE
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP BV
Original Assignee
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics NV filed Critical Koninklijke Philips Electronics NV
Application granted granted Critical
Publication of DE60203398D1 publication Critical patent/DE60203398D1/de
Publication of DE60203398T2 publication Critical patent/DE60203398T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318328Generation of test inputs, e.g. test vectors, patterns or sequences for delay tests
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318577AC testing, e.g. current testing, burn-in
    • G01R31/31858Delay testing

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Emergency Protection Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf eine Verzögerungsfehler-Testschaltung und auf ein Verfahren dazu, wobei diese Schaltungsanordnung vorgesehen ist zum Erzeugen eines Ausgangsimpulses in Reaktion auf ein Triggersignal, das an der Schaltungsanordnung empfangen worden ist zum Übertragen von Testdaten über beispielsweise eine nachfolgende integrierte Schaltung zum testen der integrierten Schaltung auf Widerstands- und/oder Kapazitätsfehler.
  • Eine derartige Anordnung ist aus US-A-6.065.145 bekannt, worin ein Verfahren beschrieben wird zum Testen von Streckenverzögerungsfehlern in aufeinander folgenden logischen Schaltungen. Während ein derartiges Verfahren Vorteile gegenüber relativ langsamen strukturellen Testschemen bietet, indem dieses Verfahren es ermöglicht, dass auf Applikationsfrequenzen umgeschaltet werden kann, die nur für eine kurze Periode für die Schaltungsanordnung geeignet sind, während die Schaltungsanordnung getestet wird, gibt es dennoch in Bezug auf den Gegenstand der US-A-6.065.145 Nachteile, indem eine derartige Schaltungsanordnung auf die Verwendung beim Testen von Datenübertragungen zwischen logischen Blöcken begrenzt ist, die mit einer gemeinsamen Applikationsgeschwindigkeit laufen.
  • Die vorliegende Erfindung hat nun u. a. zur Aufgabe, eine Verzögerungsfehlertestschaltung und ein Verfahren dazu zu schaffen, die bzw. das gegenüber einer bekannten derartigen Schaltungsanordnung und einem bekannten derartigen Verfahren Vorteile bietet, und die bzw. das insbesondere das Testen von Streckenverzögerungsfehlern zwischen logischen Blöcken ermöglicht, die mit verschiedenen Applikationsgeschwindigkeiten laufen.
  • Nach einem ersten Aspekt der vorliegenden Erfindung wird eine Verzögerungsfehlertestschaltung der eingangs beschriebenen Art geschaffen, die das Kennzeichen aufweist, dass die Schaltungsanordnung einen Verzögerungsfehlerimpulsgenerator aufweist, vorgesehen zum Analysieren von ersten und zweiten Taktsignalen verschiedener Frequenzen, die mit logischen Schaltungen assoziiert sind, die vorgesehen sind um mit verschiedenen Geschwindigkeiten zu laufen, und zum Ausliefern, in Reaktion auf eine derartige Analyse, einer Impulsfolge von zwei Taktimpulsen für jedes empfangene Taktsignal, wobei der Verzögerungsfehlerimpulsgenerator derart vorgesehen ist, dass die ansteigenden Flanken jedes der zweiten Taktimpulse der genannten zwei Taktimpulse ausgerichtet werden.
  • Durch eine derartige gesteuerte Ausrichtung der ansteigenden Flanken der genannten zweiten Impulse können Schaltungsanordnungen, wie Flip-Flop-Schaltungen, die in einer einzigen Taktdomäne arbeiten, Daten in dem zweiten Impuls einfangen. Der Übergang von einer langsameren Taktdomäne in eine schnellere wird dann als statisch erscheinen, bis an den zweiten Impuls des schnelleren Taktes, während der Übergang von einer schnelleren Taktdomäne in eine langsamere bis an den zweiten Impuls des langsameren Taktes statisch bleibt.
  • Synchronisation der ansteigenden Flanken der betreffenden zweiten Impulse auf diese Weise ermöglicht ein Testen der Schaltungsanordnung mit logischen Blöcken, die mit verschiedenen Applikationsgeschwindigkeiten laufen. Die Schaltungsanordnung ermöglicht eine Messung der Verzögerung während Daten durch die digitalen logischen Blöcke laufen und die oben genannte Ausrichtung der ansteigenden Flanken der genannten zweiten Impulse ermöglicht es, dass eine Verzögerung in den digitalen logischen Blöcken, die von einem logischen Block, der in der einen Taktdomäne läuft, übertragen worden ist, genau gemessen wird, wenn diese in einen logischen Block eingefangen wird, der in einer anderen Taktdomäne läuft.
  • Nach einem zweiten Aspekt der vorliegenden Erfindung wird ein Verfahren geschaffen zum Verzögerungsfehlertesten einer integrierten Schaltung zum Erzeugen eines Ausgangsimpulses in Reaktion auf ein Eingangstriggersignal, gekennzeichnet durch die nachfolgenden Schritte: das Analysieren von ersten und zweiten Taktsignalen mit verschiedenen Frequenzen, die mit logischen Schaltungen assoziiert sind, die verschiedene Applikationsfrequenzen haben, das Erzeugen einer Folge von zwei Taktimpulsen für jedes der genannten ersten und zweiten Taktsignale, wobei die betreffende Folge von Taktimpulsen derart vorgesehen ist, dass die ansteigenden Flanken der zweiten Impulse in jeder der genannten Folgen ausgerichtet werden.
  • Der Gegenstand des Anspruchs 2 ist vorteilhaft bei der Unterdrückung etwaiger Korruption des Ausgangsvektors, der von dem ersten Applikationsimpuls erzeugt wird.
  • Der Gegenstand des Anspruchs 3 ist besonders vorteilhaft bei der Darstellung einer zuverlässigen Art und Weise zum Abtasten aufgeteilter Taktimpulse des "fastclk"-Signals.
  • Die Gegenstände des Anspruchs 4 versuchen Unterfluss oder Übertrag in dem Taktbreiten-Rückwärtszähler zu vermeiden.
  • Anspruch 5 hat sich als vorteilhaft erwiesen, da es wichtig sein kann, dass die jüngste Instanz von dem Event Counter gespeichert wird, wenn alle Taktsignale zusammen ansteigen bevor der Event Counter überrollt.
  • Der Gegenstand des Anspruchs 8 dient um zu bestimmen, dass die Breite des Ausgangs- und Labelsignals größer ist als der Taktimpuls, den er schalten muss.
  • Der Gegenstand des Anspruchs 9 hat sich als vorteilhaft erwiesen, da ein mehrzyklisches Testen der Vollabtastung ermöglicht wird, indem gestattet wird, dass die Taktimpulse unabhängig voneinander während deren normalen Zyklus abgeschaltet werden.
  • Ausführungsbeispiele der Erfindung sind in der Zeichnung dargestellt und werden im vorliegenden Fall näher beschrieben. Es zeigen:
  • 1 ein Blockschaltbild eines Verzögerungsfehler-Impulsgenerators nach einer Ausführungsform der vorliegenden Erfindung und als integriert in ein integriertes Schaltungspaket,
  • 2 ein detailliertes schematisches Blockschaltbild, das den Verzögerungsfehler-Impulsgenerator nach 1 illustriert,
  • 3 die Freigabesignale, die, wenn geschaltet mit den Taktsignalen aus 5, die in 4 dargestellten Ergebnisse erzeugen,
  • 4 die assoziierten Taktimpulse, entwickelt nach der vorliegenden Erfindung und wie von den Taktsignalen hergeleitet, wie diese in 3 dargestellt sind, und
  • 5 die Beziehung zwischen den Taktsignalen, die nach der vorliegenden Erfindung analysiert werden können.
  • In 1 ist ein schematisches Blockschaltbild einer Verzögerungsfehlertestschaltung 10 dargestellt, die mit einer zu betreibenden, digitalen logischen Schaltung 12 assoziiert ist, und wobei ein Verzögerungsfehlerimpulsgenerator 14 nach der vorliegenden Erfindung zwischen dem Ausgang eines Taktimpulsteilers 16 und der digitalen Schaltungsanordnung 12 vorgesehen ist. In dem dargestellten Beispiel ist ein Taktimpulsmultiplizierer 18 zum Empfangen eines Kristalltaktimpulssignals vorgesehen, wobei das Ausgangssignal des Taktimpulsmultiplizierers und des Kristalltaktimpulseingangs mit Hilfe eines Multiplexers 20 einem Eingang des Taktimpulsteilers 16 zugeführt wird. Eine Testkontrollblockschaltung (TCB) 22 ist ebenfalls vorgesehen zum Liefern von Merker- und Freigabesignalen zu dem Verzögerungsfehlerimpulsgenerator 14.
  • Wenn ein Taktimpulsmultiplizierer verwendet wird um den Kristalltaktimpuls zu nehmen und seine Frequenz zu multiplizieren, muss dies auch in der Verzögerungsfehlertestmode kontrolliert werden.
  • Die in 1 dargestellten jeweiligen Signale können den in der nachstehenden Tabelle aufgeführten funktionellen Definitionen zugeordnet werden:
  • Figure 00050001
  • Wenn deft_en hoch wird, wird der Taktimpulsmultiplizierer freigegeben, da es einige Zeit dauert, die Applikationsfrequenz aufzubauen. Ohne dieses Merkmal könnte es sich herausstellen, dass das Testen von Verzögerungsfehlermustern auf ungünstige Weise zeitaufwendig ist.
  • Die Steuerung des Multiplexers für den multiplizierten oder Kristalltaktimpuls ist in der nachfolgenden Tabelle dargestellt:
  • Figure 00060001
  • Die nachfolgende Analyse wird auf der Basis entwickelt, dass es neben dem fastclk-Signal zwei weitere Taktimpulssignale clk(0), clk(1) gibt. In diesem Beispiel ist fastclk der schnellste Taktimpuls, clk(0) ist ein Halber und clk(1) ist ein Viertel von fastclk, wie in 5 dargestellt.
  • Die Taktimpulse, die während des Applikationszyklus erforderlich sind, werden deswegen wie in 4 dargestellt, erscheinen. Es dürfte einleuchten, dass die zweiten Impulse alle derart ausgerichtet sind, dass Daten von Flip-Flop-Schaltungen innerhalb einer Taktimpulsdomäne Daten in dem zweiten Impuls einfangen werden; eine langsamere Taktimpulsdomäne oder eine schnellere ist statisch bis zu dem zweiten Impuls des schnellen Taktimpulses; und eine schnellere Taktimpulsdomäne zu einer langsameren wird statisch sein bis zum zweiten Impuls des langsamen Taktimpulses.
  • Es sei aber bemerkt, dass Korruption des von dem ersten Applikationsimpuls erzeugten Ausgangsvektors entstehen kann und dies führt zu der Anforderung, dass Abtast-Flip-Flop-Schaltungen vorgesehen werden müssen, damit Unterdrückung der beiden der normalen Zyklusimpulse in allen Taktimpulsen ermöglicht wird.
  • Um diese Impulse zu schalten sind Taktimpulsfreigabesignale erforderlich und die negativen Flanken des schnellsten Taktimpulses, hier fastclk, wird benutzt um zu vermeiden, dass die geschalteten Taktimpulse kurz stören.
  • Damit, wenn die Freigabesignale geschaltet werden müssen, der Event Counter aufgebaut wird, kann ein derartiger, wie in 3 dargestellt, verwendet werden.
  • Aus den Zuständen des Event Counters, wie in 3 dargestellt, ist ersichtlich, dass die positive Flanke von fastclken in dem Event-Zustand 3 aktiviert werden muss, clken(0) in dem Event-Zustand 2 aktiviert werden muss, und clken(1) in dem Event-Zustand 0 aktiviert werden muss. Dies kann mit Hilfe eines Breitenzählers berechnet werden, da erkannt wird, dass fastclk eine Breite von 1 Zyklus hat, dass clk(0) 2 Zyklen breit ist, und clk(1) 4 Zyklen breit ist.
  • Ein Punkt, bei dem alle Taktimpulse hoch werden, muss zunächst gefunden werden. Dies wird als clocksync bezeichnet und in dem in 3 dargestellten Beispiel lässt sich ein derartiger Punkt in dem Event 4 finden. Dieser Punkt soll wenigstens halbwegs in dem Event Counter liegen. Danach kann mit Hilfe einer Rückwärtszählung rückwärts von clocksync bestimmt werden, dass fastclken = 4 – 1 => 3, clken(0) = 4 – 2 => 2 ist und clk(1) = 4 – 4 => 0, wie aus dem Obenstehenden erforderlich ist.
  • In 2 ist eine Angabe darüber gegeben, wie die positive Flanke hergeleitet wird. Die negative Flanke der Freigabesignale wird durch Negativflanken-getriggerte Schaltungsanordnungen erzeugt, die durch die eigenen betreffenden Taktimpulse getriggert werden.
  • Wie erwähnt zeigt 2 ein funktionelles Blockschaltbild des Verzögerungsfehlerstreckengenerators 14 nach 1. Die in 1 dargestellten Eingangs- und Ausgangssignale sind ebenfalls in 2 dargestellt, woraus ersichtlich ist, dass die Taktsignale clk(0)–clk(n – 1) Detektoren 24 für positive Flanken geliefert werden, die je ein Ausgangssignal geben, das einem Taktsignalmultiplexer 26 sowie einem Synchronisationsdetektor 28 zugeführt wird. Der Synchronisationsdetektor 28 empfängt ebenfalls ein Eingangssignal von einem Event Counter 30. Es ist ein weiterer Detektor für positive Flanken in Form einer Rückstellschaltung 32 vorgesehen, und zwar zum Erzeugen eines Rückstellsignals und eines Testmodeeingangssignals, das dem Impulsgenerator 14 zugeführt wird, verlässt den Generator als ein Testmodeausgangssignal über einen Teststeuerblock 34. Der flankendetektierte Taktsignalmultiplexer 26 und der Synchronisationsdetektor 28 liefern Ausgangssignale zu dem Taktimpulsbreiten-Rückwärtszähler 36, der seinerseits ein Ausgangssignal zu einem Takt-Event-Signaldemultiplexer 38 liefert. Der Takt-Event-Signaldemultiplexer 38 ist dazu vorgesehen, betreffende Ausgangstakt-Event-Signale zu liefern, und zwar über eine Reihenschaltung aus Event-Registern 40, Event-Vergleichsschaltungen 42, Freigaberegistern 44, Freigabemultiplexern 46, Negativflankendetektoren 48 und Impulslöschern 50. Die Wirkungsweise des Impulsgenerators 14, worin die vorliegende Erfindung, wie in dem schematischen Blockschaltbild nach 2 dargestellt, verkörpert ist, wird nachstehend näher beschrieben.
  • Wie erwähnt, ist der Verzögerungsfehlerimpulsgenerator 14 zwischen dem Ausgang des Taktimpulsteilerblocks 16 und der logischen Schaltung 12 vorgesehen um wie in 1 gespeist zu werden. Die ganze Schaltungsanordnung wird mit dem invertierten fastclk betrieben, da sich dies als die geeigneteste und zuverlässigste Zeit ist zum Abtasten von Taktimpulsen, die von fastclk geteilt sind. Wenn die Verzögerungsfehlermode nicht freigegeben ist, kann der größte Teil der Schaltungsanordnung auf vorteilhafte Weise abgeschaltet werden, und zwar zum Reduzieren des Applikationsmodestroms.
  • Die Teststeuerung 34 wird benutzt zur Steuerung des Testmodesignals, das als Merker wirksam ist, der während des digitalen Testes hoch gesetzt wird um die Teilung in allen Applikationstaktimpulsen zu entfernen. Dies ermöglicht es, dass der Test auf Abtastbasis Daten über verschiedene Taktimpulsdomänenbegrenzungen übertragen und empfangen wird.
  • Die nachfolgende Tabelle zeigt, wie das testmode_out gesteuert wird.
  • Figure 00090001
  • Figure 00100001
  • Aus der oben stehende Tabelle dürfte es einleuchten, dass ein 0 zu 1 Übergang in deft_en gesehen werden muss um eine Rückstellung auszulösen und auf diese Weise die Kalibrierung zu starten. Wenn die Kalibrierung fehlt, d.h. wenn se niedrig gestellt wird, bevor die Kalibrierung komplett ist, oder wenn kein Synchronisationspunkt gefunden werden kann, werden keine Impulse erzeugt. Wie bereits erwähnt, wurde diese "Dump"-Mode als Datensicherung hinzugefügt, im Falle die Kalibrierung aus irgendeinem Grund erfolglos ist. Jeder Taktimpulsausgang wird zwei Taktimpulse erzeugen, wobei aber der zweite Impuls nicht ausgerichtet ist. Der erste Impuls kann ggf. nicht ausgerichtet sein, und zwar abhängig davon, wo das Signal in dem Taktimpulsteilerzyklus aufrechterhalten wird.
  • Es sei ebenfalls bemerkt, dass wenn die Taktimpulse geschaltet werden, sehr viel acht gegeben werden muss um die Taktimpulse zu Zeitpunkten ein und abzuschalten, die schräg-tolerant sind und die Ausgangsimpulse nicht eingrenzen.
  • Der Event Counter 30 wird eingeschaltet und wird die ganze Zeit laufen, in der das deft_en Signal hoch ist. Die wird auf vorteilhafte Weise angewandt als ein Zeitbezugswert zum Bestimmen des Auftritts von Taktimpulsübergängen. Das evencountend-Signal gibt an, wenn der Event Counter 30 wieder zu Null zurückrollt. Die Länge des Event Counters 30 ist vorzugsweise derart vorgesehen, dass diese der dreifachen Länge der Wiederholungsrate der Taktimpulse entspricht. Diese Wiederholungsrate umfasst die Anzahl fastclks, die zwischen den Zeiten auftreten, wo von allen Taktimpulsen die Anstiegsflanken zusammenfallen. Dies ist um zu gewährleisten, dass der Taktimpulsbreiten-Rückwärtszähler 36 nicht unterläuft, d.h. umwickelt.
  • Die Rückstellschaltung 32 detektiert einen Niedrig-Hoch-Übergang, d.h. eine positive Flanke, bei deft_en und erzeugt eine Rückstellung, was den Zyklustaktimpulszähler 25, den Synchronisationsdetektor 28 und die Freigaberegister 40 einstellt.
  • Die positive Flanke-Detektoren 24 an clk(0)–clk(n – 1) detektieren Übergänge von niedrig zu hoch an jedem dieser Taktimpulse, wie von dem fastclk geteilt.
  • Der Synchronisationsdetektor 28 überprüft simultan alle positive Flanke-Detektoren 24 um zu bestimmen, wann alle Taktimpulse zusammen ansteigen. Der Zeitbezugswert von dem Event Counter 30 wird ausgelesen und als clocksync gespeichert und der calsync-Merker werden hoch gesetzt. Da der Taktimpulsbreiten-Rückwärtszähler 36 von dem clocksync rückwärts spurt, kann es sich als günstig erweisen, dass die letzte Instanz gespeichert wird, wenn alle Taktimpulse zusammen ansteigen, bevor der Event Counter 30 bei dem gerade gezählten Signal überrollt. Wenn der Synchrondetektor 28 es nicht schafft, einen Punkt zu finden, wo alle Taktimpulse zusammen ansteigen, wird calsync niedrig bleiben und dies wird vermeiden, dass das kalibrierte Signal gesetzt wird, und wird auch die Lieferung von Ausgangsimpulsen in dem Applikationszyklus vermeiden.
  • Die Anordnung mit dem Zyklustaktimpulszähler 25, dem Flankendetektierten Taktimpulsmultiplexer 26 und dem Taktimpuls-Event-Demultiplexer 30 ist ein Implementierungsergebnis zum Optimieren der Anzahl Schaltungen, die in dem Entwurf verwendet werden. Ohne diese Anordnung würde ein einzelner Taktimpulsbreiten-Rückwärtszähler 36 würde für jedes zu analysierende Taktimpulssignal erforderlich sein. In der illustrierten Ausführungsform selbstverständlich zusätzlich zu einem kleinen Zähler, der dazu dient, die Taktimpulse periodisch zu durchlaufen. Auf diese Weise kann in der vorliegenden Erfindung jeder Taktimpuls einzeln analysiert werden und nachdem die Analyse des letzten Taktimpulses clk(n – 1) beendet ist, wird der kalibrierte Merker derart gesetzt, dass er angibt, dass die Kalibrierung beendet worden ist.
  • Der Taktimpulsbreiten-Rückwärtszähler 36 wird ausgelöst, wenn das cal-sync-Signal hoch wird und auf diese Weise clocksync als Startpunkt zum Rückwärtszählen um die Breite des Taktimpulses nehmen kann. Dies ist der Punkt, an dem das Freigabesignal an diesem Taktgeber dann eingeschaltet werden muss, damit es ermöglicht wird, dass die zweiten Impulse ausgerichtet werden.
  • Die Event-Register 40 sind vorgesehen zum Speichern jedes Wertes, der durch den Taktbreiten-Rückwärtszähler 36 berechnet worden ist.
  • Zum Aktivieren der Freigaberegister 44 während des Applikationszyklus, wenn der Teste das se Signal herunter zieht, wird ein Freigabeblock verwendet. Dies aktiviert nur das aktive Signal, wenn das kalibrierte Signal angibt, dass Kalibrierung durchgeführt worden ist, und wenn ein "evencountend"-Signal aufgetreten ist, nachdem das se Signal niedrig geworden ist. Das Warten darauf, dass der Zähler überrollt gewährleistet auf vorteilhafte Weise, dass die Impulse nicht in der Mitte des Event Counter-Zyklus erzeugt werden, was zu unvorhersagbaren Ergebnissen führen würde. Die Event-Vergleichsschaltungen 42 sind vorgesehen zum Vergleichen des aktuellen Zeitbezugswertes mit der erfor derlichen Zeit in den Event-Registern 40 und zum entsprechenden Aktivieren der Freigaberegister 44.
  • Der Freigabemultiplexer 46 wird verwendet um zwischen der automatischen Verzögerungsfehlermode, wie oben beschrieben, und einer "Dump"-Mode zu schalten. Die "Dump"-Mode ist vorgesehen um als Datensicherung zu dienen, nur wenn die automatische Verzögerungsfehlermode nicht funktioniert. Diese wird aber zwei Impulse an jedem der Taktgeber erzeugen, ungeachtet, ob Kalibrierung stattgefunden hat oder nicht. Es dürfte aber einleuchten, dass von diesen Taktgebern die zweiten Impulse nicht ausgerichtet sind und folglich die "Dump"-Mode nicht benutzt werden kann zum Testen einer Grenzdatenübertragung. Es sei ebenfalls bemerkt, dass an dem se Signal eine synchronisierte Flip-Flop-Schaltung effektiv vorgesehen ist, betrieben von dem eigenen invertierten betreffenden Taktimpuls.
  • Der negative-Flankendetektor 48 erzeugt ein Ausgangssignal, das von der abfallenden Flanke des Eingangssignals hergeleitet wird und das zwei Taktperioden lang hoch ist. Es sei bemerkt, dass dies die negative Flanke des eigenen betreffenden Taktimpulses beeinflusst und nicht den fastclkn. Dies gewährleistet, dass die Breite des Ausgangsfreigabesignals größer ist als der Taktimpuls, den es schalten wird.
  • Das Taktaustasten und -schalten erfolgt durch den Impulsaustaster 50, der dazu dient, die zwei Taktimpulse dadurch zu erzeugen, dass der betreffende Taktimpuls zu dem Freigabesignal addiert wird; das von dem negativen-Flankendetektor hergeleitet worden ist. Diese zwei Impulse können unabhängig voneinander ausgetastet oder einander überlagert werden, und zwar durch Abtastung einer Null in die betreffende Flip-Flop-Schaltung in diesem Block. Dadurch, dass ein vereinfachtes Modell des Verzögerungsfehlerimpulsgeneratorblocks geschaffen wird, kann ein Abtastmustererzeugungswerkzeug automatisch Muster analysieren und erzeugen, die dieses Merkmal für Datenübertragungen zwischen Taktimpulsbegrenzungen benutzen können. Die Taktimpulsaustastung und -schaltung ermöglicht einen Mehrzyklen-Vollabtasttest dadurch, dass es gestattet wird, dass die Taktimpulsgeber unabhängig voneinander in deren normalem Zyklus abgeschaltet werden.
  • Die automatische Betriebsart ist vorgesehen um der nachfolgenden Sequenz zu folgen:
  • 1) Rückstellung:
  • Wenn an deft_en ein Übergang von niedrig zu hoch detektiert wird, wird der Block rückgestellt und die Kalibrierung startet;
  • 2) Kalibrierungslauf:
  • testmode_out wird zu niedrig forciert, so dass die Taktverteiler, die diesen Block liefern, ihre maximalen Applikationstaktimpulse liefern und der Sync-Detektor 28 das Event aller Taktimpulse, die zusammen ansteigen, ortet und speichert. Der Taktimpulsbreiten-Rückwärtszähler 36 ortet und speichert das Event, wenn jeder Taktgeber aktiviert werden muss und der kalibrierte Merker hoch gesetzt ist. Die maximale Kalibrierungszeit wird sein wie folgt: (2 + n/3) × Länge der Event Counter × fastclk-Periode.
  • 3) Nach der Kalibrierung
  • testmode_out folgt nun se.
  • Jeweils, wenn se herunter geht, wartet die Schaltungsanordnung, bis der Event Counter 30 überrollt und löst danach die zwei Impulse an den richtigen Stellen aus zum Synchronisieren der zweiten Impulse. Die maximale Zeit, bevor die Impulse sichtbar werden beträgt: 2 × Länge der Event Counter × fastclk-Periode.
  • Da die dargestellte Ausführungsform der vorliegenden Erfindung entworfen worden ist um bei der negativen Flanke des fastclk-Signals zu arbeiten, wird die automatische Kalibrierung unzuverlässig, wenn diese bei jedem geteilten Taktgeber verwendet wird, der bei der negativen Flanke des fastclk ändert. Dadurch, dass nur der fastclk verzögert wird, der diesem Block zugeführt wird, beispielsweise durch Verwendung asynchroner Gates, wird die Beschränkung entfernt. Die vorliegende Erfindung wird dann aber Technologie-abhängig.
  • Es dürfte einleuchten, dass die dargestellte Ausführungsform in VHSIC-Beschreibungssprache entworfen worden ist und vorteilhafterweise klein ist, und zwar durch die Multiplexbehalndlung der Taktimpulse, die effektive Neuverwendung des negativen-Flankendetektors und durch die Minimierung der Zählergrößen.
  • Sie kann auch hohe Betriebsgeschwindigkeiten erreichen, obschon es sich herausstellen kann, dass dies abhängig sein kann von der Synthese der Schaltungsanordnung. Die Kalibrierung kann aber mit einem reduzierten fastclk erreicht werden, da nur das relative Teilungsverhältnis relevant ist und die Schaltungsanordnung dann, wenn sie einmal kalibriert worden ist, mit einer viel höheren Geschwindigkeit laufen kann. In dieser Mode wird die größte mögliche Geschwindigkeitsbeschränkung abhängig sein von der Struktur des Event Counters.
  • Als weiterer Vorteil ist die vorliegende Erfindung auf bequeme Weise konfigurierbar unter Verwendung der allgemeinen Fähigkeit des VHDL und erfordert auf diese Weise keine Änderung bei der Instanziierung. Wenn die Anzahl Taktgeber und die maximale Wiederholungsrate, multipliziert mit drei spezifiziert ist, kann bei der Synthese ein Block erzeugt werden um jede beliebige Anforderung zu erfüllen.
  • Wie bereits erwähnt, ist es zuverlässig, wenn nicht in der Verzögerungsfehlermode, Taktimpulse durch Experimentierung nur der Verzögerung eines einzigen Gates durchgelassen werden. Wenn in der Verzögerungsfehlermode, wird die oben genannte "Dump"-Mode immer zwei Impulse erzeugen, ungeachtet des Kalibrierungszustandes und das se und Testmodesignal werden durch negative-Flanke getriggerte Flip-Flop-Schaltungen geschützt.
  • Weiterhin kann die Anordnung auf vorteilhafte Weise einwandfrei simuliert werden, ohne dass "glitches" oder "Unbekannten" erzeugt werden, und sie hat eine geringe Leistungsanforderung, da während der Applikation der Hauptteil des Verzögerungsfehlerimpulsgenerators abgeschaltet werden kann.
  • Text in der Zeichnung
  • 1
    • Kristall
    • Freigabe
  • 2
    • kalibriert

Claims (12)

  1. Verzögerungsfehler-Testschaltung zum Erzeugen eines Ausgangsimpulses in Reaktion auf ein Eingangstriggersignal (fastclk), wobei die Schaltungsanordnung einen Verzögerungsfehlerimpulsgenerator (14) aufweist, vorgesehen zum Analysieren von ersten (clk(0)) und zweiten (clk(1)) Taktsignalen verschiedener Frequenzen, die mit logischen Schaltungen assoziiert sind, die vorgesehen sind um mit verschiedenen Geschwindigkeiten zu laufen, und zum Ausliefern, in Reaktion auf eine derartige Analyse, einer Impulsfolge von zwei Taktimpulsen (clkout(0), clkout(1)) für jedes empfangene Taktsignal, dadurch gekennzeichnet, dass der Verzögerungsfehlerimpulsgenerator (14) derart vorgesehen ist, dass die ansteigenden Flanken jedes der zweiten Taktimpulse der genannten zwei Taktimpulse ausgerichtet werden.
  2. Schaltungsanordnung nach Anspruch 1 und mit einer Abtast-Flip-Flop-Schaltung, vorgesehen zum Unterdrücken wenigstens eines der Zyklusimpulse des Taktsignals.
  3. Schaltungsanordnung nach Anspruch 1 oder 2 und vorgesehen zum Wirken bei einer invertierten Version des Taktsignals mit der höchsten Frequenz.
  4. Schaltungsanordnung nach einem der vorstehenden Ansprüche, und mit einem Event Counter (30), vorgesehen zum Ermitteln des Auftritts von Taktsignalübergängen und vorgesehen zum Arbeiten bei wenigstens der dreifachen Wiederholungsrate der Taktsignale.
  5. Schaltungsanordnung nach Anspruch 4, wobei der Zeitbezugswert von dem genannten Event Counter (30) gespeichert wird.
  6. Schaltungsanordnung nach Anspruch 5 und mit Mitteln zum Erzeugen von Taktfreigabesignalen (fastclken, clken(0), clken(1)), die dazu dienen, die Taktimpulse bei den negativen Flanken des schnellsten Taktsignals zu schalten.
  7. Schaltungsanordnung nach einem oder mehreren der Ansprüche 1 bis 6, und versehen mit Mitteln (46) zum Schalten zwischen einer Verzögerungsfehlermode und einer Sicherungsmode, wobei für jedes Taktsignal zwei Impulse erzeugt werden, wobei aber der zweite Impuls nicht ausgerichtet wird und ungeachtet, ob eine automatische Ausrichtung der zweiten Impulse möglich ist.
  8. Schaltungsanordnung nach einem der Ansprüche 1 bis 7, und mit Detektormitteln (48) für eine negative Flanke, vorgesehen zum Arbeiten an der negativen Flanke des eigenen betreffenden Taktsignals zum Erzeugen eines Ausgangssignals, das zwei Taktperioden lang logisch hoch ist.
  9. Schaltungsanordnung nach Anspruch 8, und mit Taktaustast- und -schaltmitteln (50) zum Erzeugen der zwei Taktimpulse durch eine logische Kombination des Taktsignals mit einem Freigabesignal, hergeleitet von den genannten Detektormitteln (48).
  10. Schaltungsanordnung nach einem der Ansprüche 1–9, und mit Taktbreiten-Rückwärtszählermitteln (36) zum Empfangen von einzelnen Taktsignalen von einem Multiplexer und zum Liefern eines Ausgangssignals zu dem Demultiplexer.
  11. Verfahren zum Testen einer integrierten Schaltung auf Verzögerungsfehler und mit dem Verfahrensschritt der Erzeugung eines Ausgangstestimpulses in Reaktion auf ein Eingangstriggersignal (fastclk), wobei dieses Verfahren die nachfolgenden Verfahrensschritte umfasst: das Analysieren eines ersten Taktsignals (clk(0)) und eines zweiten Taktsignals (clk(1)) mit verschiedenen Frequenzen und mit logischen Schaltungen assoziiert, die verschiedenen Anwendungsgeschwindigkeiten haben; das Erzeugen einer Impulsfolge von zwei Taktimpulsen (clkout(0), clkout(1)) für jedes der genannten ersten und zweiten Taktsignale, dadurch gekennzeichnet, dass die Folge von Taktimpulsen derart vorgesehen ist, dass die ansteigenden Flanken der zweiten Impulse in jeder der genannten Folgen ausgerichtet werden.
  12. Verfahren nach Anspruch 11 und weiterhin mit Verfahrensschritten geleitet entsprechend der Schaltungsanordnung einer oder mehrerer der Ansprüche 1 bis 10.
DE60203398T 2001-08-08 2002-08-06 Verzögerungsfehler-testschaltung und -methode Expired - Lifetime DE60203398T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB0119300 2001-08-08
GBGB0119300.2A GB0119300D0 (en) 2001-08-08 2001-08-08 Delay fault test circuitry and related method
PCT/IB2002/003227 WO2003014756A1 (en) 2001-08-08 2002-08-06 Delay fault test circuitry and related method

Publications (2)

Publication Number Publication Date
DE60203398D1 DE60203398D1 (de) 2005-04-28
DE60203398T2 true DE60203398T2 (de) 2006-03-23

Family

ID=9919998

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60203398T Expired - Lifetime DE60203398T2 (de) 2001-08-08 2002-08-06 Verzögerungsfehler-testschaltung und -methode

Country Status (7)

Country Link
US (1) US6996759B2 (de)
EP (1) EP1421397B1 (de)
JP (1) JP3918039B2 (de)
AT (1) ATE291746T1 (de)
DE (1) DE60203398T2 (de)
GB (1) GB0119300D0 (de)
WO (1) WO2003014756A1 (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7209525B2 (en) * 2002-11-18 2007-04-24 Agere Systems Inc. Clock and data recovery with extended integration cycles
GB0330076D0 (en) 2003-12-27 2004-02-04 Koninkl Philips Electronics Nv Delay fault test circuitry and related method
US7228476B2 (en) * 2004-11-05 2007-06-05 Stmicroelectronics, Inc. System and method for testing integrated circuits at operational speed using high-frequency clock converter
DE602004023888D1 (de) * 2004-12-13 2009-12-10 Infineon Technologies Ag Schaltung und verfahren für bei geschwindigkeit durchgeführten scan-test
US7202656B1 (en) * 2005-02-18 2007-04-10 Lsi Logic Corporation Methods and structure for improved high-speed TDF testing using on-chip PLL
TW200801550A (en) 2006-01-06 2008-01-01 Koninkl Philips Electronics Nv IC testing methods and apparatus
JP4845543B2 (ja) * 2006-03-15 2011-12-28 富士通セミコンダクター株式会社 遅延故障試験回路
DE102006024507B4 (de) 2006-05-23 2017-06-01 Infineon Technologies Ag Integrierte Schaltung und Verfahren zum Erkennen von Laufzeitfehlern in integrierten Schaltungen
JP2008275480A (ja) * 2007-04-27 2008-11-13 Nec Electronics Corp 半導体集積回路のテスト回路、テスト方法
US8074133B2 (en) * 2008-08-06 2011-12-06 Oracle America, Inc. Method and apparatus for testing delay faults
US8645778B2 (en) * 2011-12-31 2014-02-04 Lsi Corporation Scan test circuitry with delay defect bypass functionality
CN104932378B (zh) * 2015-06-13 2017-05-17 烟台东方威思顿电气有限公司 一种用于专变采集终端防止遥控误跳闸的控制方法及电路
US10310013B2 (en) * 2016-12-12 2019-06-04 Samsung Electronics Co., Ltd. Test mode isolation and power reduction in embedded core-based digital systems of integrated circuits (ICs) with multiple power domains
CN107561432A (zh) * 2017-07-27 2018-01-09 中国船舶重工集团公司第七二四研究所 一种基于奇偶校验的时序信号故障检测方法
CN111398775B (zh) * 2019-01-03 2024-02-06 瑞昱半导体股份有限公司 电路运行速度检测电路
US10802534B2 (en) * 2019-01-24 2020-10-13 Arm Limited Clock circuitry with fault detection
US11092648B2 (en) 2019-04-15 2021-08-17 Grammatech, Inc. Systems and/or methods for anomaly detection and characterization in integrated circuits

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3732111A1 (de) * 1987-09-24 1989-04-06 Bosch Gmbh Robert Verfahren zur laufzeitanpassung von video- und audiosignalen an ein referenzsignal
US5349587A (en) * 1992-03-26 1994-09-20 Northern Telecom Limited Multiple clock rate test apparatus for testing digital systems
US5748645A (en) * 1996-05-29 1998-05-05 Motorola, Inc. Clock scan design from sizzle global clock and method therefor
JP2953435B2 (ja) * 1997-06-09 1999-09-27 日本電気株式会社 遅延テスト方法および該遅延テスト方法に使用するフリップフロップ
US5987081A (en) * 1997-06-27 1999-11-16 Sun Microsystems, Inc. Method and apparatus for a testable high frequency synchronizer
US6065145A (en) * 1998-04-13 2000-05-16 Lucent Technologies, Inc. Method for testing path delay faults in sequential logic circuits
US6966021B2 (en) * 1998-06-16 2005-11-15 Janusz Rajski Method and apparatus for at-speed testing of digital circuits
US6158030A (en) * 1998-08-21 2000-12-05 Micron Technology, Inc. System and method for aligning output signals in massively parallel testers and other electronic devices
US6269041B1 (en) * 2000-05-03 2001-07-31 Sunplus Technology Co., Ltd. Embedded auto-refresh circuit for pseudo static random access memory
US6954887B2 (en) * 2001-03-22 2005-10-11 Syntest Technologies, Inc. Multiple-capture DFT system for scan-based integrated circuits

Also Published As

Publication number Publication date
ATE291746T1 (de) 2005-04-15
EP1421397B1 (de) 2005-03-23
DE60203398D1 (de) 2005-04-28
EP1421397A1 (de) 2004-05-26
US6996759B2 (en) 2006-02-07
JP2004538466A (ja) 2004-12-24
US20030101396A1 (en) 2003-05-29
WO2003014756A1 (en) 2003-02-20
GB0119300D0 (en) 2001-10-03
JP3918039B2 (ja) 2007-05-23

Similar Documents

Publication Publication Date Title
DE60203398T2 (de) Verzögerungsfehler-testschaltung und -methode
DE3637145C2 (de)
DE102008046831B4 (de) Ereignisgesteuerte Zeitintervallmessung
DE69533275T2 (de) Ein Steuergerät zur Durchführung der Abtastprüfung
DE60204597T2 (de) Kompakter automatischer tester (ate) mit zeitstempel-system
DE19914986B4 (de) Vorrichtung zum Verzögern eines Taktsignals
DE19983213B4 (de) Detektorvorrichtung zum Erfassen abnormaler Takte
DE10249886A1 (de) Verfahren und Vorrichtung zum Erzeugen eines Taktsignals mit vorbestimmten Taktsingaleigenschaften
DE10132241B4 (de) Verfahren und Vorrichtung zum Testen von Halbleiterbauelementen
DE3941252A1 (de) Synchronisierschaltkreis fuer synchrone multiplexschaltungen
DE19534735C2 (de) Taktflankenformungsschaltung und -verfahren für IC-Prüfsystem
DE102005041048B4 (de) Integrierter Schaltungsbaustein
DE3801993C2 (de) Zeitgebersystem
DE3744398A1 (de) Verfahren und vorrichtung zur registrierung von signalkurven
DE19718467A1 (de) Frequenzunabhängige Abtastkette
DE19619916C2 (de) Ratengeneratorschaltung für Halbleiter-Prüfsystem
DE69925953T2 (de) Prüfung einer Halbleitereinrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung inklusiv eines Prüfungsverfahrens
EP1721407A1 (de) Schnittstellenvorrichtung und verfahren zur synchronisation von daten
DE10122081B4 (de) Verfahren zum Kalibrieren eines Testsystems für eine integrierte Halbleiterschaltung und kalibrierbares Testystem
DE602004009475T2 (de) Verzögerungs-fehlertest-schaltkreise und diesbezügliches verfahren
DE3743434C2 (de)
DE4142825C2 (de)
DE10120764B4 (de) Schaltung zum Synchronisieren von Signalen beim Informationsaustausch zwischen Schaltkreisen
DE10149192B4 (de) Vorrichtung zum Erzeugen von Speicher-internen Befehlssignalen aus einem Speicheroperationsbefehl
DE10028369C2 (de) Schaltungsanordnung zur Aufnahme eines Eingangssignals und zur Weiterleitung von diesem entsprechenden Ausgangssignalen in parallel arbeitenden Schaltungszweigen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: EISENFUEHR, SPEISER & PARTNER, 10178 BERLIN

8327 Change in the person/name/address of the patent owner

Owner name: NXP B.V., EINDHOVEN, NL