DE112018001590B4 - Halbleitereinheit mit einem extrem langen Kanal innerhalb einer VFET-Bauart sowie Verfahren zu ihrer Herstellung und Betrieb - Google Patents

Halbleitereinheit mit einem extrem langen Kanal innerhalb einer VFET-Bauart sowie Verfahren zu ihrer Herstellung und Betrieb Download PDF

Info

Publication number
DE112018001590B4
DE112018001590B4 DE112018001590.4T DE112018001590T DE112018001590B4 DE 112018001590 B4 DE112018001590 B4 DE 112018001590B4 DE 112018001590 T DE112018001590 T DE 112018001590T DE 112018001590 B4 DE112018001590 B4 DE 112018001590B4
Authority
DE
Germany
Prior art keywords
semiconductor
fins
pillar
forming
conductive gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112018001590.4T
Other languages
English (en)
Other versions
DE112018001590T5 (de
Inventor
Marc Bergendahl
Eric Miller
Fee Li LIE
Sean TEEHAN
Kangguo Cheng
John Ryan Sporre
Gauri Karve
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/602,884 external-priority patent/US10573745B2/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112018001590T5 publication Critical patent/DE112018001590T5/de
Application granted granted Critical
Publication of DE112018001590B4 publication Critical patent/DE112018001590B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/51Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used
    • H03K17/56Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices
    • H03K17/687Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

Verfahren (1700) zur Herstellung einer Halbleitereinheit (100), wobei das Verfahren umfasst:Bilden (1702) eines Paars von Halbleiter-Fins (200, 202) auf einem Substrat (104);Bilden (1704) einer Halbleitersäule (204) zwischen den Halbleiter-Fins auf dem Substrat;Bilden (1706) eines unteren dotierten Bereichs (500), der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt;Zurücksetzen der Halbleitersäule unter eine Oberfläche der Halbleiter-Fins; undBilden (1708) eines leitfähigen Gates (1100) über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung bezieht sich allgemein auf Herstellungsverfahren und resultierende Strukturen für Halbleitereinheiten. Spezifischer bezieht sich die vorliegende Erfindung auf Einheiten mit einem extrem langen Kanal innerhalb einer vertikalen FET-Bauart (einer VFET-Bauart). Einheiten mit einem „extrem“ langen Kanal weisen Kanallängen auf, die größer als die Höhe des vertikalen Fin sind.
  • HINTERGRUND
  • Bei gegenwärtigen Herstellungsprozessen für Halbleitereinheiten wird eine große Anzahl von Halbleitereinheiten, wie beispielsweise Feldeffekttransistoren (FETs), auf einem einzelnen Wafer hergestellt. Einige nicht-planare Transistor-Bauarten, wie beispielsweise vertikale Feldeffekttransistoren (VFETs), setzen Halbleiter-Fins und Seiten-Gates ein, die außerhalb des aktiven Bereichs kontaktiert werden können, so dass eine erhöhte Dichte von Einheiten und ein etwas erhöhtes Leistungsvermögen gegenüber lateralen Einheiten resultiert. Bei VFETs fließt der Source-Drain-Strom in einer Richtung senkrecht zu einer Hauptoberfläche des Substrats. Bei einer bekannten VFET-Konfiguration verläuft die Haupt-Substratoberfläche zum Beispiel horizontal, und ein vertikaler Fin oder ein vertikaler Nanodraht erstreckt sich von der Substratoberfläche aus nach oben. Der Fin oder der Nanodraht bildet den Kanalbereich des Transistors. Ein Source-Bereich und ein Drain-Bereich befinden sich in einem elektrischen Kontakt mit dem oberen und dem unteren Ende des Kanalbereichs, während ein Gate auf einer oder mehreren der Fin- oder Nanodraht-Seitenwände angeordnet ist.
  • Einige Bauarten nicht-planarer Transistoreinheiten, wie beispielsweise VFETs, setzen Halbleiter-Fins und Seiten-Gates ein, die außerhalb des aktiven Bereichs kontaktiert werden können, so dass eine erhöhte Dichte von Einheiten gegenüber lateralen Einheiten resultiert. Beim Skalieren von VFETs über den 10-nm-Knotenpunkt hinaus gibt es jedoch Herausforderungen. Zum Beispiel bewirkt die vertikale Beschaffenheit der VFET-Bauart, dass sich zusätzlich zu Ausweitungen in Bezug auf Breiten- oder Flächenanforderungen Beeinflussungen der Gate-Länge auf die Gesamtschichthöhe auswirken. Eine Vergrößerung der Gesamtschichthöhe verursacht mehrere unerwünschte Komplikationen, insbesondere dann, wenn Source-, Drain- und Gate-Kontakte jeweils unterschiedliche Längen benötigen, um einer vorgegebenen Gate-Länge zu genügen. Darüber hinaus ist die Integration von extrem langen Gates (d.h. von Gates, die eine Kanallänge aufweisen, die größer als jene eines herkömmlichen Gates mit einem kurzen Kanal ist, die auf die Höhe des vertikalen Fin beschränkt ist) bei einem herkömmlichen VFET durch Anforderungen in Bezug auf die Ebenheit der Schicht beschränkt. Infolgedessen sind herkömmliche VFETs auf vergleichsweise kurze Kanäle beschränkt.
  • Daher besteht auf dem Fachgebiet die Notwendigkeit, das vorstehend erwähnte Problem anzugehen.
  • Die Druckschrift US 7 683 428 B2 betrifft eine neue Klasse von vertikalen Fin-FET- Einheiten mit hoher Dichte, die einen geringen Kontaktwiderstand aufweisen. Diese vertikalen Fin-FET-Einheiten haben vertikale Silizium-„Fins“ (12A), die als Transistorkörper fungieren. Dotierte Source- und Drain-Bereiche (26A, 28A) sind an den Unterseiten bzw. Oberseiten der Fins (12A) geformt. Gates (24A, 24B) sind entlang der Seitenwände der Rippen geformt. Strom fließt vertikal durch die Fins (12A) zwischen den Source- und Drain-Bereichen (26A, 28A), wenn eine geeignete Vorspannung an die Gates (24A, 24B) angelegt wird. Es wird ein gesamter Prozess zum gleichzeitigen Formen von pFET, nFET, Multi-Fin, Single-Fin, Multi-Gate und Double-Gate vertikalen Fin-FETs beschrieben.
  • Die Druckschrift US 2016 / 0 049 402 A1 betrifft ein Verfahren zur Herstellung einer Halbleitereinheit. Es kann das Ausbilden erster und zweiter Halbleiterbereiche beinhalten, die seitlich aneinandergrenzen und jeweils ein erstes Halbleitermaterial umfassen. Das Verfahren kann ferner das Ausbilden einer in-situ-dotierten, durchbruchhemmenden Schicht über dem zweiten Halbleiterbereich beinhalten, die das erste Halbleitermaterial und einen ersten Dotierstoff umfasst, und das Ausbilden einer Halbleiterpufferschicht über der durchbruchhemmenden Schicht, wobei die durchbruchhemmende Schicht das erste Halbleitermaterial umfasst. Das Verfahren kann auch das Ausbilden eines dritten Halbleiterbereichs oberhalb der Halbleiterpufferschicht beinhalten, wobei der dritte Halbleiterbereich ein zweites Halbleitermaterial umfasst, das sich von dem ersten Halbleitermaterial unterscheidet. Hinzufügung kann mindestens ein erster Fin aus dem ersten Halbleiterbereich ausgebildet werden, und mindestens ein zweiter Fin kann aus dem zweiten Halbleiterbereich, der durchbruchhemmenden Schicht, der Halbleiterpufferschicht und dem dritten Halbleiterbereich ausgebildet werden.
  • Die Druckschrift US 2008 / 0 157 182 A1 betrifft eine Halbleitereinheit, die einen Fin-Typ-Kanalbereich umfasst, und ein Verfahren zu deren Herstellung. Die Halbleitereinheit beinhaltet ein Halbleitersubstrat, einen Halbleitersäule und einen Kontaktanschluss. Das Halbleitersubstrat beinhaltet mindestens ein Paar von Fins, die als aktiver Bereich verwendet werden (oder funktionieren). Die Halbleitersäule kann zwischen Teilen der Fins angeordnet sein, um die Fins zu verbinden. Der Kontaktanschluss kann auf der Halbleitersäule angeordnet (oder ausgebildet) und mit den Oberseiten der Fins elektrisch verbunden sein.
  • KURZDARSTELLUNG
  • Unter einem ersten Aspekt stellt die vorliegende Erfindung ein Verfahren zur Herstellung einer Halbleitereinheit bereit, wobei das Verfahren umfasst: Bilden eines Paars von Halbleiter-Fins auf einem Substrat; Bilden einer Halbleitersäule zwischen den Halbleiter-Fins auf dem Substrat; Bilden eines unteren dotierten Bereichs, der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt; Zurücksetzen der Halbleitersäule unter eine Oberfläche der Halbleiter-Fins; sowie Bilden eines leitfähigen Gates über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule.
  • Unter einem weiteren Aspekt stellt die vorliegende Erfindung ein Verfahren zum Betreiben einer Halbleitereinheit bereit, wobei das Verfahren umfasst: Bereitstellen einer Halbleitereinheit, die aufweist: einen ersten Halbleiter-Fin benachbart zu einem zweiten Halbleiter-Fin auf einem Substrat; eine Halbleitersäule, die zwischen dem ersten und dem zweiten Halbleiter-Fin auf dem Substrat ausgebildet und unter einer Oberfläche der Halbleiter-Fins zurückgesetzt ist; ein leitfähiges Gate, das über einem Kanalbereich des ersten und des zweiten Halbleiter-Fin und der Halbleitersäule ausgebildet ist; einen Source-Bereich, der auf einer Oberfläche des ersten Halbleiter-Fin ausgebildet ist; sowie einen Drain-Bereich, der auf einer Oberfläche des zweiten Halbleiter-Fin ausgebildet ist; und Leiten eines Stroms von dem Source-Bereich durch einen Teil der Halbleitersäule hindurch zu dem Drain-Bereich.
  • Unter einem weiteren Aspekt stellt die vorliegende Erfindung eine Halbleitereinheit bereit, die aufweist: ein Paar von Halbleiter-Fins, die auf einem Substrat ausgebildet sind; eine Halbleitersäule, die zwischen den Halbleiter-Fins auf dem Substrat ausgebildet und unter einer Oberfläche der Halbleiter-Fins zurückgesetzt ist; einen unteren dotierten Bereich, der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt; sowie ein leitfähiges Gate, das über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule ausgebildet ist.
  • In einem Beispiel wird ein Verfahren zur Herstellung einer Halbleitereinheit bereitgestellt, wobei das Verfahren umfasst: Bilden eines Paars von Halbleiter-Fins auf einem Substrat; Bilden einer Halbleitersäule zwischen den Halbleiter-Fins auf dem Substrat, wobei die Halbleitersäule eine unter eine Oberfläche der Halbleiter-Fins zurückgesetzte Säule ist; Bilden eines unteren dotierten Bereichs, der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt; sowie Bilden eines leitfähigen Gates über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule; Bilden einer dicken Oxidschicht zwischen dem leitfähigen Gate und den Halbleiter-Fins sowie der Halbleitersäule; Bilden eines Source-Bereichs und eines Drain-Bereichs auf freiliegenden Oberflächen der Halbleiter-Fins; sowie Bilden eines gemeinsam genutzten Gate-Kontakts auf dem leitfähigen Gate und über der Halbleitersäule.
  • In einem weiteren Beispiel wird eine Halbleitereinheit bereitgestellt, die aufweist: einen ersten Halbleiter-Fin, der auf einem Substrat ausgebildet ist; einen zweiten Halbleiter-Fin, der auf dem Substrat und benachbart zu dem ersten Halbleiter-Fin ausgebildet ist; eine Halbleitersäule, die zwischen dem ersten und dem zweiten Halbleiter-Fin ausgebildet ist; einen unteren dotierten Bereich, der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt; sowie ein gemeinsam genutztes leitfähiges Gate, das über einem Kanalbereich des ersten und des zweiten Halbleiter-Fin und der Halbleitersäule ausgebildet ist; einen Source-Bereich, der auf einer Oberfläche des ersten Halbleiter-Fin ausgebildet ist; sowie einen Drain-Bereich, der auf einer Oberfläche des zweiten Halbleiter-Fin ausgebildet ist.
  • Ein nicht beschränkendes Beispiel für das Verfahren beinhaltet ein Bilden eines Paars von Halbleiter-Fins auf einem Substrat. Zwischen den Halbleiter-Fins wird eine Halbleitersäule gebildet. Ein Bereich, der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt, wird dotiert. Über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule wird ein leitfähiges Gate gebildet. Eine Oberfläche der Halbleitersäule dient als ein erweiterter Kanalbereich, wenn das Gate aktiv ist.
  • Ein nicht beschränkendes Beispiel für das Verfahren beinhaltet ein Bereitstellen einer Halbleitereinheit. Die Halbleitereinheit beinhaltet einen ersten Halbleiter-Fin benachbart zu einem zweiten Halbleiter-Fin auf einem Substrat sowie eine Halbleitersäule, die zwischen dem ersten und dem zweiten Halbleiter-Fin ausgebildet ist. Die Halbleitereinheit beinhaltet des Weiteren ein leitfähiges Gate, das über einem Kanalbereich des ersten und des zweiten Halbleiter-Fin und der Halbleitersäule ausgebildet ist, einen Source-Bereich, der auf einer Oberfläche des ersten Halbleiter-Fin ausgebildet ist, sowie einen Drain-Bereich, der auf einer Oberfläche des zweiten Halbleiter-Fin ausgebildet ist. Ein Strom wird von dem Source-Bereich durch einen Teil der Halbleitersäule zu dem Drain-Bereich geleitet.
  • Ein nicht beschränkendes Beispiel für die Halbleitereinheit beinhaltet ein Paar von Halbleiter-Fins, die auf einem Substrat ausgebildet sind. Eine Halbleitersäule ist zwischen den Halbleiter-Fins auf dem Substrat ausgebildet. Ein unterer dotierter Bereich erstreckt sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule. Ein leitfähiges Gate ist über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule ausgebildet. Eine Oberfläche der Halbleitersäule dient als ein erweiterter Kanalbereich, wenn das Gate aktiv ist.
  • Ein nicht beschränkendes Beispiel für das Verfahren beinhaltet ein Bilden eines Paars von Halbleiter-Fins auf einem Substrat und einer Halbleitersäule zwischen den Halbleiter-Fins. Bei der Halbleitersäule handelt es sich um eine unter eine Oberfläche der Halbleiter-Fins zurückgesetzte Säule. Ein unterer dotierter Bereich, der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt, wird dotiert. Ein leitfähiges Gate wird über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule gebildet. Eine dicke Oxidschicht wird zwischen dem leitfähigen Gate und den Halbleiter-Fins sowie der Halbleitersäule gebildet. Ein Source-Bereich und ein Drain-Bereich werden auf freiliegenden Oberflächen der Halbleiter-Fins gebildet, und ein gemeinsam genutzter Gate-Kontakt wird auf dem leitfähigen Gate und über der Halbleitersäule gebildet. Eine Oberfläche der Halbleitersäule dient als ein erweiterter Kanalbereich, wenn das Gate aktiv ist.
  • Ein nicht beschränkendes Beispiel für die Halbleitereinheit beinhaltet einen ersten Halbleiter-Fin, der auf einem Substrat ausgebildet ist, und einen zweiten Halbleiter-Fin, der auf dem Substrat und benachbart zu dem ersten Halbleiter-Fin ausgebildet ist. Zwischen dem ersten und dem zweiten Halbleiter-Fin ist eine Halbleitersäule ausgebildet. Ein unterer dotierter Bereich, der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt, ist dotiert. Ein gemeinsam genutztes leitfähiges Gate ist über einem Kanalbereich des ersten und des zweiten Halbleiter-Fin und der Halbleitersäule ausgebildet. Ein Source-Bereich ist auf einer Oberfläche des ersten Halbleiter-Fin ausgebildet, und ein Drain-Bereich ist auf einer Oberfläche des zweiten Halbleiter-Fin ausgebildet. Eine Oberfläche der Halbleitersäule dient als ein erweiterter Kanalbereich, wenn das Gate aktiv ist.
  • Weitere technische Merkmale und Vorteile werden durch die Techniken der vorliegenden Erfindung realisiert. Ausführungsformen und Aspekte der Erfindung sind hierin im Detail beschrieben und werden als ein Teil des beanspruchten Gegenstands betrachtet. Für ein besseres Verständnis sei auf die detaillierte Beschreibung und auf die Zeichnungen verwiesen.
  • Figurenliste
  • Die Details der Exklusivrechte, die hierin beschrieben sind, sind insbesondere in den Ansprüchen am Ende der Beschreibung aufgezeigt und eindeutig beansprucht. Das Vorstehende und weitere Merkmale und Vorteile der Ausführungsformen der Erfindung werden aus der folgenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen ersichtlich, in denen:
    • 1 eine Querschnittsansicht einer Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 2 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 3 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 4 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 5 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 6 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 7 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 8 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 9 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 10 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 11 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 12 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 13 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 14 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 15 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 16 eine Querschnittsansicht der Halbleiterstruktur nach einem Bearbeitungsvorgang gemäß einer Ausführungsform oder mehreren Ausführungsformen der vorliegenden Erfindung darstellt;
    • 17 ein Flussdiagramm darstellt, das ein Verfahren gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung zeigt.
  • Die hier gezeigten Schaubilder sind illustrativ. Es kann mehrere Variationen in Bezug auf das Schaubild oder die Vorgänge geben, die darin beschrieben sind, ohne von dem Inhalt der Erfindung abzuweichen. Zum Beispiel können die Prozesse in einer anderen Reihenfolge durchgeführt werden, oder es können Prozesse hinzugefügt, eliminiert oder modifiziert werden.
  • In den beigefügten Figuren und der folgenden detaillierten Beschreibung der Ausführungsformen der Erfindung sind die verschiedenen Elemente, die in den Figuren dargestellt sind, mit Bezugszeichen mit zwei oder drei Ziffern bereitgestellt. Mit wenigen Ausnahmen entspricht (entsprechen) die am weitesten links gelegene Ziffer (die am weitesten links gelegenen Ziffern) jedes Bezugszeichens der Figur, in der das Element als erstes dargestellt ist.
  • DETAILLIERTE BESCHREIBUNG
  • Der Kürze halber ist es möglich, dass herkömmliche Techniken in Bezug auf die Herstellung von Halbleitereinheiten und integrierten Schaltungen (ICs) hier im Detail beschrieben sind oder nicht beschrieben sind. Darüber hinaus können verschiedene Funktionen und Prozessschritte, die hierin beschrieben sind, in ein umfassenderes Verfahren oder einen umfassenderen Prozess miteinbezogen werden, das oder der zusätzliche Schritte oder Funktionsweisen aufweist, die hier nicht im Detail beschrieben sind. Insbesondere sind verschiedene Schritte bei der Herstellung von Halbleitereinheiten und ICs auf der Grundlage von Halbleitern allgemein bekannt, und so werden der Kürze halber viele gebräuchliche Schritte hier lediglich kurz erwähnt oder werden insgesamt weggelassen, ohne die allgemein bekannten Prozessdetails bereitzustellen.
  • Sich nunmehr einer Übersicht über Technologien zuwendend, die für Aspekte der vorliegenden Erfindung spezifischer relevant sind, wie zuvor hier angemerkt, setzen einige Bauarten von nicht-planaren Transistoreinheiten, wie beispielsweise VFETs, Halbleiter-Fins und Seiten-Gates ein, die außerhalb des aktiven Bereichs kontaktiert werden können, so dass eine erhöhte Dichte von Einheiten gegenüber lateralen Einheiten resultiert. Beim Skalieren von VFETs über den 10-nm-Knotenpunkt hinaus gibt es jedoch Herausforderungen. Zum Beispiel bewirkt die vertikale Beschaffenheit der VFET-Bauart, dass sich zusätzlich zu Ausweitungen in Bezug auf Breiten- oder Flächenanforderungen Beeinflussungen der Gate-Länge auf die Gesamtschichthöhe auswirken. Eine Vergrößerung der Gesamtschichthöhe verursacht mehrere unerwünschte Komplikationen, insbesondere dann, wenn Source-, Drain- und Gate-Kontakte jeweils unterschiedliche Längen benötigen, um einer vorgegebenen Gate-Länge zu genügen. Darüber hinaus ist die Integration von extrem langen Gates (d.h. von Gates, die eine Kanallänge aufweisen, die größer als jene eines herkömmlichen Gates mit einem kurzen Kanal ist, die auf die Höhe des vertikalen Fin beschränkt ist) bei einem herkömmlichen VFET durch Anforderungen in Bezug auf die Ebenheit der Schicht beschränkt. Infolgedessen sind herkömmliche VFETs auf vergleichsweise kurze Kanäle beschränkt.
  • Sich nunmehr einer Übersicht über Aspekte der vorliegenden Erfindung zuwendend, stellt eine Ausführungsform oder stellen mehrere Ausführungsformen der Erfindung Verfahren und Strukturen bereit, die so konfiguriert sind, dass extrem lange Kanäle innerhalb der existierenden VFET-Bauart ermöglicht werden. Auf einem Substrat wird ein Paar von Halbleiter-Fins gebildet. Ein Source-Bereich wird auf dem ersten Halbleiter-Fin gebildet, und ein Drain-Bereich wird auf dem zweiten Halbleiter-Fin gebildet. Zwischen den Halbleiter-Fins wird eine Halbleitersäule gebildet. Die Halbleitersäule kann so gebildet werden, dass sie eine beliebig große Breite aufweist, ohne die Kanalhöhe der Halbleiter-Fins zu beeinflussen. Ein Bereich des Substrats, der sich vollständig unter den Halbleiter-Fins erstreckt und sich zum Teil unter der Halbleitersäule erstreckt, wird dotiert, um einen leitfähigen Pfad zwischen den Halbleiter-Fins und der Halbleitersäule bereitzustellen. Über Kanalbereichen der Halbleiter-Fins und der Halbleitersäule wird ein gemeinsam genutztes leitfähiges Gate gebildet. Eine Oberfläche der Halbleitersäule dient als ein erweiterter Kanalbereich, wenn das Gate aktiv ist. Auf diese Weise wird ein VFET mit einem extrem langen Kanal bereitgestellt, bei dem keine Vergrößerungen der Gesamtschichthöhe des Kanalbereichs erforderlich sind.
  • Sich nunmehr einer detaillierteren Beschreibung von Aspekten der vorliegenden Erfindung zuwendend, stellt 1 eine Querschnittsansicht einer Struktur 100 mit einer Hartmaske 102, die über einem Substrat 104 ausgebildet ist, während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Unter der Hartmaske 102 und innerhalb eines Teils des Substrats 104 ist ein Durchgriff-Stopper (Punch-Through-Stopper, PTS) 106 ausgebildet. Die Hartmaske 102 kann aus irgendeinem geeigneten Material bestehen, wie zum Beispiel aus einem Siliciumnitrid.
  • Das Substrat 104 kann aus irgendeinem geeigneten Substratmaterial bestehen, wie zum Beispiel aus monokristallinem Si, SiGe, SiC, einem Ill-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder einem Halbleiter-auf-Isolator (SOI). Bei einigen Ausführungsformen beinhaltet das Substrat 104 eine (nicht dargestellte) vergrabene Oxidschicht.
  • Der PTS 106 kann durch einen Implantationsprozess, wie zum Beispiel eine Ionenstrahlimplantation, in dem Substrat 104 gebildet werden. Der PTS 106 beinhaltet Dotierstoffstörstellen, die einen zu den Source-/Drain-Bereichen entgegengesetzten Dotiertyp aufweisen, und wirkt dahingehend, einen Leckverlust zwischen den Source-/Drain-Bereichen während eines normalen Betriebs der Einheit zu unterbinden und die Implantationstiefe des unteren dotierten Bereichs 500 (wie in 5 dargestellt) zu begrenzen. Der PTS 106 kann in Abhängigkeit vom Typ des Transistors entweder Dotierstoffe vom n-Typ (z.B. As, P, Sb) oder Dotierstoffe vom p-Typ (z.B. Ga, B, In, BF2, Al) beinhalten. Zum Beispiel sind für einen pFET Dotierstoffe vom n-Typ erforderlich, und für einen nFET sind Dotierstoffe vom p-Typ erforderlich. Die Dotierstoffkonzentration in dem PTS 106 kann in einem Bereich von 1 × 1019 cm-3 bis 2 × 1021 cm-3 oder zwischen 1 × 1020 cm-3 und 1 × 1021cm-3 liegen.
  • 2 stellt eine Querschnittsansicht der Struktur 100 nach einem Bilden von vertikalen Halbleiter-Fins 200 und 202 und einer Halbleitersäule 204 auf dem Substrat 104 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Die vertikalen Halbleiter-Fins 200 und 202 (im Folgenden die „Fins“) und die Halbleitersäule 204 (im Folgenden die „Säule“) können unter Verwendung bekannter Front-End-of-Line(FEOL)-VFET-Herstellungstechniken auf dem Substrat 104 gebildet werden.
  • Bei einigen Ausführungsformen der Erfindung wird die Hartmaske 102 strukturiert, um Teile des Substrats 104 freizulegen. Die freigelegten Teile des Substrats 104 können dann unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination derselben entfernt oder zurückgesetzt werden, um die Fins 200 und 202 sowie die Säule 204 zu bilden. Die Fins 200 und 202 können eine nicht beschränkende Höhe in einem Bereich von 20 nm bis 150 nm aufweisen. Die Fins 200 und 202 können eine Breite in einem Bereich von 5 nm bis 100 nm aufweisen. Die Säule 204 kann die gleiche Höhe wie die Fins 200 und 202 aufweisen und kann eine Breite in einem Bereich von 5 nm bis über einem Mikrometer aufweisen. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die Breite der Säule 204 viel größer als die Breite der Fins 200 und 202 (z.B. mehr als doppelt so groß). Auf diese Weise ist es möglich, dass sich die Implantation des unteren dotierten Bereichs 500 vollständig unter den Fins 200 und 202, jedoch lediglich zum Teil unter der Säule 204 erstreckt (wie in 5 dargestellt).
  • Die Fins 200 und 202 sowie die Säule 204 können durch eine (nicht dargestellte) Isolation mit flachen Gräben von anderen Bereichen des Substrats 104 elektrisch isoliert sein. Die Isolation mit flachen Gräben kann aus irgendeinem geeigneten dielektrischen Material bestehen, wie zum Beispiel aus einem Siliciumoxid.
  • 3 stellt eine Querschnittsansicht der Struktur 100 nach einem Bilden eines Überzugs 300 über dem Substrat 104, den Fins 200 und 202 sowie der Säule 204 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Der Überzug 300 kann aus irgendeinem geeigneten dielektrischen Material bestehen, wie zum Beispiel aus einem Oxid oder einem Siliciumoxid. Der Überzug 300 kann unter Verwendung von CVD, PECD, ALD, PVD, einer Abscheidung aus einer chemischen Lösung oder eines anderen ähnlichen Prozesses konform gebildet werden.
  • 4 stellt eine Querschnittsansicht der Struktur 100 nach einem Entfernen von Teilen des Überzugs 300 zum Freilegen einer Oberfläche des Substrats 104 und der Hartmaske 102 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Der Überzug 300 kann unter Verwendung irgendeines geeigneten Prozesses entfernt werden, wie zum Beispiel unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination derselben. Bei einigen Ausführungsformen der vorliegenden Erfindung wird der Überzug 300 selektiv in Bezug auf die Hartmaske 102 und/oder das Substrat 104 entfernt. Nach einem Zurückätzen des Überzugs verbleiben Teile des Überzugs 300 auf Seitenwänden der Fins 200 und 202 sowie auf Seitenwänden der Säule 204.
  • 5 stellt eine Querschnittsansicht der Struktur 100 nach einem Dotieren eines Teils des Substrats 104 über dem PTS 106 zur Bildung eines unteren dotierten Bereichs 500 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Der untere dotierte Bereich 500 kann zum Beispiel unter Verwendung von Diffusion und/oder Ionenimplantation gebildet werden. Der untere dotierte Bereich 500 stellt einen leitfähigen Pfad zwischen den Fins 200 und 202 und der Säule 204 während eines aktiven Zustands oder EIN-Zustands bereit (wie in 16 dargestellt). Wie zuvor hierin erörtert, kann die Breite der Säule 204 viel größer als die Breite der Fins 200 und 202 sein (z.B. mehr als doppelt so groß).
  • Infolgedessen ist es möglich, dass sich der untere dotierte Bereich 500 vollständig unter den Fins 200 und 202, jedoch lediglich zum Teil unter der Säule 204 erstreckt. Auf diese Weise wird ein blockierender Bereich 502 unter der Säule 204 gebildet. Der PTS 106 dient während dieses Prozesses als eine Implantationsbarriere, um die Implantationstiefe des unteren dotierten Bereichs 500 zu begrenzen. In einer ähnlichen Weise verhindern die verbliebenen Teile des Überzugs 300, dass der Implantationsprozess die Fins 200 und 202 sowie die Säule 204 dotiert.
  • Der untere dotierte Bereich 500 kann während der Abscheidung dotiert werden (Dotierung in-situ) oder kann im Anschluss an die Epitaxie in Abhängigkeit vom Typ des Transistors durch Hinzufügen von Dotierstoffen des n-Typs (z.B. As, P, Sb) oder von Dotierstoffen des p-Typs (z.B. Ga, B, In, BF2, AI) dotiert werden (d.h. durch Hinzufügen von Dotierstoffen des n-Typs für einen nFET und von Dotierstoffen des p-Typs für einen pFET). Die Dotierstoffkonzentration in dem unteren dotierten Bereich 500 kann in einem Bereich von 1 × 1019 cm-3 bis 2 × 1021 cm-3 oder zwischen 1 × 1020 cm-3 und 1 × 1021 cm-3 liegen.
  • 6 stellt eine Querschnittsansicht der Struktur 100 nach einem Entfernen des Überzugs 300 und einem Bilden eines unteren Abstandshalters 600 über dem unteren dotierten Bereich 500 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Der Überzug 300 kann unter Verwendung bekannter Prozesse entfernt werden, wie zum Beispiel unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination derselben. Bei einigen Ausführungsformen der vorliegenden Erfindung wird der Überzug 300 unter Verwendung von RIE selektiv in Bezug auf die Hartmaske 102 entfernt.
  • Der untere Abstandshalter 600 kann ein dielektrisches Material beinhalten, wie zum Beispiel SiN, SiC, SiOC, SiCN, BN, SiBN, SiBCN, SiOCN, SiOxNy und Kombinationen derselben. Bei dem dielektrischen Material kann es sich um ein Material mit einem niedrigen k handeln, das eine Dielektrizitätskonstante von niedriger als etwa 7, niedriger als etwa 5 oder sogar niedriger als etwa 2,5 aufweist. Der untere Abstandshalter 600 kann unter Verwendung bekannter Abscheidungsprozesse gebildet werden, wie zum Beispiel unter Verwendung von CVD, PECVD, ALD, PVD, einer Abscheidung aus einer chemischen Lösung oder anderer ähnlicher Prozesse. Bei einigen Ausführungsformen der vorliegenden Erfindung wird der untere Abstandshalter 600 gebildet, indem ein gerichteter Abscheidungsprozess durchgeführt wird, wie zum Beispiel ein Gas-Cluster-lonenstrahl(GCIB)-Prozess. Bei dem GCIB-Prozess handelt es sich um einen Abscheidungsprozess, der von seiner Natur her in hohem Maße gerichtet sein kann. Der gerichtete Abscheidungsprozess kann zum Beispiel in der Abscheidung eines dielektrischen Materials auf den horizontal ausgerichteten Oberflächen der Einheit resultieren, wie beispielsweise auf einer Oberfläche des unteren dotierten Bereichs 500, während eine Abscheidung irgendeiner wesentlichen Menge des dielektrischen Materials auf den vertikal ausgerichteten Oberflächen der Einheit vermieden wird, wie beispielsweise auf Seitenwänden der Fins 200 und 202.
  • 7 stellt eine Querschnittsansicht der Struktur 100 nach einem Bilden einer Planarisierungsschicht 700, einer Hartmaske 702 sowie eines strukturierten Photoresists 704 über dem unteren Abstandshalter 600, den Fins 200 und 202 sowie der Säule 204 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Planarisierungsschicht 700 um eine organische Planarisierungsschicht (OPL). Die Hartmaske 702 (die auch als eine Gedächtnis-Schicht bekannt ist) kann aus irgendeinem geeigneten Photomasken-Material bestehen, wie zum Beispiel aus SiARC, TiARC, SiO2, SiN oder anderen Materialien, das oder die eine Ätzselektivität in Bezug auf die Hartmaske 102 bereitstellt oder bereitstellen.
  • 8 stellt eine Querschnittsansicht der Struktur 100 nach einem Freilegen einer Oberfläche der Hartmaske 102 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Die Hartmaske 102 kann durch Entfernen von Teilen der Planarisierungsschicht 700 und der Hartmaske 702 zum Beispiel unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination derselben freigelegt werden. Bei einigen Ausführungsformen der vorliegenden Erfindung wird eine Struktur von dem strukturierten Photoresist 704 in die Hartmaske 702 transferiert. Die strukturierte Hartmaske 702 kann dann als eine Ätzmaske verwendet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung wird ein RIE-Prozess, der selektiv in Bezug auf die Hartmaske 102 und/oder die Säule 204 ist, zum Freilegen der Hartmaske 102 verwendet. Bei einigen Ausführungsformen der vorliegenden Erfindung legt der RIE-Prozess außerdem Seitenwände der Säule 204 frei. Verbliebene Teile der strukturierten Hartmaske 702 und/oder der Planarisierungsschicht 700 stellen eine Isolation gegenüber Nicht-Säulenbereichen während der nachfolgenden Zurücksetzung und Implantation der Säule 204 bereit (wie in 9 dargestellt).
  • 9 stellt eine Querschnittsansicht der Struktur 100 nach einem Zurücksetzen der Säule 204 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die auf der Säule 204 ausgebildete Hartmaske 102 vor einem Zurücksetzen der Säule 204 entfernt. Die Säule 204 wird unter eine obere Oberfläche der Fins 200 und 202 zurückgesetzt. Zum Beispiel kann die Säule 204 etwa 5 nm bis etwa 10 nm unter eine Oberfläche der Fins 200 und 202 zurückgesetzt werden, wenngleich auch andere Zurücksetztiefen innerhalb des ins Auge gefassten Umfangs der Erfindung liegen. Auf diese Weise wird ein Teil des leitfähigen Gates 1100 (wie in 11 dargestellt) zwischen der Säule 204 und dem Gate-Kontakt 1504 (wie in 15 dargestellt) gebildet.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Säule 204 und der blockierende Bereich 502 vor oder nach einem Zurücksetzen der Säule zum Beispiel unter Verwendung von Diffusion und/oder Ionenimplantation dotiert. Die Säule 204 und der blockierende Bereich 502 können während der Abscheidung dotiert werden (Dotierung in-situ) oder im Anschluss an die Epitaxie in Abhängigkeit von dem Dotiertyp des unteren dotierten Bereichs 500 durch Hinzufügen von komplementären Dotierstoffen des n-Typs (z.B. As, P, Sb) oder komplementären Dotierstoffen des p-Typs (z.B. Ga, B, In, BF2, AI) dotiert werden (d.h. durch Hinzufügen von Dotierstoffen des p-Typs für einen nFET und von Dotierstoffen des n-Typs für einen pFET). Die Dotierstoffkonzentration in der Säule 204 und dem blockierenden Bereich 502 kann in einem Bereich von 1 × 1016 cm-3 bis 1 × 1018 cm-3 liegen.
  • 10 stellt eine Querschnittsansicht der Struktur 100 nach einem Entfernen der Planarisierungsschicht 700 und der Hartmaske 702 und einem Abscheiden einer Oxidschicht 1000 (auf die auch als ein Gate-Dielektrikum Bezug genommen wird) während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Die Oxidschicht 1000 kann unter Verwendung von CVD, PECVD, ALD, PVD, einer Abscheidung aus einer chemischen Lösung oder anderer ähnlicher Prozesse konform gebildet werden. Das dicke Oxid 1000 kann aus irgendeinem geeigneten Material bestehen, wie zum Beispiel einem Siliciumoxid.
  • Die Oxidschicht 1000 wird zwischen dem leitfähigen Gate 1100 (wie in 11 dargestellt) und den Fins 200 und 202 sowie der Säule 204 gebildet. Die Oxidschicht 1000 kann mit einer Dicke von etwa 1 nm bis etwa 20 nm gebildet werden, wenngleich auch andere Dicken innerhalb des ins Auge gefassten Umfangs der Erfindung liegen. Bei einigen Ausführungsformen der vorliegenden Erfindung handelt es sich bei der Oxidschicht 1000 um eine dicke Oxidschicht mit einer Dicke von mehr als etwa 10 nm, die zur Verwendung in einer Einheit mit hoher Spannung mit einer positiven Versorgungsspannung von mehr als etwa 1,5 Volt geeignet ist. Einheiten mit einem dicken Oxid weisen aufgrund der dicken Oxidschicht eine höhere Kapazität und eine geringere Bandbreite als Einheiten mit einem dünnen Oxid auf und werden bei Anwendungen eingesetzt, bei denen die Einwirkung einer hohen Spannung eine Schädigung der Einheit verursachen kann.
  • 11 stellt eine Querschnittsansicht der Struktur 100 nach einem Bilden eines leitfähigen Gates 1100 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Bei dem leitfähigen Gate 1100 handelt es sich um ein gemeinsam genutztes Gate, das unter Verwendung bekannter VFET-Prozesse über Kanalbereichen der beiden Fins 200 und 202 sowie über der Säule 204 gebildet wird. Bei einigen Ausführungsformen der vorliegenden Erfindung wird das leitfähige Gate 1100 über eine Oberfläche der Hartmaske 102 hinaus eingebracht und dann zum Beispiel unter Verwendung von CMP bis zu einer Oberfläche der Hartmaske 102 planarisiert.
  • Bei dem leitfähigen Gate 1100 kann es sich um ein Metall-Gate mit einem hohen k (HKMG) handeln, und es kann zum Beispiel eine dielektrische Schicht mit einem hohen k oder mehrere derselben (nicht dargestellt) sowie ein Austrittsarbeitsmetall (WFM, nicht dargestellt) oder mehrere derselben beinhalten. Die eine dielektrische Schicht oder die mehreren dielektrischen Schichten mit einem hohen k können aus einem dielektrischen Material mit einer Dielektrizitätskonstanten bestehen, die höher als zum Beispiel 3,9, 7,0 oder 10,0 ist. Nicht beschränkende Beispiele geeigneter Materialien für die dielektrischen Schichten mit einem hohen k beinhalten Oxide, Nitride, Oxynitride, Silicate (z.B. Metallsilicate), Aluminate, Titanate, Nitride oder irgendeine Kombination derselben. Beispiele für Materialien mit einem hohen k mit einer Dielektrizitätskonstanten, die höher als 7,0 ist, beinhalten, sind jedoch nicht beschränkt auf, Metalloxide, wie beispielsweise Hafniumoxid, Hafniumsiliciumoxid, Hafniumsiliciumoxynitrid, Lanthanoxid, Lanthanaluminiumoxid, Zirconiumoxid, Zirconiumsiliciumoxid, Zirconiumsiliciumoxynitrid, Tantaloxid, Titanoxid, Bariumstrontiumtitanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid und Bleizinkniobat. Die dielektrischen Schichten mit einem hohen k können des Weiteren Dotierstoffe beinhalten, wie zum Beispiel Lanthan und Aluminium. Die dielektrischen Schichten mit einem hohen k können mittels geeigneter Abscheidungsprozesse gebildet werden, wie zum Beispiel mittels CVD, PECVD, atomarer Schichtabscheidung (ALD), Aufdampfung, physikalischer Gasphasenabscheidung (PVD), einer Abscheidung aus einer chemischen Lösung oder anderer ähnlicher Prozesse. Die Dicke der dielektrischen Schichten mit einem hohen k kann in Abhängigkeit von dem Abscheidungsprozess ebenso wie der Zusammensetzung und der Anzahl von verwendeten dielektrischen Materialien mit einem hohen k variieren. Die dielektrischen Schichten mit einem hohen k können eine Dicke in einem Bereich von etwa 0,5 nm bis etwa 20 nm aufweisen.
  • Das WFM kann über den dielektrischen Schichten mit einem hohen k abgeschieden werden. Der Typ des Austrittsarbeitsmetalls ist von dem Typ des Transistors abhängig und kann für nFET- und pFET-Einheiten unterschiedlich sein. Austrittsarbeitsmetalle vom p-Typ beinhalten Zusammensetzungen wie beispielsweise Ruthenium, Palladium, Platin, Cobalt, Nickel und leitfähige Metalloxide oder irgendeine Kombination derselben. Austrittsarbeitsmetalle vom n-Typ beinhalten Zusammensetzungen wie beispielsweise Hafnium, Zirconium, Titan, Tantal, Aluminium, Metallcarbide (z.B. Hafniumcarbid, Zirconiumcarbid, Titancarbid und Aluminiumcarbid), Aluminide oder irgendeine Kombination derselben. Das WFM kann mittels eines geeigneten Abscheidungsprozesses abgeschieden werden, wie zum Beispiel mittels CVD, PECVD, PVD, mittels Plattierens, thermischer Aufdampfung oder Elektronenstrahl-Aufdampfung sowie mittels Sputterns.
  • Das Bulk-Material (das Gate-Leitermaterial) für das leitfähige Gate 1100 kann über den dielektrischen Schichten mit einem hohen k und dem WFM abgeschieden werden, um ein HKMG zu bilden. Nicht beschränkende Beispiel für geeignete leitfähige Materialien beinhalten Aluminium (AI), Platin (Pt), Gold (Au), Wolfram (W), Titan (Ti) oder irgendeine Kombination derselben. Das Gate-Leitermaterial kann mittels eines geeigneten Abscheidungsprozesses abgeschieden werden, wie zum Beispiel mittels CVD, PECVD, PVD, mittels Plattierens, thermischer Aufdampfung oder Elektronenstrahl-Aufdampfung sowie mittels Sputterns.
  • 12 stellt eine Querschnittsansicht der Struktur 100 nach einem Entfernen der Hartmaske 102, einem Zurücksetzen des leitfähigen Gates 1100 und einem Bilden eines oberen Abstandshalters 1200 über dem zurückgesetzten leitfähigen Gate 1100 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Die Hartmaske 102 kann unter Verwendung bekannter Hartmasken-Öffnungsprozesse entfernt werden, wie zum Beispiel unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination derselben. Bei einigen Ausführungsformen der vorliegenden Erfindung wird das leitfähige Gate 1100 so zurückgesetzt, dass es unterhalb einer Oberfläche der Fins 200 und 202, jedoch oberhalb einer Oberfläche der Säule 204 liegt. Das leitfähige Gate 1100 kann unter Verwendung irgendeines geeigneten Prozesses zurückgesetzt werden, wie zum Beispiel unter Verwendung eines Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination derselben. Bei einigen Ausführungsformen der vorliegenden Erfindung wird das leitfähige Gate 1100 selektiv in Bezug auf die Fins 200 und 202 geätzt.
  • Der obere Abstandshalter 1200 kann ein dielektrisches Material beinhalten, wie zum Beispiel SiN, SiC, SiOC, SiCN, BN, SiBN, SiBCN, SiOCN, SiOxNy sowie Kombinationen derselben. Bei dem dielektrischen Material kann es sich um ein Material mit einem niedrigen k handeln, das eine Dielektrizitätskonstante von niedriger als etwa 7, niedriger als etwa 5 oder sogar niedriger als etwa 2,5 aufweist. Der obere Abstandshalter 1200 kann unter Verwendung bekannter Abscheidungsprozesse gebildet werden, wie zum Beispiel unter Verwendung von CVD, PECVD, ALD, PVD, einer Abscheidung aus einer chemischen Lösung oder anderer ähnlicher Prozesse. Bei einigen Ausführungsformen der vorliegenden Erfindung wird der obere Abstandshalter 1200 in einer ähnlichen Weise wie der untere Abstandshalter 600 gebildet, indem ein gerichteter Abscheidungsprozess durchgeführt wird, wie zum Beispiel ein Gas-Cluster-lonenstrahl(GCIB)-Prozess.
  • 13 stellt eine Querschnittsansicht der Struktur 100 nach einem Bilden eines Source-Bereichs 1300 auf dem Fin 200 und eines Drain-Bereichs 1302 auf dem Fin 202 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Die Source-/Drain-Bereiche 1300 und 1302 können mittels eines selektiven epitaxialen Aufwachsens über freiliegenden Oberflächen der Fins 200 und 202 gebildet werden. Die Source-/Drain-Bereiche 1300 und 1302 können epitaxiale Halbleitermaterialien beinhalten, die aus gasförmigen oder flüssigen Ausgangsstoffen aufgewachsen werden. Zum Beispiel können epitaxiale Halbleitermaterialien unter Verwendung von Gasphasenepitaxie (VPE), Molekularstrahlepitaxie (MBE), Flüssigphasenepitaxie (LPE) oder anderer geeigneter Prozesse aufgewachsen werden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Gasquelle für die Abscheidung eines epitaxialen Halbleitermaterials eine Silicium enthaltende Gasquelle, eine Germanium enthaltende Gasquelle oder eine Kombination derselben. Eine epitaxiale Si-Schicht kann zum Beispiel aus einer Silicium-Gasquelle abgeschieden werden, die aus der Gruppe ausgewählt ist, die besteht aus: Silan, Disilan, Trisilan, Tetrasilan, Hexachlordisilan, Tetrachlorsilan, Dichlorsilan, Trichlorsilan, Methylsilan, Dimethylsilan, Ethylsilan, Methyldisilan, Dimethyldisilan, Hexamethyldisilan sowie Kombinationen derselben. Eine epitaxiale Germanium-Schicht kann aus einer Germanium-Gasquelle abgeschieden werden, die aus der Gruppe ausgewählt ist, die besteht aus: German, Digerman, Halogerman, Dichlorgerman, Trichlorgerman, Tetrachlorgerman sowie Kombinationen derselben. Eine epitaxiale Schicht aus einer Siliciumgermanium-Legierung kann unter Verwendung einer Kombination derartiger Gasquellen gebildet werden. Es können Trägergase wie Wasserstoff, Stickstoff, Helium und Argon verwendet werden.
  • Epitaxiales Silicium, Siliciumgermanium und/oder mit Kohlenstoff dotiertes Silicium (Si:C) können während der Abscheidung dotiert werden (Dotierung in-situ) oder können im Anschluss an die Epitaxie in Abhängigkeit von dem Typ des Transistors durch Hinzufügen von Dotierstoffen des n-Typs (z.B. As, P, Sb) oder von Dotierstoffen des p-Typs (z.B. Ga, B, BF2, AI) dotiert werden (d.h. durch Hinzufügen von Dotierstoffen des n-Typs für einen nFET und von Dotierstoffen des p-Typs für einen pFET). Die Dotierstoffkonzentration in den Source-/Drain-Bereichen 1300 und 1302 kann in einem Bereich von 1 × 1019 cm-3 bis 2 × 1021 cm-3 oder zwischen 1 × 1020 cm-3 und 1 × 1021cm-3 liegen.
  • 14 stellt eine Querschnittsansicht der Struktur 100 nach einem Bilden eines Überzugs 1400 über dem oberen Abstandshalter 1200 und den Source-/Drain-Bereichen 1300 und 1302 während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Der Überzug 1400 kann ein dielektrisches Material beinhalten, wie zum Beispiel SiN, SiC, SiOC, SiCN, BN, SiBN, SiBCN, SiOCN, SiOxNy sowie Kombinationen derselben. Der Überzug 1400 kann unter Verwendung bekannter Abscheidungsprozesse gebildet werden, wie zum Beispiel unter Verwendung von CVD, PECVD, ALD, PVD, einer Abscheidung aus einer chemischen Lösung oder anderer ähnlicher Prozesse. Über dem Überzug 1400 ist ein Zwischenschicht-Dielektrikum (ILD) 1402 ausgebildet. Das ILD 1402 kann aus irgendeinem geeigneten dielektrischen Material bestehen, wie zum Beispiel aus einem Siliciumoxid, und kann unter Verwendung irgendeines geeigneten Prozesses gebildet werden.
  • 15 stellt eine Querschnittsansicht der Struktur 100 nach einem Bilden eines Source-Kontakts 1500, eines Drain-Kontakts 1502 sowie eines Gate-Kontakts 1504 (die insgesamt als die „Kontakte“ bezeichnet werden) während einer Zwischenstufe eines Verfahrens zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Die Kontakte 1500, 1502 und 1504 werden unter Verwendung bekannter Metallisierungstechniken in einem ohmschen Kontakt mit dem Source-Bereich 1300, dem Drain-Bereich 1302 beziehungsweise dem leitfähigen Gate 1100 gebildet. Wenngleich in dieser Querschnittsansicht nicht gezeigt, versteht es sich, dass der Gate-Kontakt 1504 mit sämtlichen Teilen des leitfähigen Gates 1100 elektrisch gekoppelt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung wird das ILD 1402 erweitert und dann mit (nicht dargestellten) offenen Gräben strukturiert. Die Kontakte 1500, 1502 und 1504 werden dann in die Gräben abgeschieden. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst ein Strukturieren der offenen Gräben ein Entfernen von Teilen des Überzugs 1400 und des oberen Abstandshalters 1200. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Gräben mit den Kontakten 1500, 1502 und 1504 überfüllt, so dass Überladungen über einer Oberfläche des ILD 1402 gebildet werden. Für ein Entfernen der Überladungen kann CMP verwendet werden.
  • Die Kontakte 1500, 1502 und 1504 können aus irgendeinem geeigneten leitenden Material bestehen, wie zum Beispiel aus einem Metall (z.B. Wolfram, Titan, Tantal, Ruthenium, Zirconium, Cobalt, Kupfer, Aluminium, Blei, Platin, Zinn, Silber, Gold), einem leitenden metallischen Verbindungsmaterial (z.B. Tantalnitrid, Titannitrid, Tantalcarbid, Titancarbid, Titanaluminiumcarbid, Wolframsilicid, Wolframnitrid, Rutheniumoxid, Cobaltsilicid, Nickelsilicid), Kohlenstoff-Nanoröhren, leitfähigem Kohlenstoff, Graphen oder irgendeiner geeigneten Kombination dieser Materialien. Das leitfähige Material kann des Weiteren Dotierstoffe beinhalten, die während oder nach der Abscheidung eingebaut werden. Bei einigen Ausführungsformen der vorliegenden Erfindung können die Kontakte 1500, 1502 und 1504 aus Kupfer oder Wolfram bestehen und können einen (nicht dargestellten) Überzug aus einem Barrierenmetall beinhalten. Der Überzug aus einem Barrierenmetall verhindert, dass Kupfer oder Wolfram in die umgebenden Materialien hinein diffundieren oder diese dotieren, was deren Eigenschaften verschlechtern kann. Silicium bildet zum Beispiel bei einer Dotierung mit Kupfer Einfangstellen auf tiefen Niveaus. Ein idealer Überzug aus einem Barrierenmetall muss das Diffusionsvermögen des Bulk-Metalls ausreichend begrenzen, um den Leiter gegenüber den umgebenden Materialien chemisch zu isolieren, und sollte eine hohe elektrische Leitfähigkeit aufweisen, wie zum Beispiel Tantal, Tantalnitrid, Titan, Titannitrid, Cobalt, Ruthenium, Mangan oder Titancarbid.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung beinhalten die Kontakte 1500, 1502 und 1504 ein Metall (z.B. Titan), das mit Halbleitermaterialien (z.B. den Source- und Drain-Bereichen 1300 und 1302) reagiert und eine (nicht dargestellte) Silicidschicht zwischen den Source- und Drain-Bereichen 1300 und 1302 und den Kontakten 1300 und 1302 bildet. Da die Silicidschicht nur an der Grenzfläche zwischen den Kontakten und den oberen S/D-Bereichen der Silicidschicht gebildet wird, lässt sich sagen, dass die Silicidschicht selbstjustiert zu den oberen S/D-Bereichen ist (auf ein selbst-justiertes Silicid wird auch als ein Salicid Bezug genommen).
  • 16 stellt eine Querschnittsansicht der Struktur 100 mit einem extrem langen Strompfad 1600 (der auch als ein elektrischer Pfad oder ein Kanalpfad bekannt ist) während eines EIN-Zustands gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung dar. Der Strompfad 1600 beginnt bei dem Source-Bereich 1300, verläuft entlang einer Oberfläche der Säule 204 und endet bei dem Drain-Bereich 1302. Wie zuvor hierin erörtert, stellt die Oberfläche der Säule 204 eine vergrößerte Kanallänge zwischen den Source- und Drain-Bereichen 1300 und 1302 bereit, wenn das leitfähige Gate 1100 aktiv ist. Auf diese Weise wird ein extrem langer Kanal bereitgestellt, der eine Kanallänge aufweist, die mehr als doppelt so lang wie der einzelne Kanal für jeden Fin 200 oder 202 ist. Vorteilhafterweise erfordert der extrem lange Kanal keine Vergrößerung der Gesamthöhe des Kanalbereichs der Fins 200 und 202 oder eine Vergrößerung der Höhe des leitfähigen Gates 1100.
  • 17 stellt ein Flussdiagramm 1700 dar, das ein Verfahren zur Herstellung einer Halbleitereinheit gemäß einer Ausführungsform oder mehreren Ausführungsformen der Erfindung zeigt. Wie in Block 1702 gezeigt, wird ein Paar von Halbleiter-Fins auf einem Substrat gebildet. Die Halbleiter-Fins können in einer ähnlichen Weise wie die in 2 dargestellten Fins 200 und 202 gemäß einer Ausführungsform oder mehreren Ausführungsformen gebildet werden.
  • Wie in Block 1704 gezeigt, wird eine Halbleitersäule zwischen den Halbleiter-Fins auf dem Substrat gebildet. Die Halbleitersäule kann in einer ähnlichen Weise wie die in 2 dargestellte Säule 204 gemäß einer Ausführungsform oder mehreren Ausführungsformen gebildet werden.
  • Wie in Block 1706 gezeigt, wird ein unterer dotierter Bereich gebildet, der sich vollständig unter den Halbleiter-Fins erstreckt und sich zum Teil unter der Halbleitersäule erstreckt. Der untere dotierte Bereich kann in einer ähnlichen Weise wie der in 5 dargestellte untere dotierte Bereich 500 gemäß einer Ausführungsform oder mehreren Ausführungsformen gebildet werden. Der untere dotierte Bereich stellt einen leitfähigen Pfad zwischen den Halbleiter-Fins und der Halbleitersäule bereit.
  • Wie in Block 1708 gezeigt, wird ein leitfähiges Gate über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule gebildet. Das leitfähige Gate kann in einer ähnlichen Weise wie das in 11 dargestellte leitfähige Gate 1100 gemäß einer Ausführungsform oder mehreren Ausführungsformen gebildet werden. Eine Oberfläche der Halbleitersäule dient als ein erweiterter Kanalbereich, wenn das Gate aktiv ist.

Claims (20)

  1. Verfahren (1700) zur Herstellung einer Halbleitereinheit (100), wobei das Verfahren umfasst: Bilden (1702) eines Paars von Halbleiter-Fins (200, 202) auf einem Substrat (104); Bilden (1704) einer Halbleitersäule (204) zwischen den Halbleiter-Fins auf dem Substrat; Bilden (1706) eines unteren dotierten Bereichs (500), der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt; Zurücksetzen der Halbleitersäule unter eine Oberfläche der Halbleiter-Fins; und Bilden (1708) eines leitfähigen Gates (1100) über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule.
  2. Verfahren nach Anspruch 1, das des Weiteren ein Dotieren der Halbleitersäule umfasst.
  3. Verfahren nach einem der vorhergehenden Ansprüche, das des Weiteren ein Bilden einer dicken Oxidschicht zwischen dem leitfähigen Gate und den Halbleiter-Fins sowie der Halbleitersäule umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, das des Weiteren ein Bilden eines unteren Abstandshalters (600) zwischen dem leitfähigen Gate und dem unteren dotierten Bereich umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, das des Weiteren ein Bilden eines oberen Abstandshalters (1200) auf dem leitfähigen Gate umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, das des Weiteren ein Bilden von oberen dotierten Bereichen auf freiliegenden Oberflächen der Halbleiter-Fins umfasst.
  7. Verfahren nach Anspruch 6, das des Weiteren ein Bilden eines leitfähigen Kontakts (1500, 1502) auf den oberen dotierten Bereichen umfasst.
  8. Verfahren nach Anspruch 7, das des Weiteren ein Bilden eines Gate-Kontakts (1504) auf dem leitfähigen Gate und über der Halbleitersäule umfasst.
  9. Verfahren nach einem der vorhergehenden Ansprüche, das des Weiteren umfasst: Bilden eines Source-Bereichs (1300) und eines Drain-Bereichs (1302) auf freiliegenden Oberflächen der Halbleiter-Fins; und Bilden eines gemeinsam genutzten Gate-Kontakts auf dem leitfähigen Gate und über der Halbleitersäule.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Dicke der Halbleitersäule größer als eine Dicke der Halbleiter-Fins ist.
  11. Verfahren zum Betreiben einer Halbleitereinheit, wobei das Verfahren umfasst: Bereitstellen einer Halbleitereinheit (100), die Folgendes aufweist: einen ersten Halbleiter-Fin (200) benachbart zu einem zweiten Halbleiter-Fin (202) auf einem Substrat (104); eine Halbleitersäule (204), die zwischen dem ersten und dem zweiten Halbleiter-Fin auf dem Substrat ausgebildet und unter einer Oberfläche des ersten und des zweiten Halbleiter-Fin zurückgesetzt ist; ein leitfähiges Gate (1100), das über einem Kanalbereich des ersten und des zweiten Halbleiter-Fin und der Halbleitersäule ausgebildet ist; einen Source-Bereich (1300), der auf einer Oberfläche des ersten Halbleiter-Fin ausgebildet ist; und einen Drain-Bereich (1302), der auf einer Oberfläche des zweiten Halbleiter-Fin ausgebildet ist; und Leiten eines Stroms von dem Source-Bereich durch einen Teil der Halbleitersäule zu dem Drain-Bereich.
  12. Verfahren nach Anspruch 11, wobei die Halbleitereinheit des Weiteren einen gemeinsamen Gate-Kontakt aufweist, der auf dem leitfähigen Gate und über der Halbleitersäule ausgebildet ist.
  13. Verfahren nach Anspruch 11 oder 12, wobei eine Dicke der Halbleitersäule größer als eine Dicke des ersten und des zweiten Halbleiter-Fin ist.
  14. Halbleitereinheit (100), die Folgendes aufweist: Ein Paar von Halbleiter-Fins (200, 202), die auf einem Substrat (104) ausgebildet sind; eine Halbleitersäule (204), die zwischen den Halbleiter-Fins auf dem Substrat ausgebildet und unter einer Oberfläche der Halbleiter-Fins zurückgesetzt ist; einen unteren dotierten Bereich (500), der sich unter sämtlichen der Halbleiter-Fins und unter einem Teil der Halbleitersäule erstreckt; und ein leitfähiges Gate (1100), das über einem Kanalbereich der Halbleiter-Fins und der Halbleitersäule ausgebildet ist.
  15. Halbleitereinheit nach Anspruch 14, die des Weiteren eine dicke Oxidschicht aufweist, die zwischen dem leitfähigen Gate und den Halbleiter-Fins ausgebildet ist.
  16. Halbleitereinheit nach einem der Anspruch 14 oder 15, die des Weiteren einen unteren Abstandshalter (600) zwischen dem leitfähigen Gate und dem unteren dotierten Bereich aufweist.
  17. Halbleitereinheit nach einem der Ansprüche 14 bis 16, die des Weiteren einen oberen Abstandshalter (1200) auf dem leitfähigen Gate aufweist.
  18. Halbleitereinheit nach einem der Ansprüche 14 bis 17, die des Weiteren Folgendes aufweist: einen Source-Bereich (1500), der auf einer Oberfläche des ersten Halbleiter-Fin ausgebildet ist; und einen Drain-Bereich (1502), der auf einer Oberfläche des zweiten Halbleiter-Fin ausgebildet ist, wobei das Paar von Halbleiter-Fins einen ersten Halbleiter-Fin und einen zweiten Halbleiter-Fin aufweist, wobei der zweite Halbleiter-Fin benachbart zu dem ersten Halbleiter-Fin ist.
  19. Halbleitereinheit nach einem der Ansprüche 15 bis 18, wobei die dicke Oxidschicht außerdem zwischen dem leitfähigen Gate und der Halbleitersäule ausgebildet ist.
  20. Halbleitereinheit nach einem der Ansprüche 14 bis 19, wobei eine Dicke der Halbleitersäule größer als eine Dicke der Halbleiter-Fins ist.
DE112018001590.4T 2017-05-23 2018-05-10 Halbleitereinheit mit einem extrem langen Kanal innerhalb einer VFET-Bauart sowie Verfahren zu ihrer Herstellung und Betrieb Active DE112018001590B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/602,884 2017-05-23
US15/602,884 US10573745B2 (en) 2017-05-23 2017-05-23 Super long channel device within VFET architecture
US15/813,523 2017-11-15
US15/813,523 US10424663B2 (en) 2017-05-23 2017-11-15 Super long channel device within VFET architecture
PCT/IB2018/053256 WO2018215862A1 (en) 2017-05-23 2018-05-10 Super long channel device within vfet architecture

Publications (2)

Publication Number Publication Date
DE112018001590T5 DE112018001590T5 (de) 2019-12-05
DE112018001590B4 true DE112018001590B4 (de) 2022-04-21

Family

ID=64395318

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112018001590.4T Active DE112018001590B4 (de) 2017-05-23 2018-05-10 Halbleitereinheit mit einem extrem langen Kanal innerhalb einer VFET-Bauart sowie Verfahren zu ihrer Herstellung und Betrieb

Country Status (6)

Country Link
US (2) US10424663B2 (de)
JP (1) JP7018963B2 (de)
CN (1) CN110637367B (de)
DE (1) DE112018001590B4 (de)
GB (1) GB2577417B (de)
WO (1) WO2018215862A1 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573745B2 (en) 2017-05-23 2020-02-25 International Business Machines Corporation Super long channel device within VFET architecture
US10424663B2 (en) 2017-05-23 2019-09-24 International Business Machines Corporation Super long channel device within VFET architecture
US10818753B2 (en) * 2019-03-18 2020-10-27 International Business Machines Corporation VTFET having a V-shaped groove at the top junction region
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11502169B2 (en) 2020-12-21 2022-11-15 International Business Machines Corporation Nanosheet semiconductor devices with n/p boundary structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080157182A1 (en) 2006-12-27 2008-07-03 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US7683428B2 (en) 2004-01-22 2010-03-23 International Business Machines Corporation Vertical Fin-FET MOS devices
US20160049402A1 (en) 2014-08-18 2016-02-18 Stmicroelectronics, Inc. Semiconductor device having fins with in-situ doped, punch-through stopper layer and related methods

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7232732B2 (en) 2003-10-06 2007-06-19 Atmel Corporation Semiconductor device with a toroidal-like junction
JP4727964B2 (ja) * 2004-09-24 2011-07-20 株式会社日立製作所 半導体装置
KR100612718B1 (ko) 2004-12-10 2006-08-17 경북대학교 산학협력단 안장형 플래시 메모리 소자 및 제조방법
US7230286B2 (en) * 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
KR100657964B1 (ko) * 2005-07-22 2006-12-14 삼성전자주식회사 한 쌍의 핀-타입 채널 영역들에 대응하는 단일 게이트전극을 갖는 반도체 소자 및 랜덤 액세스 메모리
KR20080066806A (ko) 2005-10-17 2008-07-16 아이2아이씨 코포레이션 표면 형태의 광원 제공 장치 및 방법
WO2008007331A2 (en) * 2006-07-11 2008-01-17 Nxp B.V. Semiconductor devices and methods of manufacture thereof
EP2070108A4 (de) * 2006-09-27 2010-12-01 Maxpower Semiconductor Inc Power-mosfet mit eingelassener feldplatte
US7892956B2 (en) 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
WO2012077178A1 (ja) * 2010-12-07 2012-06-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
CN103107088B (zh) * 2011-11-11 2016-06-01 中芯国际集成电路制造(上海)有限公司 具有周围栅极结构的鳍型场效应晶体管及其制造方法
CN103839818B (zh) * 2012-11-25 2018-01-02 中国科学院微电子研究所 半导体器件制造方法
US20140151757A1 (en) 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
CN103915483B (zh) * 2012-12-28 2019-06-14 瑞萨电子株式会社 具有被改造以减少漏电流的沟道芯部的场效应晶体管及制作方法
US9006789B2 (en) * 2013-01-08 2015-04-14 International Business Machines Corporation Compressive strained III-V complementary metal oxide semiconductor (CMOS) device
US9536792B2 (en) * 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US8936986B2 (en) 2013-03-12 2015-01-20 Globalfoundries Inc. Methods of forming finfet devices with a shared gate structure
US9768249B2 (en) * 2013-06-26 2017-09-19 Intel Corporation Trigate transistor structure with unrecessed field insulator and thinner electrodes over the field insulator
US9466671B2 (en) 2013-08-19 2016-10-11 SK Hynix Inc. Semiconductor device having fin gate, resistive memory device including the same, and method of manufacturing the same
US9190466B2 (en) * 2013-12-27 2015-11-17 International Business Machines Corporation Independent gate vertical FinFET structure
US9324717B2 (en) * 2013-12-28 2016-04-26 Texas Instruments Incorporated High mobility transistors
JP6373686B2 (ja) * 2014-08-22 2018-08-15 ルネサスエレクトロニクス株式会社 半導体装置
CN105551958B (zh) * 2014-10-30 2019-07-02 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9287362B1 (en) 2014-11-21 2016-03-15 International Business Machines Corporation Vertical field effect transistors with controlled overlap between gate electrode and source/drain contacts
US9543215B2 (en) * 2015-04-20 2017-01-10 Globalfoundries Inc. Punch-through-stop after partial fin etch
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9502407B1 (en) 2015-12-16 2016-11-22 International Business Machines Corporation Integrating a planar field effect transistor (FET) with a vertical FET
US9653458B1 (en) * 2016-09-22 2017-05-16 International Business Machines Corporation Integrated device with P-I-N diodes and vertical field effect transistors
US10573745B2 (en) 2017-05-23 2020-02-25 International Business Machines Corporation Super long channel device within VFET architecture
US10424663B2 (en) 2017-05-23 2019-09-24 International Business Machines Corporation Super long channel device within VFET architecture

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7683428B2 (en) 2004-01-22 2010-03-23 International Business Machines Corporation Vertical Fin-FET MOS devices
US20080157182A1 (en) 2006-12-27 2008-07-03 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20160049402A1 (en) 2014-08-18 2016-02-18 Stmicroelectronics, Inc. Semiconductor device having fins with in-situ doped, punch-through stopper layer and related methods

Also Published As

Publication number Publication date
WO2018215862A1 (en) 2018-11-29
GB2577417A (en) 2020-03-25
US10424663B2 (en) 2019-09-24
GB201917399D0 (en) 2020-01-15
CN110637367B (zh) 2023-04-04
DE112018001590T5 (de) 2019-12-05
US20190341490A1 (en) 2019-11-07
US10833190B2 (en) 2020-11-10
CN110637367A (zh) 2019-12-31
US20180342615A1 (en) 2018-11-29
JP2020521319A (ja) 2020-07-16
GB2577417B (en) 2021-09-08
JP7018963B2 (ja) 2022-02-14

Similar Documents

Publication Publication Date Title
DE112018001590B4 (de) Halbleitereinheit mit einem extrem langen Kanal innerhalb einer VFET-Bauart sowie Verfahren zu ihrer Herstellung und Betrieb
DE112012002700B4 (de) Verfahren für niederohmige Source- und Drain-Bereiche in einem Prozessablauf mit Ersatz-Metall-Gate
DE112018000832B4 (de) Verringerung eines widerstands eines unten liegenden kontakts bei einem vfet
DE102015113081B4 (de) Feldeffekttransistorstruktur mit mehreren Schwellenspannungen und Herstellungsverfahren dafür
DE112012004932B4 (de) Verfahren zur Herstellung eines SOI-FinFET mit vertieften verschmolzenen Rippen und Schicht zur verbesserten Spannungskopplung
DE112018001814B4 (de) Vertikaltransport-finnen-feldeffekttransistoren mit unterschiedlichen kanallängen und verfahren zu ihrer herstellung
DE112018000914B4 (de) Halbleitereinheiten und verfahren zu deren herstellung
DE102019200725B4 (de) FinFET mit High-k-Abstandshalter und selbstausgerichteter Kontaktdeckschicht
DE112017002600B4 (de) Verfahren zum ausbilden von vertikalen fet-einheiten mit mehreren kanallängen
DE102018202897A1 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102017122830A1 (de) Verfahren zur herstellung eines halbleiter-bauelements und ein halbleiter-bauelement
DE102019204737B4 (de) Hybrid-Gate-Schnitt
DE112018000397B4 (de) Vertikaltransport- Finnen- Feldeffekttransistor und Verfahren zu dessen Herstellung
DE102019201057B4 (de) FinFet-Halbleitervorrichtung mit Schnittbereichen in Finnen und Verfahren mit Durchführen von Finnen-Schnitt-Ätz-Prozessen
DE102015206391B4 (de) Thyristor-Direktzugriffsspeicher und Verfahren zu dessen Herstellung
DE102019206553A1 (de) Halbleitervorrichtung mit verbesserter Gate-Source/Drain-Metallisierungsisolation
DE112020005273B4 (de) Verfahren zum Bilden eines Nanosheet-Transistors mit selbstausgerichteter dielektrischer Säule
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102015105679B4 (de) Halbleitervorrichtung, integrierte schaltung und verfahren zum herstellen der halbleitervorrichtung
DE102016103402A1 (de) Halbleitereinheit mit geringem Band-zu-Band-Tunneln
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102019209318B4 (de) Halbleitervorrichtung mit integrierter Einzeldiffusionsunterbrechung und Herstellungsverfahren hierfür
DE112017007829T5 (de) Source/drain-diffusionsbarriere für germanium-nmos-transistoren
DE112022000493T5 (de) Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung
DE112017007849T5 (de) Dotierte isolatorkappe zum reduzieren der source/drain-diffusion für germanium-nmos-transistoren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027040000

Ipc: H01L0021336000

R084 Declaration of willingness to licence
R020 Patent grant now final