JP2020521319A - Vfetアーキテクチャ内の超長チャネル・デバイス - Google Patents

Vfetアーキテクチャ内の超長チャネル・デバイス Download PDF

Info

Publication number
JP2020521319A
JP2020521319A JP2019563201A JP2019563201A JP2020521319A JP 2020521319 A JP2020521319 A JP 2020521319A JP 2019563201 A JP2019563201 A JP 2019563201A JP 2019563201 A JP2019563201 A JP 2019563201A JP 2020521319 A JP2020521319 A JP 2020521319A
Authority
JP
Japan
Prior art keywords
semiconductor
pillar
fin
fins
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019563201A
Other languages
English (en)
Other versions
JP7018963B2 (ja
Inventor
ベルゲンダール、マーク
ミラー、エリック
リー、フィー、リー
テーハン、シアン
チョン、カングオ
スポーレ、ジョン、ライアン
カーベ、ゴーリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/602,884 external-priority patent/US10573745B2/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2020521319A publication Critical patent/JP2020521319A/ja
Application granted granted Critical
Publication of JP7018963B2 publication Critical patent/JP7018963B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/51Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used
    • H03K17/56Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices
    • H03K17/687Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】超長チャネルを有する縦型電界効果トランジスタ(VFET)のための方法およびその結果の構造を提供する。【解決手段】基板上に1対の半導体フィンが形成される。基板上の半導体フィンの間に半導体ピラーが形成される。半導体フィンの全部の下と半導体ピラーの一部の下とに延びる領域がドープされる。半導体フィンと半導体ピラーとのチャネル領域の上に導電ゲートが形成される。ゲートがアクティブなとき、半導体ピラーの表面が拡張チャネル領域の役割を果たす。【選択図】図16

Description

本発明は、一般には、半導体デバイスの製造方法およびその結果の構造に関する。より詳細には、本発明は、縦型FET(VFET)アーキテクチャ内の超長チャネル・デバイスに関する。「超」長チャネル・デバイスは、垂直フィン高さよりも長いチャネル長を有する。
最近の半導体デバイス製造プロセスでは、単一のウエハ上に電界効果トランジスタ(FET)などの多数の半導体デバイスが製作される。縦型電界効果トランジスタ(VFET)など、非平面トランジスタ・アーキテクチャの中には、アクティブ領域の外部に接触させることができる半導体フィンとサイドゲートとを採用し、それによって横型デバイスよりもデバイス密度を高め、何らかのパフォーマンス向上を実現するものがある。VFETでは、ソースからドレインへの電流は、基板の主表面に対して垂直な方向に流れる。例えば、知られているVFET構成では、主基板表面は水平であり、基板表面から垂直フィンまたはナノワイヤが上方に延びている。フィンまたはナノワイヤは、トランジスタのチャネル領域を形成する。ソース領域とドレイン領域がチャネル領域の上端と下端とに電気接触して位置し、ゲートはフィンまたはナノワイヤ側壁のうちの1つまたは複数の側壁に配置される。
VFETなど、非平面トランジスタ・デバイス・アーキテクチャの中には、アクティブ領域の外部に接触させることができる半導体フィンとサイドゲートとを採用し、それによって横型デバイスよりもデバイス密度を高めるものがある。しかし、VFETの10nmノードを超える微細化には課題がある。例えば、VFETアーキテクチャの縦型の性質により、ゲート長を操作すると、必要な幅または必要面積の増大に加えて、合計層高さに確実に影響を与える。特に、ソース接点、ドレイン接点、およびゲート接点がそれぞれ、所与のゲート長を満たすために異なる長さを必要とする場合、合計層高さを増すといくつかの望ましくない複雑化要素が生じる。また、従来のVFETでは、超長ゲート(すなわち、垂直フィン高さまでに制限されている従来の短チャネル・ゲートのチャネル長よりも長いチャネル長を有するゲート)の組み込みが、層の平坦性要件によって制約される。したがって、従来のVFETは比較的短いチャネルに制限されている。
したがって、当技術分野では上記の問題に対処する必要がある。
第1の態様から見ると、本発明は、半導体デバイスを形成する方法であって、基板上に1対の半導体フィンを形成することと、基板上の半導体フィンの間に半導体ピラーを形成することと、半導体フィンの全部の下と半導体ピラーの一部の下とに延びる下部ドープ領域を形成することと、半導体フィンと半導体ピラーとのチャネル領域の上に導電ゲートを形成することとを含む方法を提供する。
さらなる態様から見ると、本発明は、半導体デバイスを動作させる方法であって、基板上の第2の半導体フィンに隣接した第1の半導体フィンと、基板上の第1の半導体フィンと第2の半導体フィンとの間に形成された半導体ピラーと、第1の半導体フィンと第2の半導体フィンと半導体ピラーとのチャネル領域の上に形成された導電ゲートと、第1の半導体フィンの表面上に形成されたソース領域と、第2の半導体フィンの表面上に形成されたドレイン領域と、を含む半導体デバイスを設けることと、半導体ピラーの一部を経由してソース領域からドレイン領域まで電流を流すこととを含む方法を提供する。
さらなる態様から見ると、本発明は、半導体デバイスであって、基板上に形成された1対の半導体フィンと、基板上の半導体フィンの間に形成された半導体ピラーと、半導体フィンの全部の下と半導体ピラーの一部の下とに延びる下部ドープ領域と、半導体フィンと半導体ピラーとのチャネル領域の上に形成された導電ゲートとを含む、半導体デバイスを提供する。
さらなる態様から見ると、本発明は半導体デバイスを形成する方法であって、基板上に1対の半導体フィンを形成することと、基板上の半導体フィンの間に、半導体フィンの表面より下方に陥凹化されたピラーである半導体ピラーを形成することと、半導体フィンの全部の下と半導体ピラーの一部の下とに延びる下部ドープ領域を形成することと、半導体フィンと半導体ピラーとのチャネル領域の上に導電ゲートを形成することと、導電ゲートと半導体フィンと半導体ピラーとの間に厚い酸化物層を形成することと、半導体フィンの露出表面上にソース領域とドレイン領域とを形成することと、導電ゲート上と半導体ピラーの上とに共用ゲート接点を形成することとを含む方法を提供する。
さらなる態様から見ると、本発明は、半導体デバイスであって、基板上に形成された第1の半導体フィンと、基板上に第1の半導体フィンに隣接して形成された第2の半導体フィンと、第1の半導体フィンと第2の半導体フィンとの間に形成された半導体ピラーと、半導体フィンの全部の下と半導体ピラーの一部の下とに延びる下部ドープ領域と、第1の半導体フィンと第2の半導体フィンと半導体ピラーとのチャネル領域の上に形成された共用導電ゲートと、第1の半導体フィンの表面上に形成されたソース領域と、第2の半導体フィンの表面上に形成されたドレイン領域とを含む半導体デバイスを提供する。
本発明の実施形態は、半導体デバイスを製造する方法を対象とする。この方法の非限定的実施例は、基板上に1対の半導体フィンを形成することを含む。半導体フィンの間に半導体ピラーが形成される。半導体フィンの全部の下と半導体ピラーの一部の下とに延びる領域がドープされる。半導体フィンと半導体ピラーとのチャネル領域の上に導電ゲートが形成される。ゲートがアクティブなとき、半導体ピラーの表面が拡張チャネル領域の役割を果たす。
本発明の実施形態は、半導体デバイスを動作させる方法を対象とする。この方法の非限定的実施例は、半導体デバイスを設けることを含む。半導体デバイスは、基板上の第2の半導体フィンに隣接する第1の半導体フィンと、第1の半導体フィンと第2の半導体フィンとの間に形成された半導体ピラーとを含む。半導体デバイスは、第1の半導体フィンと第2の半導体フィンと半導体ピラーとのチャネル領域の上に形成された導電ゲートと、第1の半導体フィンの表面上に形成されたソース領域と、第2の半導体フィンの表面上に形成されたドレイン領域とをさらに含む。半導体ピラーの一部を経由してソース領域からドレイン領域に電流が流される。
本発明の実施形態は半導体デバイスを対象とする。半導体デバイスの非限定的実施例は、基板上に形成された1対の半導体フィンを含む。基板上の半導体フィンの間に半導体ピラーが形成される。半導体フィンの全部の下と半導体ピラーの一部の下とに下部ドープ領域が延びる。半導体フィンと半導体ピラーとのチャネル領域の上に導電ゲートが形成される。ゲートがアクティブなとき、半導体ピラーの表面が拡張チャネル領域の役割を果たす。
本発明の実施形態は、半導体デバイスを製造する方法を対象とする。この方法の非限定的実施例は、基板上に1対の半導体フィンと、半導体フィンの間に半導体ピラーを形成することを含む。半導体ピラーは、半導体フィンの表面より下方の陥凹化ピラーである。半導体フィンの全部の下と、半導体ピラーの一部の下とに延びる下部ドープ領域がドープされる。半導体フィンと半導体ピラーとのチャネル領域の上に導電ゲートが形成される。導電ゲートと半導体フィンと半導体ピラーとの間に厚い酸化物層が形成される。半導体フィンの露出表面上にソース領域とドレイン領域とが形成され、導電ゲート上と半導体ピラーの上とに共用ゲート接点が形成される。ゲートがアクティブなとき、半導体ピラーの表面が拡張チャネル領域の役割を果たす。
本発明の実施形態は、半導体デバイスを対象とする。半導体デバイスの非限定的実施例は、基板上に形成された第1の半導体フィンと、基板上に第1の半導体フィンに隣接して形成された第2の半導体フィンとを含む。第1の半導体フィンと第2の半導体フィンとの間に半導体ピラーが形成される。半導体フィンの全部の下と半導体ピラーの一部の下とに延びる下部ドープ領域がドープされる。第1の半導体フィンと第2の半導体フィンと半導体ピラーとのチャネル領域の上に共用導電ゲートが形成される。第1の半導体フィンの表面上にソース領域が形成され、第2の半導体フィンの表面上にドレイン領域が形成される。ゲートがアクティブなとき、半導体ピラーの表面が拡張チャネル領域の役割を果たす。
その他の技術的特徴および利点も、本発明の技術により実現される。本発明の実施形態および態様が本明細書で詳細に説明され、特許請求される主題の一部とみなされる。よりよく理解することができるように、詳細な説明および図面を参照されたい。
本明細書に記載の排他的権利の詳細については、本明細書の末尾の特許請求の範囲に具体的に示され、明確に特許請求されている。本発明の実施形態の上記およびその他の特徴および利点は、添付図面とともに以下の詳細な説明を読めば明らかになる。
本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による、一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による一処理作業後の半導体構造の断面を示す図である。 本発明の1つまたは複数の実施形態による一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による一処理作業後の半導体構造の断面図を示す図である。 本発明の1つまたは複数の実施形態による方法を示す流れ図を示す図である。
本明細書に示す図面は例示である。本発明の範囲から逸脱することなく、図面または本明細書に記載の作業には多くの変形があり得る。例えば、動作は異なる順序で行うことができ、または動作を追加、削除または変更することが可能である。
添付図面および本発明の実施形態の以下の詳細な説明では、図面に示されている様々な要素に2桁または3桁の参照番号が付されている。例外はあるが、各参照番号の左端の桁は、その要素が最初に示されている図面に対応する。
簡潔にするために、半導体デバイスおよび集積回路(IC)製造に関する従来の技術については、本明細書で詳細に記載する場合もしない場合もある。また、本明細書に記載の様々な作業およびプロセス工程は、本明細書で詳細に記載していない追加のステップまたは機能を有する、より包括的な手順またはプロセスに組み込むことができる。具体的には、半導体デバイスおよび半導体ベースのICの製造における様々な工程がよく知られており、したがって、簡潔にするために、本明細書では、多くの従来の工程については、周知のプロセスの詳細を示さずに簡単に言及するにとどめるかまたは完全に省略する。
次に、本発明の態様により具体的に関連する技術の概説に移ると、前述のように、VFETなどの非平面デバイス・アーキテクチャの中には、アクティブ領域の外部に接触させることができる半導体フィンおよびサイドゲートを採用し、それによって横型デバイスよりもデバイス密度を向上させるものがある。しかし、VFETの10nmノードを超える微細化には課題がある。例えば、VFETアーキテクチャの縦型の性質により、ゲート長を操作すると、必要な幅または必要面積の増大に加えて、合計層高さに確実に影響を与える。特に、ソース接点、ドレイン接点、およびゲート接点がそれぞれ、所与のゲート長を満たすために異なる長さを必要とする場合、合計層高さを増すといくつかの望ましくない複雑化要素が生じる。また、従来のVFETでは、超長ゲート(すなわち、垂直フィン高さまでに制限されている従来の短チャネル・ゲートのチャネル長よりも長いチャネル長を有するゲート)の組み込みが、層の平坦性要件によって制約される。したがって、従来のVFETは比較的短いチャネルに制限されている。
次に、本発明の態様の概説に移ると、本発明の1つまたは複数の実施形態は、既存のVFETアーキテクチャ内において超長チャネルを可能にするように構成された方法および構造を提供する。基板上に1対の半導体フィンが形成される。第1の半導体フィン上にソース領域が形成され、第2の半導体フィン上にドレイン領域が形成される。半導体フィンの間に半導体ピラーが形成される。半導体ピラーは、半導体フィンのチャネル高さに影響を与えずに、任意の長さの幅を有するように形成することができる。半導体フィンと半導体ピラーとの間に導電経路を設けるために、半導体フィンの下全体に延び、半導体ピラーの下に部分的に延びる基板の領域がドープされる。半導体フィンと半導体ピラーとのチャネル領域の上に共用導電ゲートが形成される。ゲートがアクティブなときに、半導体ピラーの表面が拡張チャネル領域の役割を果たす。このようにして、チャネル領域の合計層高さの増大を必要としない、超長チャネルVFETが設けられる。
次に、本発明の態様のより詳細な説明に移ると、図1に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、基板104の上に形成されたハード・マスク102を有する構造100の断面図を示す。ハード・マスク102の下の、基板104の一部内に、パンチ・スルー・ストッパ(PTS)106が形成される。ハード・マスク102は、例えばシリコン窒化物などの任意の適合する材料とすることができる。
基板104は、例えば単結晶Si、SiGe、SiC,III−V族化合物半導体、II−VI族化合物半導体、またはセミコンダクタ・オン・インシュレータ(SOI)などの、任意の適合する基板材料とすることができる。ある実施形態では、基板104は、埋め込み酸化物層(図示せず)を含む。
PTS106は、基板104内に、例えばイオン・ビーム注入などの注入プロセスにより形成することができる。PTS106は、ソース領域/ドレイン領域と逆のドーピング型を有するドーパント不純物を含み、デバイスの正常動作中のソース領域/ドレイン領域間の漏洩を抑制するとともに、(図5に示すような)下部ドープ領域500の注入深度を制限するように機能する。PTS106は、トランジスタの種類に応じて、n型ドーパント(例えば、As、P、Sb)またはp型ドーパント(例えば、Ga、B、In、BF、Al)を含むことができる。例えば、pFETにはn型ドーパントが必要であり、nFETにはp型ドーパントが必要である。PTS106中のドーパント濃度は、1×1019cm−3ないし2×1021cm−3の範囲、または1×1020cm−3と1×1021cm−3の間とすることができる。
図2に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、基板104上に垂直半導体フィン200および202と半導体ピラー204とを形成した後の構造100の断面図を示す。垂直半導体フィン200および202(以下、「フィン」)と半導体ピラー204(以下、「ピラー」)は、知られているフロント・エンド・オブ・ライン(FEOL)VFET製造技術を使用して基板104上に形成することができる。
本発明のある実施形態では、ハード・マスク102は、基板104の一部を露出させるようにパターン形成される。次に、基板104の露出させた部分を、ウェット・エッチングまたはドライ・エッチングあるいはその組合せを使用して除去するかまたは陥凹化してフィン200および202とピラー204とを形成することができる。フィン200および202は、20nmないし150nmの範囲の非限定的高さを有することができる。フィン200および202は、5nmないし100nmの範囲の幅を有することができる。ピラー204は、フィン200および202と同じ高さを有することができ、5nmないしマイクロメートル超の範囲の幅を有することができる。本発明のある実施形態では、ピラー204の幅は、フィン200および202の幅より大幅に大きい(例えば2倍超)。このようにして、下部ドープ領域500注入部が、(図5に示すように)フィン200および202の下全体に延びることができるが、ピラー204の下には部分的にのみ延びることができる。
フィン200および202とピラー204は、シャロー・トレンチ・アイソレーション(図示せず)によって基板104の他の領域から電気的に分離することができる。シャロー・トレンチ・アイソレーションは、例えばシリコン酸化物などの任意の適合する誘電体材料とすることができる。
図3に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、基板104とフィン200および202とピラー204との上にライナ300を形成した後の構造100の断面図を示す。ライナ300は、例えば酸化物またはシリコン酸化物などの任意の適合する誘電体材料とすることができる。ライナ300は、CVD、PECVD、ALD、PVD、化学溶液付着、またはその他の同様のプロセスを使用して共形に形成することができる。
図4に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、基板104およびハード・マスク102の表面を露出させるようにライナ300の一部を除去した後の構造100の断面図を示す。ライナ300は、例えばウェット・エッチングまたはドライ・エッチングあるいはその組合せなどの任意の適合するプロセスを使用して除去することができる。本発明のある実施形態では、ライナ300は、ハード・マスク102または基板104あるいはその両方に対して選択的に除去される。ライナのエッチ・バック後、ライナ300の一部がフィン200および202の側壁上とピラー204の側壁上とに残る。
図5に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、PTS106の上の基板104の一部をドープして下部ドープ領域500を形成した後の構造100の断面図を示す。下部ドープ領域500は、例えば拡散またはイオン注入あるいはその両方を使用して形成することができる。下部ドープ領域500は、(図16に示すように)アクティブ状態またはオン状態時に、フィン200および202とピラー204との間に導電経路を与える。本明細書で前述したように、ピラー204の幅はフィン200および202の幅よりも大幅に大きくする(例えば2倍超に)することができる。したがって、下部ドープ領域500は、フィン200および202の下全体に延びることができるが、ピラー204の下には部分的にのみ延びることができる。このようにして、ピラー204の下に遮断領域502が形成される。PTS106は、このプロセス中に、下部ドープ領域500の注入深度を制限する注入障壁の役割を果たす。同様にして、ライナ300の残りの部分は、注入プロセスがフィン200および202とピラー204とをドープするのを防ぐ。
下部ドープ領域500は、トランジスタの種類に応じてn型ドーパント(例えば、As、P、Sb)またはp型ドーパント(例えば、Ga、B、In、BF、Al)を添加することによって(すなわち、nFETにはn型ドーパントおよびpFETにはp型ドーパント)、付着中にドープするか(その場(in-situ)ドープ)、またはエピタキシ後にドープすることができる。下部ドープ領域500中のドーパント濃度は、1×1019cm−3ないし2×1021cm−3の範囲、または1×1020cm−3と1×1021cm−3の間とすることができる。
図6に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、ライナ300を除去し、下部ドープ領域500の上に下部スペーサ600を形成した後の構造100の断面図を示す。ライナ300は、例えばウェット・エッチングまたはドライ・エッチングあるいはその組合せなど、知られているプロセスを使用して除去することができる。本発明のある実施形態では、ライナ300はハード・マスク102に対して選択的なRIEを使用して除去される。
下部スペーサ600は、例えば、SiN、SiC、SiOC、SiCN、BN、SiBN、SiBCN、SiOCN、SiOおよびこれらの組合せなどの、誘電体材料を含むことができる。誘電体材料は、約7未満、約5未満、またはさらに約2.5未満の誘電率を有する低誘電率材料とすることができる。下部スペーサ600は、例えばCVD、PECVD、ALD、PVD、化学溶液付着または他の同様のプロセスなど、知られている付着プロセスを使用して形成することができる。本発明のある実施形態では、下部スペーサ600は、例えばガス・クラスタ・イオン・ビーム(GCIB)プロセスなどの、方向性付着プロセスを行うことによって形成される。GCIBプロセスは、本質的にきわめて方向性が高くなり得る付着プロセスである。例えば、方向性付着プロセスにより、フィン200および202の側壁などのデバイスの垂直向き面上への実質的な量の誘電体材料の付着を回避しながら、下部ドープ領域500の表面などのデバイスの水平向き面上に誘電体材料を付着させることができる。
図7に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、下部スペーサ600と、フィン200および202と、ピラー204との上に、平坦化層700と、ハード・マスク702と、パターン形成されたフォトレジスト704とを形成した後の構造100の断面図を示す。本発明のある実施形態では、平坦化層700は有機平坦化層(OPL)である。ハード・マスク702(記憶層とも呼ばれる)は、例えばSiARC、TiARC、SiO、SiN、または、ハード・マスク102とのエッチング選択性をもたせるその他の材料など、任意の適合するフォトマスク材料とすることができる。
図8に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、ハード・マスク102の表面を露出させた後の構造100の断面図を示す。ハード・マスク102は、例えばウェット・エッチングまたはドライ・エッチングあるいはその組合せを使用して平坦化層700とハード・マスク702との一部を除去することによって、露出させることができる。本発明のある実施形態では、パターン形成されたフォトレジスト704からハード・マスク702にパターンが転写される。次に、パターン形成されたハード・マスク702をエッチ・マスクとして使用することができる。本発明のある実施形態では、ハード・マスク102を露出させるために、ハード・マスク102またはピラー204あるいはその両方に対して選択的なRIEが使用される。本発明のある実施形態では、RIEはピラー204の側壁も露出させる。パターン形成されたハード・マスク702または平坦化層700あるいはその両方の残りの部分は、(図9に示すように)その後のピラー204の陥凹化および注入時にピラー以外の領域に対する分離を与える。
図9に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、ピラー204を陥凹化した後の構造100の断面図を示す。本発明のある実施形態では、ピラー204を陥凹化する前に、ピラー204上に形成されたハード・マスク102が除去される。ピラー204は、フィン200および202の上面より下に陥凹化される。例えば、ピラー204は、フィン200および202の表面より約5nmないし約10nm下に陥凹化されるが、他の陥凹化深度も本発明の企図された範囲に含まれる。このようにして、ピラー204と(図15に示すような)ゲート接点1504との間に(図11に示すような)導電ゲート1100の一部が形成されることになる。
本発明のある実施形態では、ピラー陥凹化の前または後に、例えば拡散またはイオン注入あるいはその両方を使用して、ピラー204と遮断領域502とがドープされる。ピラー204と遮断領域502は、下部ドープ領域500のドーピングの種類に応じて、相補的なn型ドーパント(例えば、As、P、Sb)またはp型ドーパント(例えば、Ga、B、In、BF、Al)を添加することによって(すなわちnFETにはp型ドーパント、pFETにはn型ドーパント)、付着中にドープするか(その場(in-situ)ドープ)、またはエピタキシ後にドープすることができる。ピラー204および遮断領域502中のドーパント濃度は、1×1016cm−3ないし1×1018cm−3の範囲とすることができる。
図10に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、平坦化層700とハード・マスク702とを除去し、酸化物層1000(ゲート誘電体とも呼ばれる)を付着させた後の構造100の断面図を示す。酸化物層1000は、CVD、PECVD、ALD、PVD、化学溶液付着、または他の同様のプロセスを使用して共形に形成することができる。厚い酸化物1000は、例えばシリコン酸化物などの任意の適合する材料とすることができる。
酸化物層1000は、(図11に示すような)導電ゲート1100とフィン200および202とピラー204との間に形成される。酸化物層1000は、約1nmないし約20nmの厚さに形成することができるが、他の厚さも本発明の企図された範囲に含まれる。本発明のある実施形態では、酸化物層1000は、約1.5ボルトを超える正供給電圧を有する高電圧デバイスでの使用に適合する、約10nmを超える厚さを有する厚い酸化物層である。厚い酸化物デバイスは、厚い酸化物層のために薄い酸化物デバイスよりもより大きなキャパシタンスとより低い帯域幅を有し、高電圧にさらされるとデバイスを損傷させる可能性がある用途で使用される。
図11に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、導電ゲート1100を形成した後の構造100の断面図を示す。導電ゲート1100は、知られているVFETプロセスを使用して、フィン200および202の両方のチャネル領域の上と、ピラー204の上とに形成された共用ゲートである。本発明のある実施形態では、導電ゲート1100は、ハード・マスク102の表面より上方に過剰充填され、次に、例えばCMPを使用してハード・マスク102の表面まで平坦化される。
導電ゲート1100は、高誘電率金属ゲート(HKMG)とすることができ、例えば1つまたは複数の高誘電率誘電体膜(図示せず)と、1つまたは複数の仕事関数金属(WFM、図示せず)とを含むことができる。1つまたは複数の高誘電率誘電体膜は、例えば3.9、7.0または10.0を超える誘電率を有する誘電体材料とすることができる。高誘電率誘電体膜の適合する材料の非限定的例としては、酸化物、窒化物、オキシ窒化物、シリケート(例えば金属シリケート)、アルミン酸塩、チタン酸塩、またはこれらの任意の組合せがある。7.0を超える誘電率を有する高誘電率材料の例としては、酸化ハフニウム、酸化ハフニウム・シリコン、オキシ窒化ハフニウム・シリコン、酸化ランタン、アルミン酸ランタン、酸化ジルコニウム、酸化ジルコニウム・シリコン、オキシ窒化ジルコニウム・シリコン、酸化タンタル、酸化チタン、チタン酸バリウム・ストロンチウム、酸化チタン・バリウム、酸化ストロンチウム・チタン、酸化イットリウム、酸化アルミニウム、スカンジウム・タンタル酸鉛、および鉛亜鉛ニオブ酸塩などの金属酸化物があるが、これらには限定されない。高誘電率誘電体膜は、例えばランタンおよびアルミニウムなどのドーパントをさらに含むことができる。高誘電率誘電体膜は、例えば、CVD、PECVD、原子層堆積(ALD)、蒸着、物理蒸着(PVD)、化学溶液付着、またはその他の同様のプロセスなどの、適合する付着プロセスによって形成することができる。高誘電率誘電体膜の厚さは、付着プロセスと、使用する高誘電率誘電体材料の組成および数によって異なり得る。高誘電率誘電体膜は、約0.5ないし約20nmの範囲の厚さを有することができる。
WFMは、高誘電率誘電体膜の上に配置することができる。仕事関数金属の種類は、トランジスタの種類に依存し、nFETデバイスとpFETデバイスとで異なり得る。p型仕事関数金属には、ルテニウム、パラジウム、プラチナ、コバルト、ニッケルおよび導電性金属酸化物、またはこれらの任意の組合せなどの組成物が含まれる。n型仕事関数金属には、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物(例えば、炭化ハフニウム、炭化ジルコニウム、炭化チタン、および炭化アルミニウム)、アルミナイド、またはこれらの任意の組合せなどの組成物が含まれる。WFMは、適合する付着プロセス、例えば、CVD、PECVD、PVD、めっき、熱蒸着または電子ビーム蒸着、およびスパッタリングによって付着させることができる。
HKMGを形成するために、導電ゲート1100のためのバルク金属(ゲート導体材料)を高誘電率誘電体膜とWFMとの上に付着させることができる。適合する導電性金属の非限定的例には、アルミニウム(Al)、プラチナ(Pt)、金(Au)、タングステン(W)、チタン(Ti)またはこれらの任意の組合せが含まれる。ゲート導体材料は、適合する付着プロセス、例えば、CVD、PECVD、PVD、めっき、熱蒸着または電子ビーム蒸着、およびスパッタリングによって付着させることができる。
図12に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、ハード・マスク102を除去し、導電ゲート1100を陥凹化し、陥凹化させた導電ゲート1100の上に上部スペーサ1200を形成した後の構造100の断面図を示す。ハード・マスク102は、例えば、ウェット・エッチングまたはドライ・エッチングあるいはその組合せなど、知られているハード・マスク・オープン・プロセスを使用して除去することができる。本発明のある実施形態では、導電ゲート1100は、フィン200および202の表面より下方であるがピラー204の表面よりは上方に陥凹化される。導電ゲート1100は、例えば、ウェット・エッチングまたはドライ・エッチングあるいはその組合せなどの任意の適合するプロセスを使用して陥凹化することができる。本発明のある実施形態では、導電ゲート1100は、フィン200および202に対して選択的にエッチングされる。
上部スペーサ1200は、例えば、SiN、SiC、SiOC、SiCN、BN、SiBN、SiBCN、SiOCN、SiO、およびこれらの組合せなどの誘電体材料を含むことができる。誘電体材料は、約7未満、約5未満、またはさらに約2.5未満の誘電率を有する低誘電率材料とすることができる。上部スペーサ1200は、例えばCVD、PECVD、ALD、PVD、化学溶液付着または他の同様のプロセスなど、知られている付着プロセスを使用して形成することができる。本発明のある実施形態では、上部スペーサ1200は、下部スペーサ600と同様にして、例えばガス・クラスタ・イオン・ビーム(GCIB)プロセスなどの方向性付着プロセスを行うことによって形成される。
図13に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、フィン200上のソース領域1300とフィン202上のドレイン領域1302とを形成した後の構造100の断面図を示す。ソース領域1300/ドレイン領域1302は、フィン200および202の露出表面の上に選択的エピタキシャル成長によって形成することができる。ソース領域1300/ドレイン領域1302は、気体または液体前駆体から成長させたエピタキシャル半導体材料を含むことができる。例えば、エピタキシャル半導体材料は、気相エピタキシ(VPE)、分子線エピタキシ(MBE)、液相エピタキシ(LPE)、またはその他の適合するプロセスを使用して成長させることができる。
本発明のある実施形態では、エピタキシャル半導体材料の付着のためのガス源は、シリコン含有ガス源、ゲルマニウム含有ガス源、またはこれらの組合せを含む。例えば、エピタキシャルSi層を、シラン、ジシラン、トリシラン、テトラシラン、ヘキサクロロジシラン、テトラクロロシラン、ジクロロシラン、トリクロロシラン、メチルシラン、ジメチルシラン、エチルシラン、メチルジシラン、ジメチルジシラン、ヘキサメチルジシラン、およびこれらの組合せからなるグループから選択されるシリコン・ガス源から付着させることができる。エピタキシャル・ゲルマニウム層を、ゲルマン、ジゲルマン、ハロゲルマン、ジクロロゲルマン、トリクロロゲルマン、テトラクロロゲルマン、およびこれらの組合せからなるグループから選択されるゲルマニウム・ガス源から付着させることができる。エピタキシャル・シリコン・ゲルマニウム合金層を、このようなガス源の組合せを使用して形成することができる。水素、窒素、ヘリウムおよびアルゴンのようなキャリヤ・ガスを使用することができる。
エピタキシャル・シリコン、シリコン・ゲルマニウムまたはカーボン・ドープ・シリコン(Si:C)あるいはその組合せに、付着中(その場(in-situ)ドープ)またはエピタキシ後に、トランジスタのタイプに応じて、n型ドーパント(例えば、As、P、Sb)またはp型ドーパント(例えば、Ga、B、In、BF、Al)を(すなわち、nFETの場合はn型ドーパント、pFETの場合はp型ドーパントを)添加することによってドープすることができる。ソース領域1300/ドレイン領域1302中のドーパント濃度は、1×1019cm−3ないし2×1021cm−3の範囲、または1×1020cm−3と1×1021cm−3の間とすることができる。
図14に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、上部スペーサ1200とソース領域1300/ドレイン領域1302との上にライナ1400を形成した後の構造100の断面図を示す。ライナ1400は、例えば、SiN、SiC、SiOC、SiCN、BN、SiBN、SiBCN、SiOCN、SiOおよびこれらの組合せなどの誘電体材料を含むことができる。ライナ1400は、例えばCVD、PECVD、ALD、PVD、化学溶液付着またはその他の同様のプロセスなどの知られている付着プロセスを使用して形成することができる。ライナ1400の上に、層間誘電体(ILD)1402が形成される。ILD1402は、例えば、シリコン酸化物などの任意の適合する誘電体材料とすることができ、任意の適合するプロセスを使用して形成することができる。
図15に、本発明の1つまたは複数の実施形態による半導体デバイスを製造する方法の中間作業中の、ソース接点1500と、ドレイン接点1502と、ゲート接点1504(「接点」と総称する)を形成した後の構造100の断面図を示す。接点1500、1502、および1504は、知られているメタライゼーション技術を使用して、それぞれ、ソース領域1300、ドレイン領域1302および導電ゲート1100とのオーミック接触で形成される。この断面図には示されていないが、ゲート接点1504は導電ゲート1100のすべての部分に電気的に結合されていることはわかるであろう。本発明のある実施形態では、ILD1402が延長され、次に、オープン・トレンチ(図示せず)によってパターン形成される。次に、接点1500、1502、および1504をトレンチ内に付着させる。本発明のある実施形態では、オープン・トレンチをパターン形成することは、ライナ1400および上部スペーサ1200の一部を除去することを含む。本発明のある実施形態では、接点1500、1502、および1504がトレンチ内に過剰充填され、ILD1402の表面の上方に余剰物を形成する。この余剰物を除去するためにCMPを使用することができる。
接点1500、1502、および1504は、例えば金属(例えば、タングステン、チタン、タンタル、ルテニウム、ジルコニウム、コバルト、銅、アルミニウム、鉛、プラチナ、スズ、銀、金)、導電性金属化合物材料(例えば、窒化タンタル、窒化チタン、炭化タンタル、炭化チタン、チタン・アルミニウム・カーバイド、タングステン・シリサイド、窒化タングステン、酸化ルテニウム、コバルト・シリサイド、ニッケル・シリサイド)、カーボン・ナノチューブ、導電性カーボン、グラフェン、またはこれらの材料の任意の適合する組合せなど、任意の適合する導電性材料で形成することができる。導電性材料は、付着中または付着後に添加されるドーパントをさらに含むことができる。本発明のある実施形態では、接点1500、1502、および1504は、銅またはタングステンとすることができ、障壁金属ライナ(図示せず)を含むことができる。障壁金属ライナは、周辺材料の特性を劣化させる可能性のある、周辺材料中への銅またはタングステンの拡散またはドープを防ぐ。例えば、シリコンに銅がドープされる場合、シリコンは深いトラップ準位を形成する。理想的な障壁金属ライナは、導体を周辺材料から化学的に分離するのに十分にバルク金属の拡散性を制限しなければならないし、高い導電率を有するべきであり、例えば、タンタル、窒化タンタル、チタン、窒化チタン、コバルト、ルテニウム、マンガンまたは炭化チタンである。
本発明のある実施形態では、接点1500、1502、および1504は、半導体材料(例えばソース領域1300およびドレイン領域1302)と反応してソース領域1300およびドレイン領域1302と接点1300および1302との間にシリサイド膜(図示せず)を形成する金属(例えばチタン)を含む。シリサイド膜は接点と上部S/D領域との境界面にのみ形成されるため、シリサイド膜は上部S/D領域と自己整列すると言うことができる(自己整列シリサイドはサリサイドとも呼ばれる)。
図16に本発明の1つまたは複数の実施形態による、オン状態の超長電流経路1600(電気経路またはチャネル経路とも呼ばれる)を有する構造100の断面図を示す。電流経路1600は、ソース領域1300から始まり、ピラー204の表面を通り、ドレイン領域1302で終わる。本明細書で前述したように、導電ゲート1100がアクティブなとき、ピラー204の表面がソース領域1300とドレイン領域1302との間に拡張チャネル長を与える。このようにして、フィン200または202に対する個別のチャネルの2倍を超えるチャネル長を有する超長チャネルが設けられる。この超長チャネルは、フィン200と202のチャネル領域の総高さの増加、または、導電ゲート1100の高さの増加を必要としないので有利である。
図17に、本発明の1つまたは複数の実施形態による半導体デバイスを形成する方法を示す流れ図1700を示す。ブロック1702に示すように、基板上に1対の半導体フィンが形成される。半導体フィンは、1つまたは複数の実施形態による図2に示すフィン200および202と同様にして形成することができる。
ブロック1704に示すように、基板上の半導体フィンの間に半導体ピラーが形成される。半導体ピラーは、1つまたは複数の実施形態により、図2に示すピラー204と同様にして形成することができる。
ブロック1706に示すように、半導体フィンの下全体に延び、半導体ピラーの下に部分的に延びる、下部ドープ領域が形成される。下部ドープ領域は、1つまたは複数の実施形態により、図5に示す下部ドープ領域500と同様にして形成することができる。下部ドープ領域は、半導体フィンと半導体ピラーとの間に導電経路を与える。
ブロック1708に示すように、半導体フィンと半導体ピラーとのチャネル領域の上に導電ゲートが形成される。導電ゲートは、1つまたは複数の実施形態により、図11に示す導電ゲート1100と同様にして形成することができる。ゲートがアクティブなとき、半導体ピラーの表面が拡張チャネル領域の役割を果たす。
本明細書では本発明の様々な実施形態について関連する図面を参照しながら説明している。本発明の範囲から逸脱することなく他の実施形態も考案することができる。以下の説明および図面では、要素間の様々な接続および位置関係(例えば,上、下、隣接など)が記載されているが、当業者は、本明細書に記載の位置関係は、向きが変更されても記載されている機能が維持される場合、向きには依存しない。これらの接続または位置関係あるいはその両方は、特に明記されていない限り、直接的または間接的とすることができ、本発明はこの点に関して限定的であることが意図されていない。同様に、「結合されている」という用語およびその変形は、2つの要素間の連絡経路を有することを説明するものであり、それらの要素間に介在要素/接続部がない、要素間の直接的接続を含意しない。これらの変形はすべて本明細書の一部とみなされる。したがって、実体の結合は、直接結合または間接結合を指す場合があり、実体間の位置関係は、直接的位置関係または間接的位置関係であり得る。間接的位置関係の一例として、本説明で層「B」の上に層「A」を形成すると言う場合、層「A」と層「B」の関連特性および機能が介在層によって実質的に変更されない限り、層「A」と層「B」との間に1つまたは複数の介在層(例えば層「C」)がある状況を含む。
特許請求の範囲および本明細書の解釈のために以下の定義および略語を使用するものとする。本明細書で使用する「備える(comprises)」、「備えている(comprising)」、「含む(includes)」、「含んでいる(including)」、「有する(has)」、「有している(having)」、「含有する(contains)」、または「含有している(containing)」という用語またはこれらの任意のその他の変形は、非排他的包含を含むものと意図されている。例えば、列挙されている要素を含む組成物、混合物、プロセス、方法、品目、または装置は、必ずしもそれらの要素のみには限定されず、明示的に記載されていないかまたはそのような組成物、混合物、プロセス、方法、品目または装置に固有の他の要素を含み得る。
さらに、本明細書では「例示の」という用語を使用して、「例、事例または例示となる」ことを意味する。「例示の」として本明細書に記載されているいずれの実施形態または設計も、必ずしも他の実施形態または設計よりも好ましいかまたは有利であるものと解釈されるべきではない。「少なくとも1つの」および「1つまたは複数の」という用語は、1以上の任意の整数、すなわち1、2、3、4などを含むものと理解される。「複数の」という用語は、2以上の任意の整数、すなわち、2、3、4、5などを含むものと理解される。「接続」という用語は、間接的な「接続」と直接的な「接続」とを含み得る。
本明細書で「一実施形態」「ある実施形態」、「例示の実施形態」などと言う場合、それは、記載されているその実施形態が、特定の特徴、構造または特性を含み得るが、すべての実施形態がその特定の特徴、構造または特性を備えていてもいなくてもよいことを示している。また、そのような語句は必ずしも同じ実施形態を指していない。さらに、ある実施形態に関連して特定の特徴、構造または特性が記載されている場合、明示的に記載されているか否かを問わず、そのような特徴、構造または特性を他の実施形態に関連して備えることが他の当業者の知識の範囲内にあるものと認められる。
以下の説明において、「上部」、「下部」、「右」、「左」、「垂直」、「水平」、「最上部」、「最下部」という用語およびこれらの派生語は、記載されている構造および方法に対して、図面における向きの通りの関係にあるものする。「重なっている」、「〜の上に(atop)」、「〜上に(on top)」、「〜の上に位置する」または「〜上に位置する」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素の上に存在することを意味し、その際、第1の要素と第2の要素との間に境界面構造などの介在要素が存在し得る。「直接接触」という用語は、第1の構造などの第1の要素と、第2の構造などの第2の要素とが、それら2つの要素の境界面に中間の導電層、絶縁層または半導体層なしに接続されることを意味する。
「約」、「実質的に」、「ほぼ」という用語およびこれらの変形は、本出願の出願の時点で利用可能な装置に基づく特定の数量の測定に付随する誤差を含むことが意図されている。例えば、「約」は、記載されている値の±8%または5%、または2%の範囲を含み得る。
例えば「第2の要素に対して選択的な第1の要素」などの、「〜に対して選択的」という用語は、第1の要素がエッチングされることができ、第2の要素がエッチ・ストップとして機能することができることを意味する。
「共形の」という用語(例えば共形の層)は、その層の厚さがすべての表面で実質的に同じであること、または厚さのばらつきがその層の名目の厚さの15%未満であることを意味する。
「エピタキシャル成長または付着あるいはその両方」および「エピタキシャル形成された、またはエピタキシャル成長させた、あるいはその両方の」という用語は、半導体材料(結晶材料)の、別の半導体材料(結晶材料)の付着面上での成長であって、成長させる半導体材料(結晶オーバーレイヤ)が付着面(シード材料)の半導体材料と実質的に同じ結晶特性を有する成長を意味する。エピタキシャル付着プロセスでは、付着原子が付着面の原子の結晶配列の方向に向くように表面上を動き回るのに十分なエネルギーを有して、半導体基板の付着面に到着するように、ソース・ガスによって供給される化学反応物質が制御可能であり、システム・パラメータを設定することができる。エピタキシ成長半導体材料は、そのエピタキシ成長材料が形成される付着面と実質的に同じ結晶特性を有することができる。例えば、{100}配向結晶面上に付着させたエピタキシ成長半導体材料は、{100}配向を呈することができる。本発明のある実施形態では、エピタキシ成長または付着あるいはその両方のプロセスは、半導体表面上での形成に対して選択的とすることができ、二酸化シリコンまたはシリコン窒化物表面などの露出面上に材料を付着させないことが可能である。
本明細書で前述したように、簡潔にするために、半導体デバイスおよび集積回路(IC)製造に関する従来の技術については本明細書では詳細に説明している場合もしていない場合もある。しかし、背景技術として、本発明の1つまたは複数の実施形態を実装する際に利用可能な半導体デバイス製造プロセスのより一般的な説明を以下に示す。本発明の1つまたは複数の実施形態を実装する際に使用される特定の製造作業は、個々には知られている場合があるが、本発明の作業またはその結果の構造あるいはその両方の、記載されている組合せは固有のものである。したがって、本発明による半導体デバイスの製造に関連して説明する作業の固有の組合せは、半導体(例えばシリコン)基板上で、個々に知られている様々な物理的および化学的プロセスを使用しており、それらの一部について以下の各段落で説明する。
一般に、ICにパッケージ化されるマイクロチップを形成するために使用される様々なプロセスは、4つの大まかなカテゴリ、すなわち、膜付着と、除去/エッチングと、半導体ドーピングと、パターン形成/リソグラフィとに分類される。付着は、ウエハ上に材料を成長、コーティング、またはその他の方法で移す任意のプロセスである。利用可能な技術としては、物理気相付着(PVD)、化学気付着(CVD)、電気化学付着(ECD)、分子線エピタキシ(MBE)、および最近では原子層堆積(ALD)などがある。除去/エッチングは、ウエハから材料を除去する任意のプロセスである。例としては、エッチング・プロセス(ウェットまたはドライ)、化学機械平坦化(CMP)などがある。例えば、反応性イオン・エッチング(RIE)は、化学反応性プラズマを使用して、露出表面から材料の一部を取り除くイオンの衝撃に材料を曝すことにより、半導体材料のマスクされたパターンなどの材料を除去するドライ・エッチングの一種である。プラズマは、典型的には、電磁界により低圧(真空)下で生成される。半導体ドーピングは、例えば、一般には、拡散またはイオン注入あるいはその両方によってトランジスタのソースおよびドレインをドープすることによる電気特性の改変である。これらのドーピング・プロセスの後に、炉アニールまたは高速熱アニール(RTA)が行われる。アニールは、注入されたドーパントを活性化する役割を果たす。導体(例えばポリシリコン、アルミニウム、銅など)と絶縁体(例えば様々な形態の二酸化シリコン、シリコン窒化物など)の両方の膜を使用して、トランジスタとその構成要素を接続および分離する。半導体基板の様々な領域の選択的ドーピングによって、電圧の印加により基板の導電率を変化させることができる。これらの様々な構成要素からなる構造を形成することによって、数百万個のトランジスタを作製し、互いに配線して最新のマイクロエレクトロニクス・デバイスの複雑な回路を形成する。半導体リソグラフィは、後でパターンを基板に転写するための、半導体基板上での3次元レリーフ・イメージまたはパターンの形成である。半導体リソグラフィでは、フォトレジストと呼ばれる感光性ポリマーによってパターンが形成される。トランジスタを構成する複雑な構造と、回路の数百万個のトランジスタを接続する多くの配線とを作製するために、リソグラフィ工程とエッチ・パターン転写工程とが複数回繰り返される。ウエハ上にプリントされる各パターンは、その前に形成されたパターンと位置合わせされ、導体、絶縁体および選択的ドープ領域が徐々に構築されて最終的なデバイスを形成する。
図面中のフローチャートおよびブロック図は、本発明の様々な実施形態による製造または作業方法あるいはその両方の可能な実装形態を示す。方法の様々な機能/作業が流れ図にブロックで表されている。代替実装形態によっては、ブロックに記載されている機能は、図に記載されている順序とは異なる順序で行われてもよい。例えば、連続して示されている2つのブロックは、関与する機能に応じて、実際には実質的に並行して実行されてよく、またはそれらのブロックは場合によっては逆の順序で実行されてもよい。
例示のために本発明の様々な実施形態に関する説明を示したが、網羅的であること、または本明細書に記載の実施形態に限定することを意図したものではない。記載されている実施形態の範囲から逸脱することなく、当業者には多くの変更および変形が明らかであろう。本明細書で使用されている用語は、実施形態の原理、実際の適用、または市場にある技術に優る技術的改良を最もよく説明するため、または当業者が本明細書に記載の実施形態を理解することができるようにするために選択されている。

Claims (23)

  1. 半導体デバイスを形成する方法であって、
    基板上に1対の半導体フィンを形成することと、
    前記基板上の前記半導体フィンの間に半導体ピラーを形成することと、
    前記半導体フィンの全部の下と前記半導体ピラーの一部の下とに延びる下部ドープ領域を形成することと、
    前記半導体フィンと前記半導体ピラーとのチャネル領域の上に導電ゲートを形成することと
    を含む方法。
  2. 前記半導体ピラーをドープすることをさらに含む、請求項1に記載の方法。
  3. 前記導電ゲートと前記半導体フィンおよび前記半導体ピラーとの間に厚い酸化物層を形成することをさらに含む、請求項1または2に記載の方法。
  4. 前記半導体ピラーを前記半導体フィンの表面より下方に陥凹化することをさらに含む、請求項1ないし3のいずれか一項に記載の方法。
  5. 前記導電ゲートと前記下部ドープ領域との間に下部スペーサを形成することをさらに含む、請求項1ないし4のいずれか一項に記載の方法。
  6. 前記導電ゲート上に上部スペーサを形成することをさらに含む、請求項1ないし5のいずれか一項に記載の方法。
  7. 前記半導体フィンの露出表面上に上部ドープ領域を形成することをさらに含む、請求項1ないし6のいずれか一項に記載の方法。
  8. 前記上部ドープ領域上に導電接点を形成することをさらに含む、請求項7に記載の方法。
  9. 前記導電ゲート上および前記半導体ピラーの上にゲート接点を形成することをさらに含む、請求項8に記載の方法。
  10. 前記半導体フィンの露出表面上にソース領域とドレイン領域とを形成することと、
    前記導電ゲート上と前記半導体ピラーの上とに共用ゲート接点を形成することと
    をさらに含む、請求項1ないし9のいずれか一項に記載の方法。
  11. 前記半導体ピラーの厚さが前記半導体フィンの厚さよりも厚い、請求項1ないし10のいずれか一項に記載の方法。
  12. 半導体デバイスを動作させる方法であって、
    基板上の第2の半導体フィンに隣接した第1の半導体フィンと、
    前記基板上の前記第1の半導体フィンと前記第2の半導体フィンとの間に形成された半導体ピラーと、
    前記第1の半導体フィンと第2の半導体フィンと前記半導体ピラーとのチャネル領域の上に形成された導電ゲートと、
    前記第1の半導体フィンの表面上に形成されたソース領域と、
    前記第2の半導体フィンの表面上に形成されたドレイン領域と、を含む半導体デバイスを設けることと、
    前記半導体ピラーの一部を経由して前記ソース領域から前記ドレイン領域まで電流を流すことと
    を含む方法。
  13. 前記半導体ピラーは前記第1および第2の半導体フィンの表面より下方に陥凹化されている、請求項12に記載の方法。
  14. 前記半導体デバイスは、前記導電ゲート上と前記半導体ピラーの上とに形成された共用ゲート接点をさらに含む、請求項12または13に記載の方法。
  15. 前記半導体ピラーの厚さが前記第1および第2の半導体フィンの厚さよりも厚い、請求項12ないし14のいずれか一項に記載の方法。
  16. 半導体デバイスであって、
    基板上に形成された1対の半導体フィンと、
    前記基板上の前記半導体フィンの間に形成された半導体ピラーと、
    前記半導体フィンの全部の下と前記半導体ピラーの一部の下とに延びる下部ドープ領域と、
    前記半導体フィンと前記半導体ピラーとのチャネル領域の上に形成された導電ゲートと
    を含む、半導体デバイス。
  17. 前記導電ゲートと前記半導体フィンとの間に形成された厚い酸化物層をさらに含む、請求項16に記載の半導体デバイス。
  18. 前記半導体ピラーは、前記半導体フィンの表面より下方に陥凹化されている、請求項16または17に記載の半導体デバイス。
  19. 前記導電ゲートと前記下部ドープ領域との間に下部スペーサをさらに含む、請求項16ないし18のいずれか一項に記載の半導体デバイス。
  20. 前記導電ゲート上の上部スペーサをさらに含む、請求項16ないし19のいずれか一項に記載の半導体デバイス。
  21. 前記1対の半導体フィンが第1の半導体フィンと第2の半導体フィンとを含み、
    前記第1の半導体フィンの表面上に形成されたソース領域と、
    前記第2の半導体フィンの表面上に形成されたドレイン領域と
    をさらに含み、前記第2の半導体フィンが前記第1の半導体フィンに隣接している、請求項16ないし20のいずれか一項に記載の半導体デバイス。
  22. 前記厚い酸化物層は前記導電ゲートと前記半導体ピラーとの間にも形成されている、請求項17ないし21のいずれか一項に記載の半導体デバイス。
  23. 前記半導体ピラーの厚さは前記半導体フィンの厚さよりも厚い、請求項16ないし22のいずれか一項に記載の半導体デバイス。
JP2019563201A 2017-05-23 2018-05-10 Vfetアーキテクチャ内の超長チャネル・デバイス Active JP7018963B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/602,884 2017-05-23
US15/602,884 US10573745B2 (en) 2017-05-23 2017-05-23 Super long channel device within VFET architecture
US15/813,523 2017-11-15
US15/813,523 US10424663B2 (en) 2017-05-23 2017-11-15 Super long channel device within VFET architecture
PCT/IB2018/053256 WO2018215862A1 (en) 2017-05-23 2018-05-10 Super long channel device within vfet architecture

Publications (2)

Publication Number Publication Date
JP2020521319A true JP2020521319A (ja) 2020-07-16
JP7018963B2 JP7018963B2 (ja) 2022-02-14

Family

ID=64395318

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019563201A Active JP7018963B2 (ja) 2017-05-23 2018-05-10 Vfetアーキテクチャ内の超長チャネル・デバイス

Country Status (6)

Country Link
US (2) US10424663B2 (ja)
JP (1) JP7018963B2 (ja)
CN (1) CN110637367B (ja)
DE (1) DE112018001590B4 (ja)
GB (1) GB2577417B (ja)
WO (1) WO2018215862A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10424663B2 (en) 2017-05-23 2019-09-24 International Business Machines Corporation Super long channel device within VFET architecture
US10573745B2 (en) 2017-05-23 2020-02-25 International Business Machines Corporation Super long channel device within VFET architecture
US10818753B2 (en) * 2019-03-18 2020-10-27 International Business Machines Corporation VTFET having a V-shaped groove at the top junction region
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11502169B2 (en) 2020-12-21 2022-11-15 International Business Machines Corporation Nanosheet semiconductor devices with n/p boundary structure

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007036187A (ja) * 2005-07-22 2007-02-08 Samsung Electronics Co Ltd 一対のチャンネル領域に対応する単一ゲート電極を有する半導体素子及びランダムアクセスメモリ
JP2007520883A (ja) * 2004-01-22 2007-07-26 インターナショナル・ビジネス・マシーンズ・コーポレーション 垂直型fin−fetmosデバイス
JP2008166808A (ja) * 2006-12-27 2008-07-17 Samsung Electronics Co Ltd 半導体素子及びその製造方法
WO2012077178A1 (ja) * 2010-12-07 2012-06-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
JP2013243381A (ja) * 2009-09-24 2013-12-05 Taiwan Semiconductor Manufacturing Co Ltd 金属ゲートとストレッサーを有するゲルマニウムフィンfet
JP2014131043A (ja) * 2012-12-28 2014-07-10 Renesas Electronics Corp 漏れ電流を低減するように改良されたチャネルコアを有する電界効果トランジスタおよび製造方法
JP2016046394A (ja) * 2014-08-22 2016-04-04 ルネサスエレクトロニクス株式会社 半導体装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7232732B2 (en) 2003-10-06 2007-06-19 Atmel Corporation Semiconductor device with a toroidal-like junction
JP4727964B2 (ja) * 2004-09-24 2011-07-20 株式会社日立製作所 半導体装置
KR100612718B1 (ko) 2004-12-10 2006-08-17 경북대학교 산학협력단 안장형 플래시 메모리 소자 및 제조방법
US7230286B2 (en) * 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
KR20080066806A (ko) 2005-10-17 2008-07-16 아이2아이씨 코포레이션 표면 형태의 광원 제공 장치 및 방법
US7919364B2 (en) * 2006-07-11 2011-04-05 Nxp B.V. Semiconductor devices and methods of manufacture thereof
EP2070108A4 (en) * 2006-09-27 2010-12-01 Maxpower Semiconductor Inc MOS FIELD EFFECT TRANSISTOR HAVING A REINFORCED FIELD PLATE
US7892956B2 (en) 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
CN103107088B (zh) * 2011-11-11 2016-06-01 中芯国际集成电路制造(上海)有限公司 具有周围栅极结构的鳍型场效应晶体管及其制造方法
CN103839818B (zh) * 2012-11-25 2018-01-02 中国科学院微电子研究所 半导体器件制造方法
US20140151757A1 (en) 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
US9006789B2 (en) * 2013-01-08 2015-04-14 International Business Machines Corporation Compressive strained III-V complementary metal oxide semiconductor (CMOS) device
US9536792B2 (en) * 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US8936986B2 (en) 2013-03-12 2015-01-20 Globalfoundries Inc. Methods of forming finfet devices with a shared gate structure
GB2529952B (en) * 2013-06-26 2019-12-18 Intel Corp Trigate transistor structure with unrecessed field insulator and thinner electrodes over the field insulator
US9466671B2 (en) 2013-08-19 2016-10-11 SK Hynix Inc. Semiconductor device having fin gate, resistive memory device including the same, and method of manufacturing the same
US9190466B2 (en) * 2013-12-27 2015-11-17 International Business Machines Corporation Independent gate vertical FinFET structure
US9324717B2 (en) * 2013-12-28 2016-04-26 Texas Instruments Incorporated High mobility transistors
US10290636B2 (en) * 2014-08-18 2019-05-14 Stmicroelectronics, Inc. Semiconductor device having fins with in-situ doped, punch-through stopper layer and related methods
CN105551958B (zh) * 2014-10-30 2019-07-02 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9287362B1 (en) 2014-11-21 2016-03-15 International Business Machines Corporation Vertical field effect transistors with controlled overlap between gate electrode and source/drain contacts
US9543215B2 (en) * 2015-04-20 2017-01-10 Globalfoundries Inc. Punch-through-stop after partial fin etch
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9502407B1 (en) 2015-12-16 2016-11-22 International Business Machines Corporation Integrating a planar field effect transistor (FET) with a vertical FET
US9653458B1 (en) * 2016-09-22 2017-05-16 International Business Machines Corporation Integrated device with P-I-N diodes and vertical field effect transistors
US10573745B2 (en) 2017-05-23 2020-02-25 International Business Machines Corporation Super long channel device within VFET architecture
US10424663B2 (en) 2017-05-23 2019-09-24 International Business Machines Corporation Super long channel device within VFET architecture

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007520883A (ja) * 2004-01-22 2007-07-26 インターナショナル・ビジネス・マシーンズ・コーポレーション 垂直型fin−fetmosデバイス
JP2007036187A (ja) * 2005-07-22 2007-02-08 Samsung Electronics Co Ltd 一対のチャンネル領域に対応する単一ゲート電極を有する半導体素子及びランダムアクセスメモリ
JP2008166808A (ja) * 2006-12-27 2008-07-17 Samsung Electronics Co Ltd 半導体素子及びその製造方法
JP2013243381A (ja) * 2009-09-24 2013-12-05 Taiwan Semiconductor Manufacturing Co Ltd 金属ゲートとストレッサーを有するゲルマニウムフィンfet
WO2012077178A1 (ja) * 2010-12-07 2012-06-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
JP2014131043A (ja) * 2012-12-28 2014-07-10 Renesas Electronics Corp 漏れ電流を低減するように改良されたチャネルコアを有する電界効果トランジスタおよび製造方法
JP2016046394A (ja) * 2014-08-22 2016-04-04 ルネサスエレクトロニクス株式会社 半導体装置

Also Published As

Publication number Publication date
GB201917399D0 (en) 2020-01-15
CN110637367B (zh) 2023-04-04
US20180342615A1 (en) 2018-11-29
US10833190B2 (en) 2020-11-10
DE112018001590B4 (de) 2022-04-21
US20190341490A1 (en) 2019-11-07
JP7018963B2 (ja) 2022-02-14
US10424663B2 (en) 2019-09-24
GB2577417A (en) 2020-03-25
CN110637367A (zh) 2019-12-31
GB2577417B (en) 2021-09-08
DE112018001590T5 (de) 2019-12-05
WO2018215862A1 (en) 2018-11-29

Similar Documents

Publication Publication Date Title
US10062615B2 (en) Stacked nanowire devices
CN110637375B (zh) Vfet的底部接触件的电阻降低
US10896972B2 (en) Self-aligned contact for vertical field effect transistor
CN110678986B (zh) 垂直晶体管自对准触点工艺形成的嵌入式底部金属触点
US10833190B2 (en) Super long channel device within VFET architecture
US10032679B1 (en) Self-aligned doping in source/drain regions for low contact resistance
JP2020520108A (ja) 共通ゲート・スタックを有するデュアル・チャネルcmos
US10573745B2 (en) Super long channel device within VFET architecture
KR20220106992A (ko) 자기-정렬된 유전체 기둥이 있는 나노시트 트랜지스터
US11004751B2 (en) Vertical transistor having reduced edge fin variation
US10665694B2 (en) Vertical transistors having improved gate length control
US10811508B2 (en) Vertical transistors having multiple gate thicknesses for optimizing performance and device density

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201021

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211012

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211014

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220125

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220201

R150 Certificate of patent or registration of utility model

Ref document number: 7018963

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150