DE112011101976B4 - Verfahren für das selektive Ätzen eines Isolatorstapels für einen Metallverbinder - Google Patents

Verfahren für das selektive Ätzen eines Isolatorstapels für einen Metallverbinder Download PDF

Info

Publication number
DE112011101976B4
DE112011101976B4 DE112011101976.9T DE112011101976T DE112011101976B4 DE 112011101976 B4 DE112011101976 B4 DE 112011101976B4 DE 112011101976 T DE112011101976 T DE 112011101976T DE 112011101976 B4 DE112011101976 B4 DE 112011101976B4
Authority
DE
Germany
Prior art keywords
layer
substrate
temperature
sicoh
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE112011101976.9T
Other languages
English (en)
Other versions
DE112011101976T5 (de
Inventor
Kelvin K. Zin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of DE112011101976T5 publication Critical patent/DE112011101976T5/de
Application granted granted Critical
Publication of DE112011101976B4 publication Critical patent/DE112011101976B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren für die Strukturierung einer Isolatorschicht, das aufweist: Bereitstellen eines Schichtstapels (300) auf einem Substrat (310), wobei der Schichtstapel (300) eine Abdeckschicht (320), eine SiCOH-enthaltende Schicht (330), die über der Abdeckschicht (320) liegt, und eine Hartmaske (340), die über der SiCOH-enthaltenden Schicht (330) liegt, aufweist; und Übertragen eines Musters durch den Schichtstapel (300) mittels Durchführung einer Abfolge von Ätzprozessen in einem Plasmaätzsystem, wobei die Abfolge von Ätzprozessen einen temperaturgesteuerten Substrathalter (20) in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema verwendet, welches eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht (330) und der darunterliegenden Abdeckschicht (320) erreicht, wobei das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur bei einem ersten Ätzprozess für die Übertragung des Musters durch die Hartmaske (340), und optional für das teilweise Übertragen des Musters auf die SiCOH-enthaltende Schicht (330), Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in einem zweiten Ätzprozess für die Übertragung des Musters durch die SiCOH-enthaltende Schicht (330), und Steuern einer dritten Substrattemperatur bei einer Temperatur, die kleiner als die zweite Substrattemperatur ist, in einem dritten Ätzprozess für die Übertragung des Musters durch die Abdeckschicht (320).

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Die Erfindung betrifft ein Verfahren für das selektive Ätzen eines Musters in einen Isolatorstapel und insbesondere ein Verfahren für das selektive Ätzen einer Graben-Durchkontaktierungsstruktur in einen Isolatorstapel mit niedriger dielektrischer Konstante (kleinem k-Wert) für die nachfolgende Metallisierung.
  • Beschreibung des relevanten Stands der Technik
  • Wie es dem Fachmann für die Herstellung von Halbleiterbauteilen bekannt ist, ist die Verbindungsverzögerung ein maßgeblicher Beschränkungsfaktor bei dem Bestreben, die Geschwindigkeit und Leistungsfähigkeit von integrierten Schaltkreisen (IC) weiter zu verbessern. Eine Möglichkeit für die Minimierung der Verbindungsverzögerung besteht in der Verringerung der Verbindungskapazität durch die Verwendung von Materialien mit niedriger dielektrischer Konstante (niedrigem k-Wert) und dielektrischen Materialien mit äußerst niedrigem k-Wert in Metallverbindern während den Back-end-of-line(BEOL)-Arbeitsgängen bei der IC-Herstellung. Derartige Materialien mit niedrigem k-Wert umfassen derzeit Organosiloxan-Glas oder SiCOH-enthaltende Materialien.
  • Es wurden daher in den letzten Jahren Materialien mit niedrigem k-Wert entwickelt, um die Isolatormaterialien mit vergleichsweise hoher dielektrischer Konstante, wie Siliziumdioxid, zu ersetzen. Insbesondere werden Materialien mit niedrigem k-Wert als dielektrische Zwischenschichten und Innenschichten zwischen Metallschichten von Halbleiterbauteilen verwendet. Zusätzlich werden Materialschichten mit Poren ausgebildet, d. h. poröse dielektrische Materialien mit niedrigem k-Wert, um die dielektrische Konstante der Isolatormaterialien weiter zu reduzieren. Derartige Materialien mit niedrigem k-Wert können mithilfe dielektrischer Spin-on-Verfahren (SOD), welche der Anwendung von Fotolack ähneln, oder mithilfe chemischer Dampfabscheidung (CVD) abgeschieden werden. Die Verwendung von Materialien mit niedrigem k-Wert ist daher ohne weiteres auf bereits existierende Halbleiterherstellungsprozesse übertragbar.
  • US 2009/0 042 398 A1 beschreibt ein Verfahren zum Strukturieren eines Halbleiterschichtstapels, wobei der Schichtstapel ein SiCOH-haltige Schicht auf einem Substrat, eine SiOx-Schicht auf der SiCOH-haltigen Schicht und eine Maskenschicht auf der Siliziumoxidschicht aufweist. In der Maskenschicht wird eine Struktur ausgebildet, und diese Struktur wird auf die Siliziumoxidschicht in einem Ätzprozess übertragen. Dann wird die Maskenschicht entfernt. Die Struktur in der Siliziumoxidschicht wird auf die SiCOH-haltige Schicht mittels eines Trocken-Plasma-Ätzprozesses übertragen.
  • Die US 2003/0 186 545 A1 offenbart ein Verfahren zum Ätzen eines Wafers mit einer Temperaturüberwachung des Wafers. Es ist beschrieben, dass die Substrattemperatur Einfluss auf das Ätzprofil, Ätzrate und Ätzselektivität hat. Ähnliches ergibt sich auch aus der US 2003/0 173 351 A1 und der US 6 284 149 B1 .
  • Bei der Erstellung einer neuen Verbinderebene auf einem Halbleitersubstrat wird typischerweise eine Abdeckschicht ausgebildet, welche die vorhergehende Verbinderschicht überdeckt, gefolgt von der Ausbildung einer Isolatorschicht mit niedrigem k-Wert und einer oder mehreren Schichten, wie einer Hartmaske, welche die Isolatorschicht mit niedrigem k-Wert überdecken. Bei der Ausbildung des Isolatorstapels werden Lithografie- und Ätzprozesse dazu verwendet, um die Isolatorschichten zur Vorbereitung für nachfolgende Metallisierungsprozesse zu strukturieren. Beispielsweise kann der Isolatorschichtstapel mit einer Graben-Durchkontaktierungsstruktur gemäß verschiedenen Integrationsschemata, einschließlich zweifacher Damaszenintegration strukturiert werden, wenn eine Metallleitung und ein Kontaktstecker ausgebildet werden, um eine elektrische Verbindung zwischen der einen Verbindungsschicht und einer angrenzenden Verbindungsschicht bereitzustellen.
  • Der praktischen Umsetzung von Materialien mit niedrigem k-Wert in Isolatorschichtstapeln für Metallverbinder stehen jedoch viele Herausforderungen entgegen. Eine dieser Herausforderungen umfasst das selektive Strukturieren des Isolatorschichtstapels ohne dabei die darunterliegende Verbindungsschicht zu zerstören, während vorgegebene kritische Abmessungen (CDs) bezüglich der Graben- und Durchkontaktierungsstruktur erreicht werden müssen. Während des Strukturierens der Isolatorschicht mit niedrigem k-Wert ist es wesentlich, dass der Ätzprozess die darunterliegende Abdeckschicht nicht vorzeitig angreift.
  • Zusammenfassung der Erfindung
  • Die Erfindung betrifft ein Verfahren für das selektive Ätzen eines Musters in einem Isolatorstapel und insbesondere ein Verfahren für das selektive Ätzen einer Graben-Durchkontaktierungsstruktur in einem Isolatorstapel mit niedriger dielektrischer Konstante (niedrigem k-Wert) für die nachfolgende Metallisierung.
  • Gemäß einer Ausführungsform wird ein Verfahren für die Strukturierung einer Isolatorschicht beschrieben. Das Verfahren umfasst das Vorbereiten eines Schichtstapels auf einem Substrat, wobei der Schichtstapel eine Abdeckschicht, eine SiCOH-enthaltende Schicht, welche die Abdeckschicht bedeckt, und eine Hartmaske, welche die SiCOH-enthaltende Schicht bedeckt, aufweist. Das Verfahren umfasst weiterhin das Übertragen eines Musters durch den Schichtstapel mittels Durchführen einer Abfolge von Ätzprozessen in einem Plasmaätzsystem, wobei die Abfolge von Ätzprozessen einen temperaturgesteuerten Substrathalter in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungssystem verwendet, welches eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht und der darunterliegenden Abdeckschicht bereitstellt. Das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur in einem ersten Ätzprozess für die Übertragung des Musters durch die Hartmaske und, optional, für das teilweise Übertragen des Musters auf die SiCOH-enthaltende Schicht; Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in einem zweiten Ätzprozess für die Übertragung des Musters durch die SiCOH-enthaltende Schicht; und Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist, in einem dritten Ätzprozess, um das Muster durch die Abdeckschicht zu übertragen.
  • Gemäß einer anderen Ausführungsform wird ein Verfahren für das Bereitstellen einer Graben-Durchkontaktierungsstruktur auf einem Substrat beschrieben. Das Verfahren weist auf: Bereitstellen eines Schichtstapels auf einem Substrat, wobei der Schichtstapel eine Abdeckschicht, eine SiCOH-enthaltende Schicht, welche die Abdeckschicht bedeckt, und eine Hartmaske, welche die SiCOH-enthaltende Schicht bedeckt, aufweist; Ausbilden eines Grabenmusters in der Hartmaske; Bereitstellen einer Durchkontaktierungsstrukturierungsschicht, welche die Hartmaske mit einem Durchkontaktierungsmuster, welches entlang des Grabenmusters ausgerichtet ist, bedeckt; zumindest teilweises Übertragen des Durchkontaktierungsmusters in der Durchkontaktierungsstrukturierungsschicht auf die SiCOH-enthaltende Schicht unter Verwendung eines ersten Ätzprozesses in dem Plasmaätzsystem; Entfernen der Durchkontaktierungsstrukturierungsschicht; Übertragen des Grabenmusters in der Hartmaske auf die SiCOH-enthaltende Schicht unter Verwendung eines zweiten Ätzprozesses in dem Plasmaätzsystem, wobei die Abdeckschicht nicht angegriffen wird; Übertragen des Durchkontaktierungsmusters in der SiCOH-enthaltenden Schicht auf die Abdeckschicht unter Verwendung eines dritten Ätzprozesses in dem Plasmaätzsystem; und Verwenden eines temperaturgesteuerten Substrathalters in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema, um eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht und der Abdeckschicht während der Übertragung des Grabenmusters zu erreichen. Das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur in dem ersten Ätzprozess für die Übertragung des Durchkontaktierungsmusters auf die SiCOH-enthaltende Schicht, Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in dem zweiten Ätzprozess für die Übertragung des Grabenmusters auf die SiCOH-enthaltende Schicht, und Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist, in dem dritten Ätzprozess, um das Durchkontaktierungsmuster auf die Abdeckschicht zu übertragen.
  • Gemäß noch einer anderen Ausführungsform wird ein Verfahren für die Bereitstellung einer Graben-Durchkontaktierungsstruktur auf einem Substrat beschrieben. Das Verfahren weist auf: Bereitstellen eines Schichtstapels auf einem Substrat, wobei der Schichtstapel eine Abdeckschicht, eine SiCOH-enthaltende Schicht, die die Abdeckschicht bedeckt, und eine Hartmaske, welche die SiCOH-enthaltende Schicht bedeckt, aufweist; Ausbilden einer Durchkontaktierungsstruktur in der Hartmaske; zumindest teilweises Übertragen der Durchkontaktierungsstruktur in der Durchkontaktierungsstrukturierungsschicht auf die SiCOH-enthaltende Schicht unter Verwendung eines ersten Ätzprozesses in dem Plasmaätzsystem; Bereitstellen einer Grabenstrukturierungsschicht, welche die Hartmaske mit einem Grabenmuster, das entlang dem Durchkontaktierungsmuster ausgerichtet ist, bedeckt; Übertragen des Grabenmusters auf die Hartmaske; Entfernen der Grabenstrukturierungsschicht; Übertragen des Grabenmusters in der Hartmaske auf die SiCOH-enthaltende Schicht unter Verwendung eines zweiten Ätzprozesses in dem Plasmaätzsystem, wobei die Abdeckschicht nicht angegriffen wird; Übertragen des Durchkontaktierungsmusters in der SiCOH-enthaltenden Schicht auf die Abdeckschicht unter Verwendung eines dritten Ätzprozesses in dem Plasmaätzsystem; und Verwenden eines temperaturgesteuerten Substrathalters in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema, um eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht und der Abdeckschicht während der Übertragung des Grabenmusters zu erreichen. Das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur in dem ersten Ätzprozess, um das Durchkontaktierungsmuster auf die SiCOH-enthaltende Schicht zu übertragen, Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in dem zweiten Ätzprozess für die Übertragung des Grabenmusters auf die SiCOH-enthaltende Schicht, und Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist, in dem dritten Ätzprozess um das Durchkontaktierungsmuster auf die Abdeckschicht zu übertragen.
  • Kurze Beschreibung der Zeichnungen
  • In den begleitenden Zeichnungen
  • veranschaulichen 1A bis 1E eine schematische Darstellung eines Ablaufs für die Strukturierung einer Isolatorschicht gemäß einer Ausführungsform;
  • zeigt 2 ein Flussdiagramm, welches ein Verfahren für die Strukturierung einer Isolatorschicht gemäß einer anderen Ausführungsform veranschaulicht;
  • zeigen die 3A bis 3F eine schematische Darstellung eines Ablaufs für die Bereitstellung einer Graben-Durchkontaktierungsstruktur auf einem Substrat gemäß einer anderen Ausführungsform;
  • zeigen 4A bis 4E eine schematische Veranschaulichung eines Ablaufs für die Bereitstellung einer Graben-Durchkontaktierungsstruktur auf einem Substrat gemäß einer anderen Ausführungsform;
  • zeigt 5 eine schematische Darstellung eines Plasmaätzsystems gemäß einer Ausführungsform;
  • zeigt 6 eine schematische Darstellung eines Plasmaätzsystems gemäß einer anderen Ausführungsform;
  • zeigt 7 eine schematische Darstellung eines Plasmaätzsystems gemäß einer anderen Ausführungsform;
  • zeigt 8 eine schematische Darstellung eines Plasmaätzsystems gemäß einer anderen Ausführungsform;
  • zeigt 9 eine schematische Darstellung eines Plasmaätzsystems gemäß einer anderen Ausführungsform;
  • zeigt 10 eine schematische Darstellung eines Plasmaätzsystems gemäß einer anderen Ausführungsform;
  • zeigt 11 eine schematische Darstellung eines Plasmaätzsystems gemäß einer anderen Ausführungsform; und
  • zeigt 12 eine schematische Darstellung eines Substrathalters für die Verwendung in einem Plasmaätzsystem gemäß noch einer anderen Ausführungsform.
  • Genaue Beschreibung verschiedener Ausführungsformen
  • Darüber hinaus sollte verstanden werden, dass die in den Figuren gezeigten verschiedenen Ausführungsformen anschauliche Wiedergaben und nicht notwendigerweise maßstabsgetreu gezeichnet sind.
  • Weiterhin werden verschiedene Vorgänge als Mehrzahl diskreter Arbeitsschritte beschrieben, in einer Art und Weise, wie es für das Verständnis der Erfindung am hilfreichsten ist. Die beschriebenen Arbeitsschritte können in einer von der beschriebenen Ausführungsform abweichenden Reihenfolge durchgeführt werden. Verschiedene zusätzliche Arbeitsschritte können durchgeführt werden und/oder in zusätzlichen Ausführungsformen können beschriebene Arbeitsschritte ausgelassen werden.
  • Der Begriff „Substrat”, wie er hier verwendet wird, bezieht sich ganz allgemein auf den Gegenstand, der gemäß der Erfindung verarbeitet wird. Das Substrat kann irgendeinen Materialanteil oder irgendeine Materialstruktur eines Bauteils umfassen, insbesondere einen Halbleiter oder ein anderes elektronisches Bauteil, und es kann beispielsweise eine Auflagesubstratstruktur, wie ein Halbleiter-Wafer oder eine Schicht auf einer Auflagesubstratstruktur, oder diese überdeckend, etwa eine dünne Schicht, sein.
  • Bei den Materialverarbeitungsverfahren kann das Strukturätzen das Anwenden einer dünnen Schicht eines strahlungsempfindlichen Materials, wie eines Fotolacks, auf einer Oberseite eines Substrat, gefolgt von einem Strukturieren der dünnen Materialschicht unter Verwendung von Lithografietechniken aufweisen. Während des Strukturätzens kann ein trockener Plasmaätzprozess verwendet werden, bei dem ein Plasma aus einem Prozessgas durch Eintragelektromagnetischer (EM) Energie, wie Radiofrequenz(RF)-Leistung, in das Prozessgas ausgebildet wird, um Elektronen für die nachfolgende Ionisation und Dissoziation von atomaren und/oder molekularen Bestandteilen des Prozessgases anzuregen. Durch die Verwendung einer Abfolge von Trockenätzprozessen wird das Muster, welches in der dünnen Schicht lichtempfindlichen Materials ausgebildet ist, auf die darunterliegenden Schichten innerhalb eines Schichtstapels übertragen, einschließlich der einen oder den mehreren Materialschichten, welche für das Endprodukt benötigt werden, zum Beispiel ein elektronisches Bauteil. Die Abfolge von Trockenätzprozessen muss jedoch, wie zuvor beschrieben, unter Berücksichtigung strenger Randbedingungen durchgeführt werden, um korrekt dimensionierte und dauerhafte elektrische Strukturen in dem IC zu erreichen.
  • In den 1A bis 1D sowie in einem Flussdiagramm 200 in 2 wird ein Verfahren für die Strukturierung einer Struktur auf einem Substrat schematisch veranschaulicht. Beispielsweise kann die Struktur einen Graben, eine Durchkontaktierung oder einen Kontakt aufweisen. Das Verfahren startet mit dem Schritt 210 und dem Ausbilden eines Schichtstapels 100, welcher eine Vielzahl Schichten (z. B. Schichten 120 bis 150) auf dem Substrat 110 aufweist. Der Schichtstapel 100 weist eine Abdeckschicht 120, eine Isolatorschicht 130, welche die Abdeckschicht 120 bedeckt, und eine Hartmaske 140, welche die Isolatorschicht 130 bedeckt, auf. Zusätzlich weist der Schichtstapel 100 eine Lithografiemaske 150 auf, welche auf der Hartmaske 140 ausgebildet ist, wobei eine Struktur 160, wie eine Grabenstruktur, eine Durchkontaktierungsstruktur oder eine Kontaktstruktur unter Verwendung von Lithografietechniken ausgebildet wird. Obwohl es nicht dargestellt ist, kann der Schichtstapel 100 zusätzliche Schichten aufweisen.
  • Die Isolatorschicht 130 weist eine dielektrische Schicht auf, welche eine Materialschicht oder eine Vielzahl Materialschichten aufweisen kann. Die Isolatorschicht 130 enthält eine SiCOH-enthaltende Schicht, welche Silizium (Si), Kohlenstoff (C), Sauerstoff (O) und Wasserstoff (H) aufweist. Darüber hinaus kann die Isolatorschicht 130 eine dielektrische Schicht mit niedrigem oder mit extrem niedrigem k-Wert aufweisen, wobei ein nomineller Wert für die dielektrische Konstante der Isolatorschicht 130 geringer als die dielektrische Konstante von SiO2 ist, welche ungefähr 4 ist (beispielsweise kann die dielektrische Konstante für thermisches Siliziumoxid zwischen ungefähr 3,8 und 3,9 liegen). Genauer kann die Isolatorschicht 130 eine dielektrische Konstante von weniger als 3,7 oder eine dielektrische Konstante zwischen 1,6 und 3,7 aufweisen. Die Isolatorschicht 130 kann nicht porös oder porös sein.
  • Die Isolatorschicht 130 kann unter Verwendung eines Dampfabscheidungsprozesses ausgebildet sein, wie chemischer Dampfabscheidung (CVD), plasmaverstärkter CVD (PECVD), atomarer Schichtabscheidung (ALD), plasmaverstärkter ALD (PEALD), physikalischer Dampfabscheidung (PVD) oder ionisierter PVD (iPVD) oder einer Spin-on-Technik, wie diejenigen, welche in dem CLEAN TRACKTM ACT 8 SOD (Spin-on-Dielektrikum), dem ACT 12 SOD und den Lithius-Beschichtungssystemen von Tokyo Electron Limited (TEL) käuflich erhältlich sind. Das CLEAN TRACKTM ACT 8 (200 mm), das ACT 12 (300 mm) und das LITHIUSTM-(300 mm)Beschichtungssystem stellen Beschichtungs-, Brenn- und Aushärtemittel für SOD-Materialien zur Verfügung. Das Track-System kann für die Verarbeitung von Substratgrößen von 100 mm, 200 mm, 300 mm und größer ausgelegt werden. Andere Systeme und Verfahren für die Ausbildung einer dünnen Schicht auf einem Substrat sind sowohl dem Fachmann für Spin-on-Technologien als auch dem Fachmann für Dampfabscheidungs-Technologien gut bekannt.
  • Die Abdeckschicht 120 kann eine einzige Schicht oder mehrere Schichten aufweisen. Beispielsweise kann die Abdeckschicht 120 ein stickstoffdotiertes Siliziumkarbid oder Si-N-C-H aufweisen. Darüber hinaus kann die Abdeckschicht beispielsweise Siliziumnitrid (SiNy), Siliziumkarbid (SiCy), Siliziumkarbonitrid (SiCxNy) oder SiCxNyHz oder Kombinationen von zweien oder mehreren dieser aufweisen. Die Abdeckschicht 120 kann ein käuflich verfügbares Material, etwa NBLOk, aufweisen. Die Abdeckschicht 120 kann unter Verwendung eines Dampfabscheidungsprozesses, wie chemischer Dampfabscheidung (CVD) oder plasmaverstärkter CVD (PECVD), ausgebildet werden.
  • Optional kann der Schichtstapel 100, wie es in 1E dargestellt ist, eine gradierte Schicht 125 aufweisen, die zwischen der Isolatorschicht 130 und der Abdeckschicht 120 angeordnet ist. Die gradierte Schicht 125 kann neben anderen Dingen dazu dienen, die Adhäsion zwischen der Isolatorschicht 130 und der Abdeckschicht 120 zu verbessern. Beispielsweise kann die gradierte Schicht 125 eine Schicht enthaltend Si und eines oder mehrere Elemente, die aus der Gruppe bestehend aus O, C und N ausgewählt sind, aufweisen. Die gradierte Schicht 125 kann unter Verwendung eines Dampfabscheidungsprozesses, wie chemischer Dampfabscheidung (CVD) oder plasmaverstärkter CVD (PECVD), ausgebildet werden. Der CVD-Prozess kann dazu abgestimmt sein, die Zusammensetzung der gradierten Schicht 125 von oben nach unten zu staffeln (grade) oder zu variieren.
  • Die Hartmaske 140 kann eine einzige Schicht oder mehrere Schichten aufweisen. Beispielsweise kann die Hartmaske 140 zumindest eine Schicht, die Si beinhaltet, oder zumindest eine Schicht, die Si und O beinhaltet, oder zumindest eine Schicht, die ein Metall beinhaltet, aufweisen. Darüber hinaus kann die Hartmaske 140 beispielsweise eine Metall enthaltende Schicht, wie Titanium (Ti), Titaniumnitrid (TiNy), Tantal (Ta), Tantalnitrid (TaNy), Aluminium (Al) oder eine Aluminium-Kupfer-Legierung (Al-Cu) oder ein dielektrisches Material, wie Siliziumkarbid (SiCy), Siliziumoxid (SiOy), Siliziumnitrid (SiNy) oder Siliziumoxidnitrid (SiOyNz) oder amorphen Kohlenstoff (a-C) aufweisen. Die Hartmaske 140 kann unter Verwendung eines Dampfabscheidungsprozesses, wie chemischer Dampfabscheidung (CVD) oder plasmaverstärkter CVD (PECVD) ausgebildet werden.
  • Die Lithografiemaske 150 kann eine oder mehrere Schichten aufweisen. Beispielsweise kann die Lithografiemaske 150 eine Schicht strahlungsempfindliches Material, etwa ein lichtempfindliches Material oder einen Fotolack, welcher eine nicht reflektierende Beschichtung (ARC) bedeckt, aufweisen. Zusätzlich kann beispielsweise die Lithografiemaske 150 eine Schicht strahlungsempfindliches Material aufweisen, welches eine ARC-Schicht bedeckt, welche eine optionale organische Planarisierungsschicht (OPL) bedeckt. Alternativ kann die Lithografiemaske 150 eine Zweischichtmaske oder eine Mehrschichtmaske aufweisen, welche eine ARC-Schicht, wie eine Boden-ARC-(BARC)Schicht, eine Opfer-DUOTM-Schicht oder eine TERA(einstellbare Ätzwiderstands-ARC)-Schicht aufweisen, welche darin eingebettet ist.
  • Die Schicht strahlungsempfindlichen Materials kann Fotolack aufweisen. Beispielsweise kann die Schicht strahlungsempfindlichen Materials 248 nm Fotolackschichten, 193 nm Fotolackschichten, 157 nm Fotolackschichten, EVU-Fotolackschichten oder elektronenempfindliche Fotolackschichten aufweisen. Die Fotolackschicht kann unter Verwendung von Spin-on-Techniken ausgebildet sein.
  • Die ARC-Schicht weist Materialeigenschaften auf, die für die Verwendung als eine Antireflex-Beschichtung geeignet sind. Zusätzlich ist die ARC-Schicht dazu ausgewählt, mit der darüber liegenden Fotolackschicht und der Lithografiewellenlänge, d. h. ArF, KrF usw., kompatibel zu sein. Die ARC-Schicht kann unter Verwendung von Dampfabscheidungstechniken oder Spin-on-Techniken ausgebildet sein.
  • Die optionale OPL-Schicht kann ein Foto-empfindliches organisches Polymer oder eine ätzende, organische Verbindung aufweisen. Beispielsweise kann das lichtempfindliche, organische Polymer ein Polyacrylatharz, ein Epoxidharz, ein Phenolharz, ein Polyamidharz, ein Polyimidharz, ein ungesättigtes Polyesterharz, ein Polyphenylenetherharz, ein Polyphenylensulfidharz oder Benzocyclobuten (BCB) sein. Diese Materialien können unter Verwendung von Spin-on-Techniken hergestellt werden.
  • Eine oder mehrere der als Lithografiemaske 150 dienenden Schichten kann unter Verwendung eines Track-Systems ausgebildet werden. Beispielsweise kann das Track-System ein CLEAN TRACKTM ACT 8, ACT 12 oder LITHIUSTM-Fotolackbeschichtungs- und Entwicklungssystem aufweisen, welche von Tokyo Electron Limited (TEL) erhältlich ist. Andere Systeme und Verfahren für die Ausbildung einer Fotolackschicht auf einem Substrat sind dem Fachmann für Spin-on-Fotolack-Technologie gut bekannt. Die Beschichtung der Fotolackschicht kann irgendeinen oder sämtliche Prozesse, welche dem Fachmann für die Herstellung solcher Filme bekannt sind, umfassen, einschließlich, jedoch nicht ausschließlich, Durchführen eines Reinigungsprozesses für den Beschichtungsprozess, Durchführen eines Brennprozesses (PAB) im Anschluss an den Beschichtungsprozess, usw.
  • Wie in 1A gezeigt ist, wird die Struktur 160 in der Lithografiemaske 150 unter Verwendung von Lithografietechniken ausgebildet. Beispielsweise kann die Lithografiemaske 150 mit einem Bildmuster bebildert sein und daraufhin entwickelt werden. Die Belichtung mit EM-Strahlung wird in einem Trocken- oder einem Nass-Foto-lithografiesystem durchgeführt. Das Bildmuster kann unter Verwendung irgendeines konventionellen stufenweisen Lithografiesystems oder eines Scan-Lithografiesystems ausgebildet werden. Beispielsweise kann das Foto-lithografiesystem von ASML, Niederlande (De Run 6501, 5504 DR Veldhoven, Niederlande) oder von Canon USA, Inc. Semiconductor Equipment Division (3300 North First Street, San Jose, CA 95134) käuflich bezogen werden. Falls die Lithografiemaske 150 zusätzliche Schichten aufweist, etwa eine ARC-Schicht, wird das Muster 160 auf diese Schichten unter Verwendung von Trockenentwicklungstechniken und/oder Nassentwicklungstechniken, welche dem Fachmann für Doppelschicht-, Dreifachschicht- usw. Maskenentwicklung bekannt sind, übertragen.
  • Daraufhin wird im Schritt 220, wie in den 1B bis 1D und in 2 gezeigt ist, das in der Lithografiemaske 150 gebildete Muster durch den Schichtstapel 100 unter Verwendung einer Abfolge von Ätzprozessen in einem Plasmaätzsystem übertragen. Die Abfolge von Ätzprozessen nutzt einen temperaturgesteuerten Substrathalter in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema, welches eine Ätzselektivität zwischen der Isolatorschicht 130, d. h. der SiCOH-enthaltenden Schicht, und der darunterliegenden Abdeckschicht 120 erreicht.
  • Wie in 1B gezeigt ist, wird das Muster 160 durch die Hartmaske 140 unter Verwendung eines ersten Ätzprozesses übertragen. Optional kann das Muster 160 teilweise auf die Isolatorschicht 130 während des ersten Ätzprozesses übertragen werden. Bei der Verwendung eines Trockenplasmaätzprozesses kann die Plasmaätzgaszusammensetzung eine Halogen enthaltende Chemie umfassen. Beispielsweise kann die Plasmaätzgaszusammensetzung Cl2, BCl3, Br2, HBr, SF6 oder NF3 oder irgendeine Kombination von zweien oder mehreren dieser umfassen. Zusätzlich kann die Plasmaätzgaszusammensetzung eine Fluorkohlenstoff-basierte Chemie, wie zumindest eine von C4F8, C5F8, C3F6, C4F6, CF4, usw. oder eine Fluorkohlenwasserstoff-basierte Chemie, wie zumindest eines von CHF3, CH2F2, usw. oder eine Kombination von zweien oder mehreren dieser umfassen. Darüber hinaus können Additivgase ein Inertgas, wie ein Edelgas, Sauerstoff, Wasserstoff, Stickstoff, CO2, CO, oder zwei oder mehrere dieser, umfassen. Alternativ kann, wie es für den Fachmann für Trockenplasmaätzen verständlich ist, irgendeine Ätzprozesschemie verwendet werden, welche die Hartmaske 140 in Bezug auf die Lithografiemaske 150 selektiv ätzt.
  • Weiter mit Bezug auf 1B kann nach der Übertragung des Musters 160 auf die Hartmaske 140 die Lithografiemaske 150 entfernt werden. Es ist jedoch nicht notwendig, die Lithografiemaske 150 zu entfernen. Die Lithografiemaske 150 kann unter Verwendung eines nassen oder trockenen Abzieh-/Veraschungsprozesses entfernt werden.
  • Wie in 1C gezeigt ist, wird das Muster 160 von der Hartmaske 140 durch die Isolatorschicht 130 unter Verwendung eines zweiten Ätzprozesses übertragen. Bei der Verwendung eines Trockenplasmaätzprozesses kann die Plasmaätzgaszusammensetzung eine Halogen enthaltende Chemie aufweisen. Beispielsweise kann die Plasmaätzgaszusammensetzung Cl2, BCl3, Br2, HBr, SF6 oder NF3 oder irgendeine Kombination von zweien oder mehreren dieser umfassen. Zusätzlich kann die Plasmaätzgaszusammensetzung beispielsweise eine Fluorkohlenstoff-basierte Chemie, wie zumindest eines von C4F8, C5F8, C3F6, C4F6, CF4, usw. oder eine Fluorkohlenwasserstoff-basierte Chemie, wie zumindest eines von CHF3, CH2F2, usw. oder eine Kombination von zweien oder mehreren dieser umfassen. Darüber hinaus können Additivgase ein Inertgas wie ein Edelgas, Sauerstoff, Wasserstoff, Stickstoff, CO2, CO oder zwei oder mehrere dieser umfassen. Alternativ kann, wie es dem Fachmann für Trockenplasmaätzen verständlich ist, irgendeine Ätzprozesschemie verwendet werden, welche die Isolatorschicht 130 in Bezug auf die anderen Schichten, einschließlich der Hartmaske 140 und der Abdeckschicht 120, selektiv ätzt.
  • Wie in 1D gezeigt ist, wird das Muster 160 von der Isolatorschicht 130 durch die Abdeckschicht 120 unter Verwendung eines dritten Ätzprozesses übertragen. Bei der Verwendung eines Trockenplasmaätzprozesses kann die Plasmaätzgaszusammensetzung eine Halogen enthaltende Chemie umfassen. Beispielsweise kann die Plasmaätzgaszusammensetzung Cl2, BCl3, Br2, HBr, SF6 oder NF3 oder irgendeine Kombination von zweien oder mehreren dieser umfassen. Zusätzlich kann die Plasmaätzgaszusammensetzung eine Fluorkohlenstoff-basierte Chemie, wie zumindest eines von C4F8, C5F8, C3F6, C4F6, CF4, usw. oder eine Fluorkohlenwasserstoff-basierte Chemie, wie zumindest eines von CHF3, CH2F2, usw. oder eine Kombination von zweien oder mehreren dieser umfassen. Darüber hinaus können Additivgase ein Inertgas umfassen, wie ein Edelgas, Sauerstoff, Wasserstoff, Stickstoff, CO2, CO oder zwei oder mehrere dieser. Alternativ kann, wie es dem Fachmann für Trockenplasmaätzen verständlich ist, irgendeine Ätzprozesschemie angewendet werden, welche die Abdeckschicht 120 in Bezug auf die anderen Schichten, einschließlich der Hartmaske 140 und der Isolatorschicht 130, selektiv ätzt.
  • Der Erfinder hat festgestellt, dass die Hartmaske 140, die Isolatorschicht 130 und die Abdeckschicht 120 unter Verwendung der zuvor beschriebenen Abfolge von Ätzprozessen geätzt werden kann. Mit diesen Ätzprozessen kann eine akzeptable Profilsteuerung, einschließlich einer Steuerung der kritischen Abmessungen (CD) bei vergleichsweise niedrigen Substrattemperaturen, zum Beispiel bei einer Substrattemperatur von ungefähr 20°C (Celsius) oder weniger erreicht werden. Jedoch ätzt bei diesen relativ niedrigen Temperaturen die Abfolge von Ätzprozessen ohne weiteres durch diese Schichten bei geringfügiger gegenseitiger Selektivität. Wenn beispielsweise die Strukturierung der Isolatorschicht 130 unter Verwendung eines Ätzprozesses bei vergleichsweise niedriger Substrattemperatur abgeschlossen wird, dringt das Muster 160 bis in die Abdeckschicht 120 vor, was unerwünscht sein kann.
  • Daher wird die Abfolge von Ätzprozessen bei dem zuvor genannten Substrattemperatursteuerungsschema durchgeführt. Das Substrattemperatursteuerungsschema umfasst: (i) Steuern einer ersten Substrattemperatur bei dem ersten Ätzprozess für die Übertragung des Musters 160 durch die Hartmaske 140 und optional teilweise auf die Isolatorschicht 130; (ii) Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in dem zweiten Ätzprozess, um das Muster 160 durch die Isolatorschicht 130 zu übertragen; und (iii) Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist in dem dritten Ätzprozess, um das Muster 160 auf die Deckschicht 120 zu übertragen. Der Erfinder hat herausgefunden, dass das Substrattemperatursteuerungsschema eine Ätzselektivität zwischen der Isolatorschicht 130, d. h. der SiCOH-enthaltenden Schicht, und der darunterliegenden Abdeckschicht 120 erreicht.
  • Gemäß einem Beispiel umfasst das Substrattemperatursteuerungsschema: (a) Steuern der ersten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 50°C ist, in dem ersten Ätzprozess; (b) Steuern der zweiten Substrattemperatur bei einer Temperatur, die größer als ungefähr 50°C ist, in dem zweiten Ätzprozess; und (c) Steuern der dritten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 50°C ist, in dem dritten Ätzprozess.
  • Gemäß einem anderen Beispiel umfasst das Substrattemperatursteuerungsschema: (a) Steuern der ersten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 30°C ist, in dem ersten Ätzprozess; (b) Steuern der zweiten Substrattemperatur bei einer Temperatur, die größer als ungefähr 50°C ist, in dem zweiten Ätzprozess; und (c) Steuern der dritten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 30°C ist, in dem dritten Ätzprozess.
  • Gemäß einem anderen Beispiel umfasst das Substrattemperatursteuerungsschema: (a) Steuern der ersten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 20°C ist, in dem ersten Ätzprozess; (b) Steuern der zweiten Substrattemperatur bei einer Temperatur, die größer als ungefähr 50°C ist, in dem zweiten Ätzprozess; und (c) Steuern der dritten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 20°C ist, in dem dritten Ätzprozess.
  • Gemäß noch einem anderen Beispiel umfasst das Substrattemperatursteuerungsschema: (a) Steuern der ersten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 10°C ist, in dem ersten Ätzprozess; (b) Steuern der zweiten Substrattemperatur bei einer Temperatur, die größer als ungefähr 50°C ist, in dem zweiten Ätzprozess; und (c) Steuern der dritten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 10°C ist, in dem dritten Ätzprozess.
  • Bei einer Ausführungsform kann die zuvor für die Strukturierung des Schichtstapels 100 gemäß 1A bis 1D und 2 beschriebene Abfolge von Ätzprozessen in einem Trench-First-Metallhartmasken(TFMHM)-Integrationsschema für die Herstellung von doppelten Damaszen-Metallverbindern verwendet werden. Bei einer alternativen Ausführungsform kann die Zufuhr für die Strukturierung des Schichtstapels 100 gemäß den 1A bis 1D und 2 beschriebene Abfolge von Ätzprozessen in einem Via-First-Trench-Last(VFTL)-Integrationsschema für die Herstellung doppelter Damaszen-Metallverbinder verwendet werden.
  • Wie nachstehend noch im Detail beschrieben wird, wird das Substrattemperatursteuerungsschema unter Verwendung des zuvor genannten temperaturgesteuerten Substrathalters in dem Plasmaätzsystem durchgeführt. Der temperaturgesteuerte Substrathalter weist eine Auflage, die Fluidkanäle aufweist, um ein temperaturgesteuertes thermisches Fluid durch die Auflage zirkulieren zu lassen, und eine Substratauflage, die über einen thermischen Isolator mit einem oberen Abschnitt der Auflage verbunden ist, auf. Die Substratauflage weist weiterhin ein oder mehrere Heizelemente, die in die Substratauflage eingebettet sind, eine Oberseite, um das Substrat durch Kontakt zwischen der Oberseite und einer Rückseite des Substrats abzustützen, und eine elektrostatische Klammerelektrode, um das Substrat auf der Oberseite der Substratauflage zu halten. Das eine oder die mehreren Heizelemente können ein erstes Heizelement aufweisen, das im Wesentlichen in einem zentralen Bereich des Substrats angeordnet ist, und ein zweites Heizelement, das im Wesentlichen in einem Randbereich des Substrats angeordnet ist, wobei das erste und das zweite Heizelement konzentrisch zueinander angeordnet sind.
  • Darüber hinaus kann der temperaturgesteuerte Substrathalter ein rückseitiges Gaszufuhrsystem aufweisen, das darauf ausgelegt ist, ein Wärmeübertragungsgas der Rückseite des Substrats durch zumindest einen einer Vielzahl Auslassöffnungen oder Kanäle, welche auf der Oberseite der Substratauflage angeordnet sind, zuzuleiten. Die Auslassöffnungen auf dem rückseitigen Gaszuführsystem können auf der Oberseite der Substratauflage in einer Vielzahl Zonen angeordnet sein, um einen rückseitigen Druck in einer radialen Richtung zwischen einem im Wesentlichen zentralen Bereich der Rückseite des Substrats und einem im Wesentlichen Randbereich der Rückseite des Substrats zu variieren. Beispielsweise kann die Vielzahl Zonen für die Steuerung der Zufuhr des Wärmeübertragungsgases zu der Rückseite des Substrats den Bereichen entsprechen, wo das erste und das zweite Heizelement angeordnet sind.
  • Der Prozessdruck kann zwischen dem ersten Ätzprozess, dem zweiten Ätzprozess und/oder dem dritten Ätzprozess variiert oder zwischen zweien oder mehreren Prozessen konstant gehalten werden. Darüber hinaus kann die Leistung für die Erzeugung des Plasmas zwischen dem ersten Ätzprozess, dem zweiten Ätzprozess und/oder dem dritten Ätzprozess variiert oder zwischen zweien oder mehreren Prozessen konstant gehalten werden. Darüber hinaus können der erste Ätzprozess, der zweite Ätzprozess und/oder der dritte Ätzprozess für eine Zeitdauer, welche ausreichend ist, um jede Schicht in dem Schichtstapel teilweise oder vollständig durchzuätzen, fortgesetzt werden. Die Zeitdauer kann in-situ unter Verwendung einer Endpunktbestimmung oder vor der Durchführung des Ätzprozesses bestimmt werden.
  • Mit Bezug auf die 3A bis 3F wird nun ein Verfahren für die Herstellung einer Graben-Durchkontaktierungsstruktur auf einem Substrat gemäß einer Ausführungsform veranschaulicht. Das Verfahren für die Herstellung der Graben-Durchkontaktierungsstruktur kann ein Trench-First-Metallhartmasken(TFMHM)-Integrationsschema für die doppelte Damaszen-Metallverbinderherstellung umfassen. Wie in den 3A bis 3F gezeigt ist, wird die Graben-Durchkontaktierungsstruktur durch einen Schichtstapel 300 hindurch ausgebildet. Danach wird die Graben-Durchkontaktierungsstruktur mit einem oder mehreren konformen, dünnen Schichten abgedichtet, wobei der eine oder die mehreren konformen dünnen Schichten eine Metallgrenzschicht, eine Metalladhäsionsschicht oder eine Metallsaatschicht, oder irgendeine Kombination von zweien oder mehreren dieser umfassen. Nachdem die Abdichtung ausgebildet ist, wird die Graben-Durchkontaktierungsstruktur mit Metall, etwa Kupfer, gefüllt und unter Verwendung von beispielsweise chemisch-mechanischer Planarisierung (CMP) planarisiert, um einen Metallverbinder auszubilden und einen elektrischen Kontakt mit einer Metallleitung 312 in dem Substrat 310 zu erreichen.
  • Mit Bezug auf 3A wird der Schichtstapel 300 auf dem Substrat 310 ausgebildet, wobei der Schichtstapel 300 eine Abdeckschicht 320, eine SiCOH-enthaltende Schicht 330, welche die Abdeckschicht 320 bedeckt, und eine Hartmaske 340, welche die SiCOH-enthaltende Schicht 330 bedeckt, aufweist. Wie oben bereits diskutiert wurde, kann die Hartmaske 340 mehrere Schichten, wie eine Metallhartmaskenschicht 342 und eine Silizium enthaltende Schicht 344 aufweisen.
  • Unter Verwendung von Lithografietechniken wird eine erste Hartmaske 350 (oder eine Grabenstrukturierungsschicht) vorbereitet, welche ein darin ausgebildetes Grabenmuster 360 aufweist. Wie in 3B gezeigt ist, wird das Grabenmuster 360 in der ersten Maske 350 auf die Metallhartmaskenschicht 342 mithilfe eines Ätzprozesses übertragen, und die erste Maske 350 wird entfernt.
  • Mit Bezug auf 3C wird eine zweite Maske 355 (oder eine Durchkontaktierungsstrukturierungsschicht) vorbereitet, welche ein darin ausgebildetes Durchkontaktierungsmuster 365 aufweist. Das Durchkontaktierungsmuster 365 ist entlang dem Grabenmuster 360 ausgerichtet. Wie in 3D gezeigt ist, wird das Durchkontaktierungsmuster 365 zumindest teilweise von der zweiten Maske 355 auf die SiCOH-enthaltende Schicht 330 unter Verwendung eines ersten Ätzprozess in dem Plasmaätzsystem übertragen. Daraufhin wird die zweite Maske 355 entfernt.
  • Mit Bezug auf 3E wird das Grabenmuster 360 in der Metallhartmaskenschicht 342 auf die Silizium enthaltende Schicht 344 und die SiCOH-enthaltende Schicht 330 unter Verwendung eines zweiten Ätzprozesses in dem Plasmaätzsystem übertragen, wobei die Abdeckschicht 320 nicht angegriffen wird.
  • Daraufhin wird mit Bezug auf 3F das Durchkontaktierungsmuster 365 in der SiCOH-enthaltenden Schicht 330 auf die Abdeckschicht 320 unter Verwendung eines dritten Ätzprozesses in dem Plasmaätzsystem übertragen. Während der Abfolge der Ätzprozesse, z. B. des ersten Ätzprozesses, des zweiten Ätzprozesses und des dritten Ätzprozesses, wird ein temperaturgesteuerter Substrathalter in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema verwendet, um eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht 330 und der Abdeckschicht 320 während der Übertragung des Grabenmusters 360 zu erreichen.
  • Das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur in dem ersten Ätzprozessschritt für die zumindest teilweise Übertragung des Durchkontaktierungsmusters 365 auf die SiCOH-enthaltende Schicht 330, Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in dem zweiten Ätzprozessschritt, um das Grabenmuster 360 auf die SiCOH-enthaltende Schicht 330 zu übertragen, und Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist, in dem dritten Ätzprozessschritt, um das Durchkontaktierungsmuster 365 auf die Abdeckschicht 320 zu übertragen.
  • Mit Bezug auf die 4A bis 4E wird nunmehr ein Verfahren für die Vorbereitung einer Graben-Durchkontaktierungsstruktur auf einem Substrat gemäß einer Ausführungsform veranschaulicht. Das Verfahren für die Vorbereitung der Graben-Durchkontaktierungsstruktur kann ein Via-First-Trench-Last(VFTL)-Integrationsschema für die Herstellung eines Doppel-Damaszen-Metallverbinders umfassen. Wie in den 4A bis 4E gezeigt ist, wird die Graben-Durchkontaktierungsstruktur durch einen Schichtstapel 400 hindurch ausgebildet. Daraufhin wird die Graben-Durchkontaktierungsstruktur mit einer oder mit mehreren konformen dünnen Schichten abgedichtet, wobei die eine oder die mehreren konformen, dünnen Schichten eine Metallgrenzschicht, eine Metalladhäsionsschicht oder eine Metallsaatschicht oder irgendwelche Kombinationen von zweien oder mehreren dieser umfassen. Nachdem die Abdichtung ausgebildet ist, wird die Graben-Durchkontaktierungsstruktur mit Metall, etwa Kupfer, gefüllt und beispielsweise unter Verwendung von chemisch-mechanischer Planarisierung (CMP) planarisiert, um einen Metallverbinder auszubilden, und um einen elektrischen Kontakt mit einer Metallleitung 412 in dem Substrat 410 zu erreichen.
  • Mit Bezug auf 4A wird der Schichtstapel 400 auf dem Substrat 410 ausgebildet, wobei der Schichtstapel 400 eine Abdeckschicht 420, eine SiCOH-enthaltende Schicht 430, welche die Abdeckschicht 420 bedeckt, und eine Hartmaske 440, welche die SiCOH-enthaltende Schicht 430 bedeckt, aufweist.
  • Unter Verwendung von Lithografietechniken wird eine erste Maske 450 (oder eine Durchkontaktierungsstrukturierungsschicht) vorbereitet, welche ein darin ausgebildetes Durchkontaktierungsmuster 460 aufweist. Wie in 4B gezeigt ist, wird das Durchkontaktierungsmuster 460 in der ersten Maske 450 auf die Hartmaske 440 mithilfe eines Ätzprozesses übertragen, und die erste Maske 450 wird entfernt.
  • Immer noch mit Bezug auf 4B wird das Durchkontaktierungsmuster 460 in der Hartmaske 440 zumindest teilweise auf die SiCOH-enthaltende Schicht 430 unter Verwendung eines ersten Ätzprozesses in dem Plasmaätzsystem übertragen.
  • Mit Bezug auf 4C wird eine zweite Maske 455 (oder eine Grabenstrukturierungsschicht) vorbereitet, welche ein darin ausgebildetes Grabenmuster 465 aufweist. Das Grabenmuster 465 ist entlang dem Durchkontaktierungsmuster 460 ausgerichtet. Wie in 4D gezeigt ist, wird das Grabenmuster 465 von der zweiten Maske 455 auf die Hartmaske 440 übertragen, und die zweite Maske 455 wird entfernt.
  • Mit Bezug auf 4D wird das Grabenmuster 465 in der Hartmaske 440 auf die SiCOH-enthaltende Schicht 430 unter Verwendung eines zweiten Ätzprozesses in dem Plasmaätzsystem übertragen, wobei die Abdeckschicht 420 nicht angegriffen wird.
  • Daraufhin wird mit Bezug auf 4E das Durchkontaktierungsmuster 460 in der SiCOH-enthaltenden Schicht 430 auf die Abdeckschicht 420 unter Verwendung eines dritten Ätzprozesses in dem Plasmaätzsystem übertragen. Während der Abfolge der Ätzprozesse, d. h. während des ersten Ätzprozesses, des zweiten Ätzprozesses und des dritten Ätzprozesses, wird ein temperaturgesteuerter Substrathalter in dem Plasmaätzsystem gemäß einem Substrattemperaturkontrollschema verwendet, um eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht 430 und der Abdeckschicht 420 während der Übertragung des Grabenmusters 465 zu erreichen.
  • Das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur in dem ersten Ätzprozess für die zumindest teilweise Übertragung des Durchkontaktierungsmusters 460 auf die SiCOH-enthaltende Schicht 430, Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in dem zweiten Ätzprozess für die Übertragung des Grabenmusters 465 auf die SiCOH-enthaltende Schicht 430, und Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist, in dem dritten Ätzprozess für die Übertragung des Durchkontaktierungsmuster 460 auf die Abdeckschicht 420.
  • Ein oder mehrere der zuvor beschriebenen Ätzprozesse können unter Verwendung eines Plasmaätzsystems, wie das in den 5 bis 11 beschriebene, durchgeführt werden. Darüber hinaus können einer oder mehrere der zuvor beschriebenen Ätzprozesse unter Verwendung eines temperaturgesteuerten Substrathalters in einem Plasmaätzsystem, wie das in 12 beschriebene, durchgeführt werden.
  • In 5 ist ein Plasmaätzsystem 1a gemäß einer Ausführungsform angegeben, welches darauf ausgelegt ist, die zuvor beschriebenen Prozessbedingungen auszuführen, wobei dieses aufweist: eine Plasmaverarbeitungskammer 10, einen Substrathalter 20, auf welchem ein Substrat 25, das verarbeitet werden soll, befestigt ist, und ein Vakuumpumpsystem 50. Das Substrat 25 kann ein Halbleitersubstrat, ein Wafer, ein Flat-Panel-Display oder ein Flüssigkristalldisplay sein. Die Plasmaverarbeitungskammer 10 kann darauf ausgelegt sein, die Ausbildung von Plasma in einem Verarbeitungsbereich 45 nahe einer Oberfläche des Substrats 25 zu begünstigen. Ein ionisierbares Gas oder eine Mischung von Prozessgasen wird über ein Gasverteilsystem 40 eingeleitet. Der Prozessdruck wird unter Verwendung des Vakuumpumpsystems 50 bei einer gegebenen Flussrate des Prozessgases eingestellt. Das Plasma kann dazu verwendet werden, Materialien herzustellen, die spezifische, auf einen bestimmten Materialprozess abgestimmte Eigenschaften aufweisen, und/oder um die Entfernung des Materials von den freiliegenden Oberflächen des Substrats 25 zu begünstigen. Das Plasmaätzsystem 1a kann darauf ausgelegt sein, Substrate irgendwelcher gewünschten Abmessungen, beispielsweise 200 mm-Substrate, 300 mm-Substrate oder größere Substrate, zu verarbeiten.
  • Das Substrat 25 kann mithilfe eines Klemmsystems 28 auf dem Substrathalter 20 befestigt werden, etwa mit einem mechanischen Klemmsystem oder einem elektrischen Klemmsystem (z. B. einem elektrostatischen Klemmsystem). Darüber hinaus kann der Substrathalter 20 ein Heizsystem (nicht dargestellt) oder ein Kühlsystem (nicht dargestellt) aufweisen, das darauf ausgelegt ist, die Temperatur des Substrathalters 20 und des Substrats 25 anzupassen und/oder zu steuern. Das Heizsystem oder das Kühlsystem kann einen umlaufenden Fluss eines Wärmeübertragungsfluids aufweisen, welches beim Kühlen Wärme von dem Substrathalter 20 aufnimmt und zu einem Wärmetauschersystem (nicht dargestellt) weiterleitet, oder beim Heizen Wärme von dem Wärmetauschersystem zu dem Substrathalter 20 überträgt. Bei anderen Ausführungsformen können Heiz-/Kühlelemente, wie Widerstandsheizelemente oder thermoelektrische Heizer/Kühler in dem Substrathalter 20 als auch in der Kammerwand der Plasmaverarbeitungskammer 10 und in irgendwelchen anderen Komponenten innerhalb des Plasmaätzsystems 1a aufgenommen sein.
  • Darüber hinaus kann ein Wärmeübertragungsgas an der Rückseite des Substrats 25 über ein rückseitiges Gaszuführsystem 26 bereitgestellt werden, um die thermische Leitfähigkeit der Gaslücke zwischen dem Substrat 25 und dem Substrathalter 20 zu verbessern. Ein derartiges System kann verwendet werden, wenn eine Temperatursteuerung des Substrats bei erhöhten oder verringerten Temperaturen benötigt wird. Beispielsweise kann das rückseitige Gaszufuhrsystem ein Zweizonen-Gasverteilungssystem aufweisen, wobei der Druck der Heliumgasstrecke zwischen der Mitte und der Kante des Substrats 25 unabhängig voneinander variiert werden kann.
  • Bei der in 5 gezeigten Ausführungsform kann der Substrathalter 20 eine Elektrode 22 aufweisen, mithilfe welcher RF-Leistung in das Verarbeitungsplasma in dem Verarbeitungsbereich 45 eingebracht wird. Beispielsweise kann der Substrathalter 20 mit einer RF-Spannung durch die Übertragung von RF-Leistung von einem RF-Generator 30 durch ein optisches Impedanzanpassungsnetzwerk 32 an den Substrathalter 20 elektrisch beaufschlagt sein. Die RF-Vorspannung kann zu der Anregung von Elektronen und damit zur Ausbildung und Aufrechterhalten des Plasmas dienen. Bei dieser Konfiguration kann das System als ein reaktiver Ionenätz(RIE)-Reaktor betrieben werden, wobei die Kammer und eine obere Gaseinleitungselektrode als geerdete Fläche dienen. Eine typische Frequenz für die RF-Vorspannung kann zwischen ungefähr 0,1 MHz und ungefähr 100 MHz liegen. RF-Systeme für die Plasmaverarbeitung sind dem Fachmann gut bekannt.
  • Alternativ wird RF-Leistung an die Substrathalterelektrode bei mehreren Frequenzen angelegt. Darüber hinaus kann das Impedanzanpassungsnetzwerk 32 die Übertragung der RF-Leistung an das Plasma in der Plasmaverarbeitungskammer 10 verbessern, indem die zurückgeleitete Leistung verringert wird. Anpassungsnetzwerktopologien (z. B. des L-Typs, des π-Typs, des T-Typs, usw.) und automatische Steuerverfahren sind dem Fachmann gut bekannt.
  • Das Gasverteilsystem 40 kann ein Duschkopfdesign für die Einleitung einer Mischung von Prozessgasen aufweisen. Alternativ kann das Gasverteilsystem 40 ein Mehrzonen-Duschkopfdesign für die Einleitung einer Prozessgasmischung und für die Anpassung der Verteilung der Prozessgasmischung über das Substrat 25 aufweisen. Beispielsweise kann das Mehrzonen-Duschkopfdesign darauf ausgelegt sein, den Prozessgasfluss oder die Prozessgaszusammensetzung an einen äußeren Bereich oberhalb des Substrats 25 in Bezug auf die Menge des Prozessgasflusses oder die Prozessgaszusammensetzung in einem im Wesentlichen zentralen Bereich oberhalb des Substrats 25 anzupassen.
  • Das Vakuumsystem 50 kann eine Turbomolekularpumpe (TMP) umfassen, die für eine Pumpgeschwindigkeit von ungefähr 5000 Liter pro Sekunde (oder mehr) geeignet ist und einen Schieber für die Einstellung des Kammerdrucks aufweist. Bei konventionellen Plasmaverarbeitungssystemen, welche für das Trockenplasmaätzen verwendet werden, werden TMP mit 1000 bis 3000 Liter pro Sekunde angewendet. TMPs sind für die Verarbeitung bei niedrigem Druck geeignet, typischerweise bei Drücken, die geringer als ungefähr 6,67 Pa (50 mTorr) liegen. Für die Verarbeitung bei hohem Druck (d. h. einem Druck größer als ungefähr 13,33 Pa (100 mTorr)) kann eine mechanische Booster-Vakuumpumpe oder eine trockene Vorvakuumpumpe verwendet werden. Darüber hinaus kann eine Vorrichtung für die Überwachung des Kammerdrucks (nicht dargestellt) mit der Plasmaverarbeitungskammer 10 verbunden sein.
  • Eine Steuerung 55 weist einen Mikroprozessor, einen Speicher und einen digitalen I/O-Anschluss auf, wobei die Steuerung dazu geeignet ist, Steuerspannungen zu erzeugen, die ausreichend sind, um Eingangssignale an das Plasmaätzsystem 1a zu übertragen und zu aktivieren, und um Signalausgaben des Plasmaätzsystems 1a zu überwachen. Darüber hinaus kann die Steuerung 55 mit dem RF-Generator 30, dem Impedanzanpassungsnetzwerk 32, dem Gasverteilungssystem 40, dem Vakuumpumpsystem 50, dem Substratheiz-/Kühlsystem (nicht dargestellt), dem rückseitigen Gaszuleitungssystem 26 und/oder dem elektrostatischen Klemmsystem 28 verbunden sein und mit diesen Information austauschen. Beispielsweise kann ein in dem Speicher hinterlegtes Programm dazu verwendet werden, die Eingangssignale über die zuvor genannten Komponenten des Plasmaätzsystems 1a entsprechend einer Prozessabfolge zu aktivieren, um einen plasmaunterstützten Prozess auf dem Substrat 25 durchzuführen.
  • Die Steuerung 55 kann in Bezug auf das Plasmaätzsystem 1a lokal oder entfernt von diesem angeordnet sein. Beispielsweise kann die Steuerung 55 Daten mit dem Plasmaätzsystem 1a unter Verwendung einer direkten Verbindung, einem Intranet, und/oder dem Internet austauschen. Die Steuerung 55 kann mit einem Intranet zum Beispiel bei einem Kunden (z. B. einem Gerätehersteller usw.) oder z. B. mit einem Intranet bei einem Lieferanten (z. B. einem Ausrüstungshersteller) verbunden sein. Alternativ oder zusätzlich kann die Steuerung 55 mit dem Internet verbunden sein. Darüber hinaus kann ein weiterer Computer (d. h. ein Controller, ein Server, usw.) auf die Steuerung 55 zugreifen, um Daten über eine direkte Verbindung, ein Intranet und/oder das Internet auszutauschen.
  • Bei der in 6 gezeigten Ausführungsform kann das Plasmaätzsystem 1b der Ausführungsform gemäß 5 ähneln und darüber hinaus entweder ein stationäres, ein mechanisches oder ein elektrisches, rotierendes Magnetfeldsystem 60 aufweisen, um möglicherweise die Plasmadichte zu erhöhen und/oder um die Gleichmäßigkeit der Plasmaverarbeitung zu verbessern, zusätzlich zu den mit Bezug auf 5 beschriebenen Komponenten. Darüber hinaus kann die Steuerung 55 mit einem Magnetfeldsystem 60 verbunden sein, um die Rotationsgeschwindigkeit und Feldstärke zu regulieren. Die Gestaltung und die Umsetzung eines rotierenden Magnetfelds sind dem Fachmann gut bekannt.
  • Bei der in 7 gezeigten Ausführungsform kann das Plasmaätzsystem 1c der Ausführungsform gemäß den 5 oder 6 ähneln, und es kann darüber hinaus eine obere Elektrode 70 aufweisen, an welche RF-Leistung über einen RF-Generator 72 durch ein optionales Impedanzanpassungsnetzwerk 74 angelegt werden kann. Eine Frequenz für die Anwendung der RF-Leistung auf die obere Elektrode kann zwischen ungefähr 0,1 MHz und ungefähr 200 MHz liegen. Darüber hinaus kann eine Frequenz für die Anwendung von Leistung auf die untere Elektrode zwischen ungefähr 0,1 und ungefähr 100 MHz liegen. Darüber hinaus ist die Steuerung 55 mit dem RF-Generator 72 und dem Impedanzanpassungsnetzwerk 74 verbunden, um die Anwendung der RF-Leistung auf die obere Elektrode 70 zu steuern. Die Gestaltung und Umsetzung einer oberen Elektrode ist dem Fachmann gut bekannt. Die obere Elektrode 70 und das Gasverteilsystem 40 können, wie dargestellt, innerhalb derselben Kammeranordnung ausgestaltet sein.
  • Bei der in 8 gezeigten Ausführungsform kann das Plasmaätzsystem 1c' der Ausführungsform gemäß 7 ähneln und es kann darüber hinaus eine Gleichspannungs(DC)-Quelle 90 aufweisen, die mit der oberen Elektrode 70 dem Substrat 25 gegenüberliegend verbunden ist. Die obere Elektrode 70 kann eine Elektrodenplatte aufweisen. Die Elektrodenplatte kann eine Silizium enthaltende Elektrodenplatte aufweisen. Darüber hinaus kann die Elektrodenplatte eine dotierte Siliziumelektrodenplatte aufweisen. Die DC-Quelle 90 kann eine variable DC-Quelle aufweisen. Zusätzlich kann die DC-Quelle eine bipolare DC-Quelle aufweisen. Die DC-Quelle 90 kann darüber hinaus ein System aufweisen, das darauf ausgelegt ist, zumindest eines von Überwachen, Anpassen oder Steuern der Polarität, des Stroms, der Spannung oder des An-/Auszustands der DC-Quelle 90 auszuführen. Wenn das Plasma einmal ausgebildet ist, unterstützt die DC-Quelle 90 die Ausbildung eines ballistischen Elektronenstrahls. Ein elektrischer Filter (nicht dargestellt) kann dazu verwendet werden, RF-Leistung von der DC-Quelle 90 zu entkoppeln.
  • Beispielsweise kann die an die obere Elektrode 70 mithilfe der DC-Quelle 90 angelegte DC-Spannung zwischen ungefähr –2000 Volt (V) bis ungefähr 1000 V liegen. Vorzugsweise beträgt der absolute Wert der DC-Spannung größer oder gleich ungefähr 100 V, und besonders bevorzugt liegt der absolute Wert der DC-Spannung bei größer oder gleich ungefähr 500 V. Darüber hinaus weist die DC-Spannung vorzugsweise eine negative Polarität auf. Darüber hinaus weist die DC-Spannung vorzugsweise eine negative Spannung auf, welche einen absoluten Wert hat, der größer als die Eigenvorspannung, welche an eine Oberfläche der oberen Elektrode 70 angelegt wird, ist. Die Oberfläche der oberen Elektrode 70, welche dem Substrathalter 20 zugewandt ist, kann Bestandteil eines Silizium enthaltenden Materials sein.
  • Bei der in 9 gezeigten Ausführungsform kann das Plasmaätzsystem 1d der Ausführungsform gemäß den 5 und 6 ähneln, und es kann darüber hinaus eine Induktionsspule 80 aufweisen, in welcher RF-Leistung über den RF-Generator 82 durch ein optionales Impedanzanpassungsnetzwerk 84 eingekoppelt wird. Die RF-Leistung wird induktiv von der Induktionsspule 80 durch ein dielektrisches Fenster (nicht dargestellt) in dem Plasmaverarbeitungsbereich 45 eingekoppelt. Eine Frequenz für die Anwendung von RF-Leistung auf die Induktionsspule 80 kann zwischen ungefähr 10 MHz und ungefähr 100 MHz liegen. Auf ähnliche Weise kann eine Frequenz für die Leistungsbeaufschlagung der Einspannelektrode zwischen ungefähr 0,1 MHz und ungefähr 100 MHz liegen. Zusätzlich kann eine geschlitzte Faraday'sche Abschirmung (nicht dargestellt) eingesetzt werden, um die kapazitive Kopplung zwischen der Induktionsspule 80 und dem Plasma in dem Verarbeitungsbereich 45 zu verringern. Darüber hinaus kann die Steuerung 55 mit dem RF-Generator 82 und dem Impedanzanpassungsnetzwerk 84 verbunden sein, um die Leistungsbeaufschlagung der Induktionsspule 80 zu steuern. Bei einer anderen Ausführungsform kann, wie es in 10 gezeigt ist, das Plasmaätzsystem 1e der Ausführungsform gemäß 9 ähneln und es kann darüber hinaus eine Induktionsspule 80' aufweisen, welche eine „Wendelspule” oder eine „Pancake-Spule” ist, die mit dem Plasmaverarbeitungsbereich 45 von oben in Verbindung steht, wie in einem Überträger-gekoppelten Plasmareaktor (TCP). Die Gestaltung und die Ausführung von induktiv gekoppelten Plasmaquellen (ICP) oder von Überträger-gekoppelten Plasmaquellen (TCP) sind dem Fachmann gut bekannt.
  • Alternativ kann das Plasma unter Verwendung von Elektronen-Zyklotron-Resonanz (ECR) erzeugt werden. Bei noch einer anderen Ausführungsform wird das Plasma durch das Einleiten einer Helikon-Welle ausgebildet. Bei noch einer anderen Ausführungsform wird das Plasma mithilfe einer propagierenden Oberflächenwelle ausgebildet. Jede der zuvor beschriebenen Plasmaquellen ist dem Fachmann gut bekannt.
  • Bei der in 11 gezeigten Ausführungsform kann das Plasmaätzsystem 1f der Ausführungsform gemäß 5 ähneln und es kann weiterhin eine Oberflächenwellenplasma(SWP)-Quelle 80'' aufweisen. Die SWP-Quelle 80'' kann eine Schlitzantenne, etwa eine Radiallinienschlitzantenne (RLSA), aufweisen, welche mithilfe eines Mikrowellengenerators 82' mit Mikrowellenleistung über ein optionales Impedanzanpassungsnetzwerk 84' beaufschlagt wird.
  • Mit Bezug auf 12 wird gemäß noch einer anderen Ausführungsform ein temperaturgesteuerter Substrathalter 500 für die Verwendung in irgendeinem der in den 5 bis 11 gezeigten Plasmaätzsysteme beschrieben. Der Substrathalter 500 weist auf: eine Substratauflage 530, die eine erste Temperatur aufweist und darauf ausgelegt ist, ein Substrat 510 zu tragen, eine temperaturgesteuerte Auflage 520, die unterhalb der Substratauflage 530 angeordnet und darauf ausgelegt ist, eine zweite Temperatur aufzuweisen, die geringer als die erste Temperatur ist (z. B. geringer als eine gewünschte Temperatur des Substrats 510), und einen thermischen Isolator 540, der zwischen der Substratauflage 530 und der temperaturgesteuerten Auflage 520 angeordnet ist. Darüber hinaus weist die Substratauflage 530 ein mit dieser verbundenes Mittenheizelement 533 (welches im Wesentlichen in einem Mittenbereich unterhalb des Substrats 510 angeordnet ist) und ein mit diesem verbundenes Kantenheizelement 531 auf (welches im Wesentlichen an einem Rand oder in einem Randbereich unterhalb des Substrats 510 angeordnet ist), welche darauf ausgelegt sind, die Temperatur der Substratauflage 530 zu erhöhen. Darüber hinaus weist die Auflage 520 ein oder mehrere Kühlelemente 521 auf, die mit dieser verbunden sind und darauf ausgelegt sind, die Temperatur der Substratauflage 530 durch die Ableitung von Wärme aus der Substratauflage 530 durch den thermischen Isolator 540 hindurch zu reduzieren.
  • Wie in 12 gezeigt ist, sind das Mittenheizelement 533 und das Kantenheizelement 531 mit einer Heizelementsteuereinheit 532 verbunden. Die Heizelementsteuereinheit ist darauf ausgelegt, eine abhängige oder unabhängige Steuerung für jedes der Heizelemente bereitzustellen und mit einer Steuerung 550 Information auszutauschen. Das Mittenheizelement 533 und das Kantenheizelement 531 können zumindest eines von einem Heizfluidkanal, einem Widerstandsheizelement oder einem thermoelektrischen Element, welches beaufschlagt ist, um Hitze in Richtung des Wafers zu übertragen, aufweisen.
  • Beispielsweise können das Mittenheizelement 533 und das Kantenheizelement 531 einen oder mehrere Heizkanäle aufweisen, welche den Fluss eines Fluids, etwa Wasser, FLUORINERTTM, GALDENTM HT135 usw. dort hindurch zulassen können, um konduktiv-konvektive Erwärmung bereitzustellen, wobei die Fluidtemperatur mithilfe eines Wärmetauschers erhöht worden ist. Die Fluidflussrate und die Fluidtemperatur können beispielsweise mithilfe der Heizelementsteuereinheit 532 eingestellt, überwacht, angepasst und gesteuert werden.
  • Alternativ können beispielsweise das Mittenheizelement 533 und das Kantenheizelement 531 ein oder mehrere Widerstandsheizelemente aufweisen, wie einen Glühfaden aus Wolfram, eine Nickel-Chrom-Legierung, eine Aluminium-Eisen-Legierung, ein Aluminiumnitrid, usw.
  • Beispiele für käuflich erhältliche Materialien für die Herstellung von Widerstandsheizelementen umfassen Kanthal, Nikrothal, Akrothal, welche registrierte Marken für Metalllegierungen sind, welche von Kanthal Corporation of Bethel, CT hergestellt werden. Die Kanthal-Familie umfasst ferritische Legierungen (FeCrAl) und die Nikrothal-Familie umfasst austenitische Legierungen (NiCr, NiCrFe). Beispielsweise können die Heizelemente einen eingegossenen Heizer aufweisen, der käuflich von Watlow (1310 Kingsland Dr., Batavia, IL, 60510) erhältlich und für maximale Betriebstemperaturen von 400 bis 450°C geeignet ist, oder einen Schichtheizer, der Aluminiumnitridmaterialien aufweist, welcher ebenfalls käuflich von Watlow erhältlich und für Betriebstemperaturen bis 300°C und Leistungsdichten bis 23,25 W/cm2 geeignet ist. Darüber hinaus kann das Heizelement zum Beispiel einen Silizium-Gummi-Heizer (1,0 mm dick) aufweisen, der für 1400 W (oder Leistungsdichten von 5 W/in2) geeignet ist. Wenn ein elektrischer Strom durch den Glühfaden fließt, wird Leistung als Hitze freigesetzt und daher kann die Heizelementsteuereinheit 532 zum Beispiel eine steuerbare DC-Quelle aufweisen. Eine weitere Heizeroption, welche für niedrige Temperaturen und Leistungsdichten geeignet ist, sind Kapton-Heizer, welche aus einem in eine Kapton-Bahn (z. B. Polyimid) eingebetteten Glühfaden bestehen, und welche durch Minco, Inc., Minneapolis, MN vermarktet werden.
  • Alternativ können das Mittenheizelement 533 und das Kantenheizelement 531 beispielsweise eine Anordnung von thermoelektrischen Elementen aufweisen, die dazu geeignet sind, ein Substrat in Abhängigkeit der Richtung des elektrischen Stromflusses durch die entsprechenden Elemente zu heizen oder zu kühlen. Während das Mittenheizelement 533 und das Kantenheizelement 531 somit als „Heizelemente” bezeichnet werden, können diese Elemente für das Kühlen geeignet sein, um einen raschen Übergang zwischen den Temperaturen bereitzustellen. Darüber hinaus können die Heiz- und die Kühlfunktion mithilfe gesonderter Elemente innerhalb der Substratauflage 530 bereitgestellt werden. Ein beispielhaftes thermoelektrisches Element ist ein käuflich von Advanced Thermoelectric, Model ST-127-1.4-8.5M erhältliches (ein 40 mm mal 40 mm mal 3,4 mm thermoelektrisches Bauteil, das für eine maximale Wärmeübertragungsleistung von 72 W geeignet ist). Daher kann die Heizelementsteuereinheit 532 beispielsweise eine steuerbare Stromquelle aufweisen.
  • Das eine oder die mehreren Kühlelemente 521 können zumindest eines von einem Kühlkanal oder einem thermoelektrischen Element aufweisen. Weiterhin sind das eine oder die mehreren Kühlelemente 521, wie es in 12 gezeigt ist, mit einer Kühlelementsteuereinheit 522 verbunden. Die Kühlelementsteuereinheit 522 ist darauf ausgelegt, für jedes der Kühlelemente 521 eine abhängige oder eine unabhängige Steuerung bereitzustellen und mit der Steuerung 550 Informationen auszutauschen.
  • Beispielsweise können das eine oder die mehreren Kühlelemente 521 eine oder mehrere Kühlkanäle aufweisen, welche den Fluss eines Fluids, etwa Wasser, FLUORINERTTM, GALDENTM HT135 usw., durch diese hindurch zulassen, um eine leitend-konvektive Kühlung bereitzustellen, wobei die Fluidtemperatur mithilfe eines Wärmetauschers abgesenkt worden ist. Die Fluidflussrate und die Fluidtemperatur können mithilfe der Kühlelementsteuereinheit 522 beispielsweise eingestellt, überwacht, angepasst und gesteuert werden. Alternativ kann beispielsweise während des Heizen die Fluidtemperatur des Fluidstroms durch das eine oder die mehreren Kühlelemente 521 erhöht werden, um die Erwärmung durch das Mittenheizelement 533 und das Kantenheizelement 531 zu ergänzen. Alternativ kann weiterhin beispielsweise während des Kühlens die Fluidtemperatur des Fluidstroms durch das eine oder die mehreren Kühlelemente 521 abgesenkt werden.
  • Wahlweise können beispielsweise das eine oder die mehreren Kühlelemente 521 eine Anordnung von thermoelektrischen Elementen aufweisen, die für das Heizen oder das Kühlen eines Substrats in Abhängigkeit der Richtung des elektrischen Stromflusses durch die entsprechenden Elemente geeignet sind. Während somit die Elemente 521 als „Kühlelemente” bezeichnet werden, können diese Elemente ebenfalls die Fähigkeit zum Heizen aufweisen, um einen raschen Übergang zwischen den Temperaturen zu ermöglichen. Weiterhin kann die Heiz- und die Kühlfunktion durch getrennte Elemente innerhalb der temperaturgesteuerten Auflage 520 bereitgestellt werden. Ein beispielhaftes thermoelektrisches Element ist das käuflich von Advanced Thermoelectric, Model ST-127-1.4-8.5M erhältliche (ein thermoelektrisches Bauteil mit Abmessungen 40 mm mal 40 mm mal 3,4 mm, das für eine maximale Wärmeübertragungsleitung von 72 W geeignet ist). Daher kann die Kühlelementsteuereinheit 422 beispielsweise eine steuerbare Stromquelle aufweisen.
  • Zusätzlich kann der Substrathalter 500, wie in 12 gezeigt ist, eine elektrostatische Klammer (ESC) aufweisen, die eine oder mehrere Klammerelektroden 535 aufweist, die in der Substratauflage 530 eingebettet sind. Die ESC weist weiterhin eine Hochspannungs(HV)-DC-Quelle 534 auf, die mit den Klammerelektroden 535 über eine elektrische Verbindung verbunden ist. Die Gestaltung und Umsetzung einer derartigen Klammer ist dem Fachmann für elektrostatische Klemmsysteme gut bekannt. Darüber hinaus ist die HV-DC-Spannungsquelle 534 mit der Steuerung 550 verbunden und darauf ausgelegt, Information mit der Steuerung 550 auszutauschen.
  • Darüber hinaus kann der Substrathalter 500, wie es in 12 gezeigt ist, ein rückwärtiges Gaszuführsystem 536 aufweisen, für die Zuführung eines Wärmeübertragungsgases, etwa eines Inertgases, einschließlich Helium, Argon, Xenon, Krypton, eines Prozessgases oder eines anderen Gases, einschließlich Sauerstoff, Stickstoff oder Wasserstoff, zu dem Mittenbereich und dem Kantenbereich der Rückseite des Substrats 510 durch zwei Gaszuführleitungen und zumindest zwei einer Vielzahl von Auslassöffnungen und Kanälen (nicht dargestellt). Das rückseitige Gaszuführsystem 536 weist, wie dargestellt, ein Zweizonen(Mitte/Kante)-System auf, bei dem der rückseitige Druck in radialer Richtung von der Mitte zur Kante variiert werden kann. Darüber hinaus ist das rückwärtige Gaszuführsystem 536 mit der Steuerung 550 verbunden und darauf ausgelegt, mit der Steuerung 550 Information auszutauschen.
  • Weiterhin weist der Substrathalter 500, wie es in 12 gezeigt ist, einen mittleren Temperatursensor 562 auf, für die Bestimmung einer Temperatur im Wesentlichen in einem Mittenbereich unterhalb des Substrats 510, sowie einen Kantentemperatursensor 564 für die Bestimmung einer Temperatur im Wesentlichen in einem Kantenbereich unterhalb des Substrats 510. Der Mitten- und der Kantentemperatursensor 562, 564 sind mit einem Temperaturüberwachungssystem 560 verbunden.
  • Der Temperatursensor kann ein optisches Faserthermometer, ein optisches Pyrometer, ein Bandkantentemperaturmesssystem, wie es in dem US-Patent Nr. 6,891,124 beschrieben ist, dessen Inhalt durch Bezugnahme in seiner Gesamtheit hierin aufgenommen wird, oder ein Thermoelement (wie es durch die gestrichelte Linie angedeutet ist), etwa ein Thermoelement des K-Typs, sein. Beispiele für optische Thermometer umfassen: ein optisches Faserthermometer, welches käuflich von Advanced Energies, Inc., Modell Nr. OR2000F erhältlich ist; ein optisches Faserthermometer, welches von Luxtron Corporation, Modell Nr. M600 käuflich erhältlich ist; oder ein optisches Faserthermometer, welches von Takaoka Electric Mfg., Modell Nr. FT-1420 käuflich erhältlich ist.
  • Das Temperaturüberwachungssystem 560 kann der Steuerung 550 Sensorinformation bereitstellen, um zumindest eines von einem Heizelement, einem Kühlelement, einem rückwärtigen Gaszuführsystem oder einer HF-DC-Spannungsquelle für ein ESC vor, während oder nach der Verarbeitung anzupassen.
  • Die Steuerung 550 umfasst einen Mikroprozessor, einen Speicher und einen digitalen I/O-Anschluss (der möglicherweise D/A- und/oder A/D-Umwandler umfasst), der dazu geeignet ist, Steuerspannungen zu erzeugen, um dem Substrathalter 500 Eingangssignale zu übermitteln und diese zu aktivieren, und um Ausgangssignale von dem Substrathalter 500 zu überwachen. Wie in 12 gezeigt ist, kann die Steuerung 550 mit einer Heizelementsteuereinheit 532, einer Kühlelementsteuereinheit 522, einer HV-DC-Spannungsquelle 534, einem rückseitigen Gaszuführsystem 536 und mit einem Temperaturüberwachungssystem 560 verbunden sein und mit diesen Information austauschen. Ein in dem Speicher hinterlegtes Programm wird dazu verwendet, um mit den zuvor genannten Komponenten des Substrathalters 550 gemäß einem gespeicherten Prozessablauf zusammenzuwirken.
  • Die Steuerung 550 kann ebenso als ein Computer für allgemeine Anwendungen, ein Prozessor, ein digitaler Signalprozessor, usw. ausgeführt sein, welche einen Substrathalter dazu bringen, einen Teil oder sämtliche der Verarbeitungsschritte der Erfindung in Erwiderung auf die Steuerung 550 durchzuführen, welche eine oder mehrere Abfolgen von einer oder mehreren Instruktionen, welche in einem computerlesbaren Medium enthalten sind, ausführt. Das computerlesbare Medium oder der Speicher ist darauf ausgelegt, gemäß den Lehren der vorliegenden Erfindung programmierte Instruktionen zu enthalten und kann Datenstrukturen, Tabellen, Aufzeichnungen oder andere hierin beschriebene Daten beinhalten. Beispiele für computerlesbare Medien sind Compact Discs, Festplattenspeicher, Disketten, Bänder, magnetooptische Speicher, PROMs (EPROM, EEPROM, Flash EPROM), DRAM, SRAM, SDRAM oder irgendein anderes magnetisches Medium, Compact Discs (z. B. CD-ROM) oder irgendein anderes optisches Medium, Lochkarten, Papierbänder, oder ein anderes physikalisches Medium mit Lochmustern, eine Trägerwelle oder irgendein anderes Medium von dem ein Computer lesen kann.
  • Die Steuerung 550 kann in Bezug auf den Substrathalter 500 lokal angeordnet sein, oder sie kann in Bezug auf den Substrathalter 500 über ein Internet oder Intranet entfernt angeordnet sein. Die Steuerung 550 kann somit Daten mit dem Substrathalter 500 unter Verwendung zumindest eines einer direkten Verbindung, einem Intranet oder dem Internet austauschen. Die Steuerung 550 kann mit einem Intranet bei einem Kunden (d. h. einem Gerätehersteller usw.) oder mit einem Intranet bei einem Zulieferer (d. h. einem Ausrüstungshersteller) verbunden sein. Darüber hinaus kann ein anderer Computer (d. h. eine Steuerung, ein Server, usw.) auf die Steuerung 550 zugreifen, um Daten über zumindest eines einer direkten Verbindung, einem Intranet oder dem Internet auszutauschen.
  • Optional kann der Substrathalter 500 eine Elektrode aufweisen, durch welche hindurch RF-Leistung in das Plasma in einem Verarbeitungsbereich oberhalb des Substrats 510 eingeleitet wird. Beispielsweise kann die Auflage 520 mit einer RF-Spannung über die Durchleitung von RF-Leistung von einem RF-Generator durch ein Impedanzanpassungsnetzwerk zu dem Substrathalter 500 elektrisch beaufschlagt werden. Die RF-Vorspannung kann dazu dienen, Elektronen anzuregen, um ein Plasma auszubilden und aufrechtzuerhalten, oder um das Substrat 510 einer Vorspannung auszusetzen, um die Energie der auf das Substrat 510 auftreffenden Ionen zu steuern, oder für beide Zwecke. Bei dieser Konfiguration kann das System als ein reaktiver Ionenätzreaktor (RIE) dienen, bei dem die Kammer und die obere Gaseinleitelektrode als geerdete Flächen dienen. Eine typische Frequenz für die RF-Vorspannung kann zwischen ungefähr 1 MHz und 100 MHz liegen, und sie liegt vorzugsweise bei 13,56 MHz.
  • Alternativ kann RF-Leistung bei mehreren Frequenzen an die Substrathalteelektrode angelegt werden. Darüber hinaus kann ein Impedanzanpassungsnetzwerk dazu dienen, die Übertragung der RF-Leistung an das Plasma in der Verarbeitungskammer zu maximieren, indem die reflektierte Leistung minimiert wird. Es können verschiedene Anpassungsnetzwerktopologien (z. B. des L-Typs, des pi-Typs, des T-Typs usw.) sowie automatische Steuerverfahren angewendet werden.
  • Weitere Einzelheiten für die Gestaltung eines temperaturgesteuerten Substrathalters, der für die rasche und einheitliche Steuerung der Substrattemperatur ausgelegt ist, sind in der US 2008/0 083 723 A1 ; in der US 2010/0 078 424 A1 ; in der US 2008/0 083 724 A1 ; der US 2008/0 073 335 A1 ; in dem US 7,297,894 B1 ; in dem US 7,557,328 B1 ; und in der US 2009/0 266 809 A1 angegeben.
  • Bei einer Ausführungsform können der erste, der zweite und/oder der dritte Ätzprozess einen Prozessparameterbereich aufweisen, umfassend: einen Kammerdruck von bis zu ungefähr 133,3 Pa (1000 mTorr) (z. B. bis zu ungefähr 13,33 Pa (100 mTorr) oder bis zu ungefähr 1,33 bis 4 Pa (10 bis 30 mTorr)), eine Prozessgasflussrate bis zu ungefähr 2000 sccm (Standardkubikzentimeter pro Minute) (z. B. bis zu 1000 sccm, oder ungefähr 1 sccm bis ungefähr 100 sccm, oder ungefähr 1 sccm bis ungefähr 20 sccm, oder ungefähr 15 sccm), eine Prozessgasflussrate eines Additivgases von bis zu ungefähr 2000 sccm (z. B. bis zu ungefähr 1000 sccm, oder ungefähr 1 sccm bis ungefähr 20 sccm, oder ungefähr 10 sccm), eine obere Elektrode (z. B. das Element 70 in 7) mit einer RF-Vorspannung bis zu ungefähr 2000 W (Watt) (z. B. bis zu ungefähr 1000 W, oder bis zu ungefähr 500 W), und eine untere Elektrode (z. B. das Element 22 in 7) mit einer RF-Vorspannung von bis zu ungefähr 1000 W (z. B. bis zu ungefähr 600 W). Ebenso kann die Frequenz der oberen Elektrodenvorspannung zwischen ungefähr 0,1 MHz und ungefähr 200 MHz, z. B. bei ungefähr 60 MHz liegen. Darüber hinaus kann die Frequenz der unteren Elektrodenvorspannung zwischen ungefähr 0,1 MHz und ungefähr 100 MHz, z. B. bei ungefähr 2 MHz liegen.
  • Bei einer anderen Ausführungsform wird die obere Elektrode und nicht die untere Elektrode mit der RF-Leistung beaufschlagt. Bei einer anderen Ausführungsform wird die untere Elektrode und nicht die obere Elektrode mit der RF-Leistung beaufschlagt. Bei einer anderen Ausführungsform kann RF-Leistung und/oder DC-Leistung auf eine in der mit Bezug auf die 5 bis 11 beschriebenen Weisen angelegt werden.
  • Die Zeitdauer für die Durchführung eines bestimmten Ätzprozesses kann unter Verwendung von Versuchsplanungen (Design of Experiment (DOE)) oder anhand gemachter Erfahrungen bestimmt werden; sie kann jedoch ebenfalls unter Verwendung von Endpunktbestimmung ermittelt werden. Ein mögliches Verfahren für die Endpunktbestimmung ist, einen Teil des von dem Plasmabereich emittierten Lichtspektrums zu überwachen, welches Anzeichen dafür gibt, wann eine Änderung in der Plasmazusammensetzung aufgrund einer Änderung oder im Wesentlichen nahe des Abschlusses der Entfernung einer bestimmten Materialschicht von dem Substrat und aufgrund von Kontakt mit der darunterliegenden dünnen Schicht stattfindet. Nachdem die Emissionsniveaus, welche den überwachten Wellenlängen entsprechen, einen bestimmten Schwellwert überschreiten (z. B. im Wesentlichen auf Null heruntergehen, oder unterhalb einen bestimmten Wert sinken, oder einen bestimmten Wert übersteigen), kann davon ausgegangen werden, dass ein Endpunkt erreicht worden ist. Verschiedene Wellenlängen, welche für die verwendete Ätzchemie und die geätzte Materialschicht spezifisch sind, können verwendet werden. Darüber hinaus kann die Ätzzeit verlängert werden, um eine Zeitdauer übermäßigen Ätzens zu umfassen, wobei die Zeitdauer des übermäßigen Ätzens einen Zeitanteil (d. h. zwischen 1 und 100%) der Zeit zwischen dem Einsetzen des Ätzprozesses und dem Zeitpunkt, welcher mit der Endpunktbestimmung verbunden wird, einnimmt.
  • Einer oder mehrere der zuvor beschriebenen Ätzprozesse können unter Verwendung eines Plasmaätzsystems, etwa des in den 5 bis 11 beschriebenen, durchgeführt werden. Darüber hinaus können einer oder mehrere der zuvor beschriebenen Ätzprozesse unter Verwendung eines temperaturgesteuerten Substrathalters in einem Plasmaätzsystem, etwa des in 12 beschriebenen, durchgeführt werden. Die diskutierten Verfahren sind in ihrem Umfang durch diese beispielhaften Ausführungsformen jedoch nicht begrenzt.
  • Wie oben bereits festgehalten wurde, haben die Erfinder herausgefunden, dass die Verwendung eines Substrattemperatursteuerungsschemas bei der Strukturierung eines Isolatorstapels unter Anwendung einer Vielzahl Ätzprozesse eine annehmbare Ätzselektivität zwischen den Schichten sowie eine annehmbare Profilsteuerung und CD-Steuerung neben anderen Eigenschalten ermöglichen kann. Beispielsweise kann bei vergleichsweise niedriger Substrattemperatur ein Ätzprozess CD-Steuerung erreichen, insbesondere bei der Ausbildung mithilfe eines Musters. Jedoch kann bei vergleichsweise hohen Substrattemperaturen zwischen der Isolatorschicht und der Abdeckschicht eine Ätzselektivität erreicht werden. Mit dem in 12 beschriebenen temperaturgesteuerten Substrathalter kann zwischen den Ätzprozessen eine einheitliche Temperatursteuerung erreicht werden, was die Umsetzung eines Substrattemperaturkontrollschemas möglich macht.
  • Die Tabelle 1 stellt beispielhaft Prozessbedingungen für die Strukturierung einer Abdeckschicht die unterhalb einer Silizium enthaltenden ACR-Schicht und einer organischen Planarisierungsschicht (OPL) liegt, zur Verfügung. Die Abdeckschicht umfasst SiCxNyHz-basiertes Material. Für jeden Ätzprozess wird eine Prozessbedingung angegeben, einschließlich einer Prozessnummer, einer oberen Elektroden(UEL)-Leistung (Watt, W), einer unteren Elektroden(LEL)-Leistung (Watt, W), einem Gasdruck (Pa oder mTorr) in dem Plasmaätzsystem, einem Temperatursatz für Komponenten in dem Plasmaätzsystem (°C) („UEL” = obere Elektrodentemperatur; „W” = Wandtemperatur; „LEL” = untere Elektrodentemperatur, d. h. Substrattemperatur), einer C4F8-Flussrate (Standardkubikzentimeter pro Minute, sccm), einer Ar-Flussrate, einer N2-Flussrate, und eine Ätzzeit (sek, Sekunden).
    Prozessbeschreibung Prozess Nr. UEL RF (W) LEL RF (W) p (Pa) T (°C) (UEL, W, LEL) C4F8 (sccm) Ar (sccm) N2 (sccm) Ätzzeit (sek) Durchkontaktierungsmuster erstreckt sich in die Abdeckschicht hinein/durch diese hindurch
    Durchkontaktierungsstrukturierung der Abdeckschicht 1 800 1200 5,33 60, 60, 8 10 500 100 20 Beobachtet
    2 800 1200 5,33 60, 60, 8 10 500 100 20 Nicht beobachtet
    Tabelle 1
  • Wenn ein Durchkontaktierungsmuster durch die ARC-Schicht und die OPL-Schicht hindurchgetreten ist, werden die in Tabelle 1 angegebenen Prozessparameter ausgeführt. Der Unterschied zwischen den Prozessen Nr. 1 und 2 liegt in der Substrattemperatur, wobei die Temperatur von 8°C auf 60°C erhöht wird. Wie in Tabelle 1 angegeben ist, erstreckt sich unter Verwendung der oben angegebenen Prozessbedingungen bei relativ niedriger Substrattemperatur das Durchkontaktierungsmuster in die Abdeckschicht hinein oder durch diese hindurch, während sich dieses unter der Verwendung der oben angegebenen Prozessbedingungen bei vergleichsweise hoher Substrattemperatur nicht in die Abdeckschicht hinein oder durch diese hindurch erstreckt.
  • Es können, obwohl eine beispielhafte Prozessgasflussrate für die Vorbereitung einer Metallgate-Struktur angegeben ist, ebenso andere Prozessgasflussraten in Betracht gezogen werden.

Claims (19)

  1. Verfahren für die Strukturierung einer Isolatorschicht, das aufweist: Bereitstellen eines Schichtstapels (300) auf einem Substrat (310), wobei der Schichtstapel (300) eine Abdeckschicht (320), eine SiCOH-enthaltende Schicht (330), die über der Abdeckschicht (320) liegt, und eine Hartmaske (340), die über der SiCOH-enthaltenden Schicht (330) liegt, aufweist; und Übertragen eines Musters durch den Schichtstapel (300) mittels Durchführung einer Abfolge von Ätzprozessen in einem Plasmaätzsystem, wobei die Abfolge von Ätzprozessen einen temperaturgesteuerten Substrathalter (20) in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema verwendet, welches eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht (330) und der darunterliegenden Abdeckschicht (320) erreicht, wobei das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur bei einem ersten Ätzprozess für die Übertragung des Musters durch die Hartmaske (340), und optional für das teilweise Übertragen des Musters auf die SiCOH-enthaltende Schicht (330), Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in einem zweiten Ätzprozess für die Übertragung des Musters durch die SiCOH-enthaltende Schicht (330), und Steuern einer dritten Substrattemperatur bei einer Temperatur, die kleiner als die zweite Substrattemperatur ist, in einem dritten Ätzprozess für die Übertragung des Musters durch die Abdeckschicht (320).
  2. Verfahren nach Anspruch 1, bei dem das Substrattemperatursteuerungsschema aufweist: Steuern der ersten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 20°C ist, in dem ersten Ätzprozess; Steuern der zweiten Substrattemperatur bei einer Temperatur, die größer als ungefähr 50°C ist, in dem zweiten Ätzprozess; und Steuern der dritten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 20°C ist, in dem dritten Ätzprozess.
  3. Verfahren nach Anspruch 1, bei dem das Übertragen des Musters in ein Trench-First-Metal-Hard-Mask(TFMHM)-Integrationsschema oder ein Via-First-Trench-Last(VMTL)-Integrationsschema eingebunden ist.
  4. Verfahren nach Anspruch 1, bei dem der Schichtstapel (300) eine gradierte Schicht aufweist, die zwischen der SiCOH-enthaltenden Schicht (330) und der Abdeckschicht (320) angeordnet ist.
  5. Verfahren nach Anspruch 4, bei dem die gradierte Schicht eine Schicht aufweist, die Si und eines oder mehrere Elemente, die aus der Gruppe bestehend aus O, C und N ausgewählt sind, enthält.
  6. Verfahren nach Anspruch 1, bei dem die Hartmaske (340) mehrere Schichten aufweist.
  7. Verfahren nach Anspruch 1, bei dem die Hartmaske (340) zumindest eine Si enthaltende Schicht (344) oder zumindest eine ein Metall enthaltende Schicht (342) aufweist.
  8. Verfahren nach Anspruch 1, bei dem die Abdeckschicht (320) mehrere Schichten aufweist.
  9. Verfahren nach Anspruch 1, bei dem die Abdeckschicht (320) Siliziumnitrid (SixNy), Siliziumkarbid (SixCy), Siliziumkarbonitrid (SiCxNy) oder SiCxNyHz oder eine Kombination von zweien oder mehreren dieser aufweist.
  10. Verfahren nach Anspruch 1, bei dem die SiCOH-enthaltende Schicht (330) unter Verwendung eines Dampfabscheidungsprozesses ausgebildet wird.
  11. Verfahren nach Anspruch 1, bei dem das Bereitstellen des Schichtstapels (300) weiterhin aufweist: Ausbilden einer Maske, welche über der Hartmaske (340) liegt, wobei die Maske eine Schicht strahlungsempfindliches Material aufweist, welches über einer Antireflexionsbeschichtung (ARC) liegt; und Ausbilden des Musters in der Maske unter Verwendung einer Lithografietechnologie.
  12. Verfahren nach Anspruch 1, bei dem der temperaturgesteuerte Substrathalter (20) aufweist: eine Auflage, die Fluidkanäle aufweist, um ein temperaturgesteuertes thermisches Fluid in der Auflage zu zirkulieren; und eine Substratauflage (530), die über einen thermischen Isolator mit einem oberen Abschnitt der Auflage verbunden ist, wobei die Auflage aufweist: ein oder mehrere Heizelemente (531, 533), die innerhalb der Substratauflage eingebettet sind, eine Oberseite, um das Substrat (310) durch Kontakt zwischen der Oberseite und einer Rückseite des Substrats (310) zu halten, und eine elektrostatische Klemmelektrode, um das Substrat (310) auf der Oberseite der Substratauflage zu halten.
  13. Verfahren nach Anspruch 12, bei dem der temperaturgesteuerte Substrathalter (20) weiterhin aufweist. ein rückseitiges Gaszuführungssystem (26), das darauf ausgelegt ist, ein Wärmeübertragungsgas der Rückseite des Substrats (310) durch zumindest eine einer Vielzahl Auslassöffnungen oder einen einer Vielzahl Kanäle, die auf der Oberseite der Substratauflage angeordnet sind, zuzuführen.
  14. Verfahren nach Anspruch 13, bei dem die Vielzahl Auslassöffnungen des rückseitigen Gaszuführungssystems (26) in einer Vielzahl Zonen auf der Oberfläche der Substratauflage (530) angeordnet sind, um einen rückseitigen Druck in einer radialen Richtung zwischen einem im Wesentlichen zentralen Bereich der Rückseite des Substrats (310) und einem im Wesentlichen Randbereich der Rückseite des Substrats (310) zu variieren.
  15. Verfahren für die Vorbereitung einer Graben-Durchkontaktierungsstruktur auf einem Substrat (310), das aufweist: Vorbereiten eines Schichtstapels (300) auf einem Substrat (310), wobei der Schichtstapel (300) eine Abdeckschicht (320), eine SiCOH-enthaltende Schicht (330), die über der Abdeckschicht (320) liegt, und eine Hartmaske (3040), die über der SiCOH-enthaltenden Schicht (330) liegt, aufweist; Ausbilden eines Grabenmusters in der Hartmaske (340); Vorbereiten einer Durchkontaktierungsstrukturierungsschicht, die über der Hartmaske (3040) liegt, mit einem Durchkontaktierungsmuster, das entlang dem Grabenmuster ausgerichtet ist; zumindest teilweises Übertragen des Durchkontaktierungsmusters in der Durchkontaktierungsstrukturierungsschicht auf die SiCOH-enthaltende Schicht (330) unter Verwendung eines ersten Ätzprozesses in einem Plasmaätzsystem; Entfernen der Durchkontaktierungsstrukturierungsschicht; Übertragen des Grabenmusters in der Hartmaske (340) auf die SiCOH-enthaltende Schicht (330) unter Verwendung eines zweiten Ätzprozesses in dem Plasmaätzsystem, wobei die Abdeckschicht (320) nicht angegriffen wird; Übertragen des Durchkontaktierungsmusters in der SiCOH-enthaltenden Schicht (330) auf die Abdeckschicht (320) unter Verwendung eines dritten Ätzprozesses in dem Plasmaätzsystem; und Verwenden eines temperaturgesteuerten Substrathalters (20) in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema, um eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht (330) und der Abdeckschicht (320) während der Übertragung des Grabenmusters zu erreichen, wobei das Substrattemperatursteuerungsschema umfasst: Steuern einer ersten Substrattemperatur in dem ersten Ätzprozess für die Übertragung des Durchkontaktierungsmusters auf die SiCOH-enthaltende Schicht (330); Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in dem zweiten Ätzprozess für die Übertragung des Grabenmusters auf die SiCOH-enthaltende Schicht (330), und Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist, in dem dritten Ätzprozess für die Übertragung des Durchkontaktierungsmusters auf die Abdeckschicht (320).
  16. Verfahren nach Anspruch 15, bei dem das Substrattemperatursteuerungsschema aufweist: Steuern der ersten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 20°C ist, in dem ersten Ätzprozess; Steuern der zweiten Substrattemperatur bei einer Temperatur, die größer als ungefähr 50°C ist, in dem zweiten Ätzprozess; und Steuern der dritten Substrattemperatur bei einer Temperatur, die geringer als ungefähr 20°C ist, in dem dritten Ätzprozess.
  17. Verfahren nach Anspruch 15, das weiterhin aufweist: Anordnen einer gradierten Schicht zwischen der SiCOH-enthaltenden Schicht (330) und der Abdeckschicht (320); und Übertragen des Durchkontaktierungsmusters auf die gradierte Schicht.
  18. Verfahren nach Anspruch 15, bei dem die Hartmaske (340) eine Metall enthaltende Schicht und die Abdeckschicht (320) Siliziumnitrid (SixNy), Siliziumkarbid (SixCy), Siliziumkarbonitrid (SiCxNy) oder SiCxNyHz oder eine Kombination von zweien oder mehreren dieser aufweist.
  19. Verfahren für die Vorbereitung einer Graben-Durchkontaktierungsstruktur auf einem Substrat (310), das aufweist: Vorbereiten eines Schichtstapels (300) auf einem Substrat (310), wobei der Schichtstapel (300) eine Abdeckschicht (320), eine SiCOH-enthaltende Schicht (330), die über der Abdeckschicht (320) liegt, und eine Hartmaske (3040), die über der SiCOH-enthaltenden Schicht (330) liegt, aufweist; Ausbilden eines Durchkontaktierungsmusters in der Hartmaske (340); zumindest anteiliges Übertragen des Durchkontaktierungsmusters der Durchkontaktierungsstrukturierungsschicht auf die SiCOH-enthaltende Schicht (330) unter Verwendung eines ersten Ätzprozesses in einem Plasmaätzsystem; Vorbereiten einer Grabenstrukturierungsschicht, die über der Hartmaske (340) liegt, wobei ein Grabenmuster entlang dem Durchkontaktierungsmuster ausgerichtet ist; Übertragen des Grabenmusters auf die Hartmaske (340); Entfernen der Grabenstrukturierungsschicht; Übertragen des Grabenmusters in der Hartmaske (340) auf die SiCOH-enthaltende Schicht (330) unter Verwendung eines zweiten Ätzprozesses in dem Plasmaätzsystem, wobei die Abdeckschicht (320) nicht angegriffen wird; Übertragen des Durchkontaktierungsmusters in der SiCOH-enthaltenden Schicht (330) auf die Abdeckschicht (320) unter Verwendung eines dritten Ätzprozesses in dem Plasmaätzsystem; und Verwenden eines temperaturgesteuerten Substrathalters (20) in dem Plasmaätzsystem gemäß einem Substrattemperatursteuerungsschema, um eine Ätzselektivität zwischen der SiCOH-enthaltenden Schicht (330) und der Abdeckschicht (320) während der Übertragung des Grabenmusters zu erreichen, wobei das Substrattemperaturübertragungsschema umfasst: Steuern einer ersten Substrattemperatur in dem ersten Ätzprozess für die Übertragung des Durchkontaktierungsmusters auf die SiCOH-enthaltende Schicht (330), Steuern einer zweiten Substrattemperatur bei einer Temperatur, die größer als die erste Substrattemperatur ist, in dem zweiten Ätzprozess für die Übertragung des Grabenmusters auf die SiCOH-enthaltende Schicht (330), und Steuern einer dritten Substrattemperatur bei einer Temperatur, die geringer als die zweite Substrattemperatur ist, in dem dritten Ätzprozess für die Übertragung des Durchkontaktierungsmusters auf die Abdeckschicht (320).
DE112011101976.9T 2010-06-11 2011-06-06 Verfahren für das selektive Ätzen eines Isolatorstapels für einen Metallverbinder Expired - Fee Related DE112011101976B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/814,255 2010-06-11
US12/814,255 US8435901B2 (en) 2010-06-11 2010-06-11 Method of selectively etching an insulation stack for a metal interconnect
PCT/US2011/039224 WO2011156253A1 (en) 2010-06-11 2011-06-06 Method of selectively etching an insulation stack for a metal interconnect

Publications (2)

Publication Number Publication Date
DE112011101976T5 DE112011101976T5 (de) 2013-05-16
DE112011101976B4 true DE112011101976B4 (de) 2015-09-10

Family

ID=45096574

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011101976.9T Expired - Fee Related DE112011101976B4 (de) 2010-06-11 2011-06-06 Verfahren für das selektive Ätzen eines Isolatorstapels für einen Metallverbinder

Country Status (6)

Country Link
US (1) US8435901B2 (de)
JP (2) JP6285716B2 (de)
KR (1) KR101769651B1 (de)
DE (1) DE112011101976B4 (de)
TW (1) TWI512823B (de)
WO (1) WO2011156253A1 (de)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
TWI553739B (zh) * 2011-06-09 2016-10-11 聯華電子股份有限公司 一種形成開口的方法
US8735283B2 (en) * 2011-06-23 2014-05-27 International Business Machines Corporation Method for forming small dimension openings in the organic masking layer of tri-layer lithography
US20130043559A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Trench formation in substrate
US9048178B2 (en) * 2011-09-27 2015-06-02 Tokyo Electron Limited Plasma etching method and semiconductor device manufacturing method
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9349689B2 (en) 2012-04-20 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including conductive features with capping layers and methods of forming the same
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
SG10202113236SA (en) * 2012-10-30 2021-12-30 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US8987139B2 (en) 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
JP2014192525A (ja) * 2013-03-26 2014-10-06 Tokyo Electron Ltd 多孔質有機シリカLow−k材料をエッチングする方法
US8906810B2 (en) * 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
JP6094813B2 (ja) * 2013-09-02 2017-03-15 パナソニックIpマネジメント株式会社 プラズマ処理装置
JP6230930B2 (ja) * 2014-02-17 2017-11-15 東京エレクトロン株式会社 半導体装置の製造方法
CN104979268B (zh) * 2014-04-02 2018-10-16 中芯国际集成电路制造(上海)有限公司 叠层结构的形成方法以及互连结构的形成方法
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US9799550B2 (en) 2015-09-04 2017-10-24 United Microelectronics Corp. Manufacturing method for forming a semiconductor structure
US9691723B2 (en) * 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9966454B2 (en) 2015-12-14 2018-05-08 International Business Machines Corporation Contact area to trench silicide resistance reduction by high-resistance interface removal
US9978563B2 (en) * 2016-01-27 2018-05-22 Tokyo Electron Limited Plasma treatment method to meet line edge roughness and other integration objectives
US11024507B2 (en) * 2016-03-31 2021-06-01 Tokyo Electron Limited Substrate liquid processing apparatus, substrate liquid processing method, and computer-redable storage medium having substrate liquid processing program stored therein
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
DE102017127920A1 (de) 2017-01-26 2018-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Erhöhte Durchkontaktierung für Anschlüsse auf unterschiedlichen Ebenen
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
TWI752221B (zh) * 2017-04-27 2022-01-11 日商東京威力科創股份有限公司 使用有機矽酸鹽做為圖案化膜之方法及系統
JP6877290B2 (ja) * 2017-08-03 2021-05-26 東京エレクトロン株式会社 被処理体を処理する方法
JP7334166B2 (ja) 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
US10622302B2 (en) 2018-02-14 2020-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Via for semiconductor device connection and methods of forming the same
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
DE102018126130B4 (de) 2018-06-08 2023-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und -verfahren
US11158775B2 (en) * 2018-06-08 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10992100B2 (en) 2018-07-06 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process
US11881539B2 (en) 2020-11-20 2024-01-23 Applied Materials, Inc. Structure and method of advanced LCoS back-plane having highly reflective pixel via metallization
US11586067B2 (en) * 2020-11-20 2023-02-21 Applied Materials, Inc. Structure and method of advanced LCoS back-plane having robust pixel via metallization
US11880052B2 (en) 2020-11-20 2024-01-23 Applied Materials, Inc. Structure and method of mirror grounding in LCoS devices
US11908678B2 (en) 2021-01-14 2024-02-20 Applied Materials, Inc. Method of CMP integration for improved optical uniformity in advanced LCOS back-plane

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US20030173351A1 (en) * 2002-03-15 2003-09-18 Boris Atlas Semiconductor processing temperature control
US20030186545A1 (en) * 2002-04-02 2003-10-02 Lam Research Corporation, A Delaware Corporation Variable temperature processes for tunable electrostatic chuck
US20090042398A1 (en) * 2007-08-10 2009-02-12 Tokyo Electron Limited Method for etching low-k material using an oxide hard mask

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01146328A (ja) * 1987-12-02 1989-06-08 Fujitsu Ltd エッチング方法
JP3353296B2 (ja) * 1990-08-03 2002-12-03 ソニー株式会社 低温エッチング方法
JP2876976B2 (ja) * 1994-02-18 1999-03-31 日立電線株式会社 低温ドライエッチング方法およびその装置
JPH0927479A (ja) * 1995-07-13 1997-01-28 Sony Corp ドライエッチング方法
JPH09199484A (ja) * 1996-01-19 1997-07-31 Nippon Steel Corp 半導体装置の製造方法
JPH09232281A (ja) * 1996-02-26 1997-09-05 Sony Corp ドライエッチング処理方法
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP2000150415A (ja) * 1998-11-16 2000-05-30 Nec Corp 半導体装置のコンタクト形成方法
JP4173454B2 (ja) * 1999-06-24 2008-10-29 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6103619A (en) 1999-10-08 2000-08-15 United Microelectronics Corp. Method of forming a dual damascene structure on a semiconductor wafer
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4808889B2 (ja) 2000-01-05 2011-11-02 東京エレクトロン株式会社 透過分光を用いるウェハ帯域エッジの測定方法、及びウェハの温度均一性を制御するためのプロセス
JP2002261092A (ja) 2001-02-27 2002-09-13 Nec Corp 半導体装置の製造方法
JP2003133293A (ja) * 2001-10-30 2003-05-09 Mitsubishi Electric Corp 半導体装置の製造方法
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US6525428B1 (en) 2002-06-28 2003-02-25 Advance Micro Devices, Inc. Graded low-k middle-etch stop layer for dual-inlaid patterning
JP2004128050A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置およびその製造方法
CN1748297A (zh) 2003-02-07 2006-03-15 皇家飞利浦电子股份有限公司 用于互连结构的金属蚀刻方法和通过这种方法获得的金属互连结构
DE102004017533A1 (de) * 2003-05-03 2005-01-13 Trikon Technologies Limited, Newport Verfahren zum Ätzen von porösem Dielektrikum
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7208363B2 (en) * 2005-05-05 2007-04-24 Systems On Silicon Manufacturing Co. Pte. Ltd. Fabrication of local interconnect lines
DE102005030588B4 (de) 2005-06-30 2008-10-16 Advanced Micro Devices, Inc., Sunnyvale Technik zum Reduzieren des Ätzschadens während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika
US7323410B2 (en) 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7480990B2 (en) 2006-01-06 2009-01-27 International Business Machines Corporation Method of making conductor contacts having enhanced reliability
US7435676B2 (en) 2006-01-10 2008-10-14 International Business Machines Corporation Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
JP4940722B2 (ja) * 2006-03-24 2012-05-30 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマ処理装置並びに記憶媒体
JP4716370B2 (ja) * 2006-03-27 2011-07-06 東京エレクトロン株式会社 低誘電率膜のダメージ修復方法及び半導体製造装置
US20070232048A1 (en) 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7557328B2 (en) 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP5349789B2 (ja) * 2007-11-14 2013-11-20 ルネサスエレクトロニクス株式会社 多層配線の形成方法
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US20030173351A1 (en) * 2002-03-15 2003-09-18 Boris Atlas Semiconductor processing temperature control
US20030186545A1 (en) * 2002-04-02 2003-10-02 Lam Research Corporation, A Delaware Corporation Variable temperature processes for tunable electrostatic chuck
US20090042398A1 (en) * 2007-08-10 2009-02-12 Tokyo Electron Limited Method for etching low-k material using an oxide hard mask

Also Published As

Publication number Publication date
US8435901B2 (en) 2013-05-07
KR101769651B1 (ko) 2017-08-18
WO2011156253A1 (en) 2011-12-15
JP2013529838A (ja) 2013-07-22
TWI512823B (zh) 2015-12-11
JP6334631B2 (ja) 2018-05-30
DE112011101976T5 (de) 2013-05-16
TW201218274A (en) 2012-05-01
JP2017005268A (ja) 2017-01-05
US20110306214A1 (en) 2011-12-15
JP6285716B2 (ja) 2018-02-28
KR20130075766A (ko) 2013-07-05

Similar Documents

Publication Publication Date Title
DE112011101976B4 (de) Verfahren für das selektive Ätzen eines Isolatorstapels für einen Metallverbinder
US20210217668A1 (en) Replacement contact process
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
TWI458014B (zh) 用以控制多層遮罩之圖案臨界尺寸與完整性的蝕刻製程
TW201703116A (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
US20060102197A1 (en) Post-etch treatment to remove residues
US8263496B1 (en) Etching method for preparing a stepped structure
WO2013192323A1 (en) Sidewall protection of low-k material during etching and ashing
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
TW201448024A (zh) 在多層薄膜堆疊中蝕刻自對準穿孔及溝槽之方法
DE102019117925A1 (de) Vorreinigung für kontakte
TWI488235B (zh) 全金屬閘極結構之圖案成形方法
US7935640B2 (en) Method for forming a damascene structure
TW200926286A (en) Method for etching metal nitride with high selectivity to other materials
DE102007009913B4 (de) Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika
US11024537B2 (en) Methods and apparatus for hybrid feature metallization
KR20200111117A (ko) 완전 자가 정렬 비아(fsav) 프로세스에서의 복수의 재료들을 사용하는 반도체 백 엔드 오브 라인(beol) 상호연결
US7341941B2 (en) Methods to facilitate etch uniformity and selectivity

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee