JP2003133293A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2003133293A
JP2003133293A JP2001332291A JP2001332291A JP2003133293A JP 2003133293 A JP2003133293 A JP 2003133293A JP 2001332291 A JP2001332291 A JP 2001332291A JP 2001332291 A JP2001332291 A JP 2001332291A JP 2003133293 A JP2003133293 A JP 2003133293A
Authority
JP
Japan
Prior art keywords
etching
film
semiconductor device
etching mask
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001332291A
Other languages
English (en)
Inventor
Kazumasa Yonekura
和賢 米倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP2001332291A priority Critical patent/JP2003133293A/ja
Publication of JP2003133293A publication Critical patent/JP2003133293A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 ボーイングやネッキング等の不具合が発生す
ることを防止して、良好な形状のホールを得ることがで
きるエッチング方法を提供する。 【解決手段】 基板温度を100℃以上にすることで、
CやCFなどのラジカルの吸着確率が低下し、基板温度
が低い場合にはホール上部側壁部分とすぐに反応して吸
着していたラジカルが、コンタクトホールCH1の内部
深くまで到達するようになり、エッチングに対する保護
膜となるフロロカーボンポリマーが、コンタクトホール
CH1の内壁全面に均一に堆積する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に関し、特に、コンタクトホールやキャパシタのスト
レージノードの形成において、ホールの異常形状を抑制
した製造方法に関する。
【0002】
【従来の技術】半導体装置の微細化に伴い、高アスペク
ト比のコンタクトホールの形成や、キャパシタのストレ
ージノードの高アスペクト比の円筒ホール形成におい
て、高いマスク選択比とともに、優れた寸法制御性や、
高い下地選択比を実現するプロセスが求められている。
【0003】特に、高アスペクト比のコンタクトホール
の形成においては、マスクとして用いるレジストとの選
択比を向上させようとすると、コンタクトホール内部に
過剰なフロロカーボン(CxFy)の重合膜(ポリマ
ー)が付着し、このポリマーが被エッチング膜であるシ
リコン酸化膜のエッチングを妨げることで、シリコン酸
化膜のエッチングが停止(エッチストップ)したり、ボ
ーイング(弓形形状、樽形形状)や、ネッキング(くび
れ形状)、先細り形状(過度なテーパ形状)などの不具
合が発生する。
【0004】ここで、図28を用いて、上記不具合につ
いてさらに説明する。図28は半導体基板100上に下
地膜としてシリコン窒化膜101が配設され、シリコン
窒化膜101上に層間絶縁膜としてシリコン酸化膜10
2が配設され、シリコン酸化膜102を貫通してシリコ
ン窒化膜101に達するようにコンタクトホールCHが
設けられた状態を示している。
【0005】そして、図28において領域Xで示す部分
にネッキングが発生し、領域Yで示す部分にボーイング
が発生し、領域Zで示す部分に先細りが発生し、また、
領域Wで示す部分には下地膜との選択比の低下により、
下地膜であるシリコン窒化膜101の突き抜けが発生し
ている。
【0006】以下、これらの不具合の発生メカニズムに
ついて、プラズマエッチング、あるいはイオンビームエ
ッチング等のドライエッチングを例に採って説明する。
コンタクトホールCHの形成に際しては、シリコン酸化
膜102上にレジストマスク103をパターニングし、
レジストマスク103の開口部において露出するシリコ
ン酸化膜102の表面にエッチングガス等を反応させて
エッチングを進行させる。
【0007】ここで、エッチングガスとしてフロロカー
ボンを用いた場合の反応の一例を下記に示す。
【0008】 SiO2+2CF2→SiF4+2CO・・・(1) 上記化学式(1)に示される、SiF4やCOは揮発性
が高いので気相中に放出され、シリコン酸化膜のエッチ
ングが進行する。
【0009】しかし、レジストマスクや、シリコン等に
ついては酸素が含まれないか、または微量であるため、
上述した反応が進行せず、反応に寄与しないCxFyが
ポリマーとしてレジストマスク上やシリコン層上に堆積
する。そして、堆積したCxFy(フロロカーボン)ポ
リマーはエッチング保護膜として働き、高エネルギーイ
オン衝突によるスパッタリングが妨げられたり、エッチ
ング反応に必要なイオンからのエネルギーアシストが得
られなくなって、エッチングが進行せず、その結果とし
てシリコン酸化膜とレジストマスクとのエッチング選択
性が得られることになる。
【0010】シリコン酸化膜とレジストマスクとのエッ
チング選択性を向上させるためには、保護膜として機能
するフロロカーボンポリマーを増やすようにエッチング
条件を設定すれば良いが、フロロカーボンポリマーが過
度になると、被エッチング膜であるシリコン酸化膜上に
も堆積し、シリコン酸化膜のエッチングを妨げることに
なる。
【0011】図29に、図28に示すような形状のコン
タクトホールが得られる原因となるフロロカーボンポリ
マーの堆積状態を模式的に示す。図29において、レジ
ストマスク103の上部およびコンタクトホールCHの
上部側壁に、比較的厚いフロロカーボンポリマーFP1
およびFP2が形成されている。一方、コンタクトホー
ルCHの底部には、薄いフロロカーボンポリマーFP3
しか形成されておらず、コンタクトホールCHの内壁に
はフロロカーボンポリマーは殆ど形成されていない。
【0012】これは、フロロカーボンポリマーを構成す
る、CやCFなどの吸着確率の高いラジカルは、コンタ
クトホールCH内に浸入すると上部側壁部分とすぐに反
応して吸着し、ホール上部(開口部分)にのみフロロカ
ーボンポリマーが堆積し、ホール内深くにまで達するこ
とができない。
【0013】そして、フロロカーボンポリマーが堆積し
ているホール上部は、アルゴン(Ar)イオンなどの高
エネルギーイオンによるエッチングから保護されるのに
対して、コンタクトホールCHの中央部分には、ホール
上部での電子によるチャージアップによって高エネルギ
ーイオンの軌道が曲げられて衝突し、そこにはフロロカ
ーボンポリマーが存在しないために、高エネルギーイオ
ンによるエッチングが進行し、開口部よりも中央部分の
直径が大きくなって、ボーイングやネッキングが発生す
ることになる。
【0014】また、ホール上部にフロロカーボンポリマ
ーが過度に堆積することで、実質的なホール径が小さく
なり、高エネルギーイオンの入射角度が制限されて、先
細り形状となる。
【0015】また、コンタクトホールCHの底部には、
薄いフロロカーボンポリマーFP3しか形成されないの
で、ホール底部におけるエッチングの進行が速く、下地
膜との選択比の低下が発生する。
【0016】
【発明が解決しようとする課題】ボーイングが発生する
と、隣接するパターン(配線やコンタクトホール)とボ
ーイング部との間で短絡が発生したり、また、導体を埋
め込んでプラグを形成する場合にシームと呼称される埋
め込み不良が発生しやすくなる。
【0017】また、先細り形状が発生すると、接触すべ
き層との接触面積が減少し、電気抵抗の増加を招く。
【0018】また、下地膜との選択比の低下が発生する
と、下地膜の突き抜けが生じて、他の層(配線層等)と
の短絡が生じたり、下地膜が必要以上にエッチングされ
て、電気的特性が低下するなどの問題が発生する。
【0019】本発明は上記のような問題点を解消するた
めになされたもので、高アスペクト比のコンタクトホー
ルやトレンチの形成、また、キャパシタのストレージノ
ードの高アスペクト比の円筒ホールの形成において、ボ
ーイングやネッキング等の不具合が発生することを防止
して、良好な形状のホールを得ることができるエッチン
グ方法を提供することを目的とする。
【0020】
【課題を解決するための手段】本発明に係る請求項1記
載の半導体装置の製造方法は、半導体基板の主面上に配
設された絶縁膜上に、所定の開口パターンを有するエッ
チングマスクを形成する工程(a)と、前記エッチングマ
スクの開口パターンに合わせて、フロロカーボンガスを
用いて前記絶縁膜を選択的にエッチングする工程(b)と
を備え、前記工程(a)は、前記エッチングマスクを、1
00℃以上の温度条件下でも前記開口パターンが変形し
ない材質で形成する工程を含み、前記工程(b)は、前記
半導体基板の温度を、100℃以上にした状態で前記エ
ッチングを行う工程を含んでいる。
【0021】本発明に係る請求項2記載の半導体装置の
製造方法は、前記工程(b)が、前記半導体基板の前記主
面に達するように前記絶縁膜をエッチングする工程を含
み、前記工程(b)の後に、前記エッチングマスクの上部
全面に渡って導体膜を形成し、該導体膜でエッチング部
を埋め込む工程と、前記エッチングマスク上部の前記導
体膜および前記エッチングマスクを除去して、前記エッ
チング部内のみに前記導体膜を残す工程とをさらに備え
ている。
【0022】本発明に係る請求項3記載の半導体装置の
製造方法は、前記工程(b)が、前記半導体基板の前記主
面に達するように前記絶縁膜をエッチングする工程を含
み、前記工程(b)の後に、前記エッチングマスクの上部
全面に渡って導体膜を形成し、該導体膜でエッチング部
を埋め込む工程と、前記エッチングマスク上部の前記導
体膜および前記エッチングマスクを配線パターンに合わ
せて選択的に除去して、前記エッチング部内の前記導体
膜に電気的に接続される配線層を形成する工程と、をさ
らに備えている。
【0023】本発明に係る請求項4記載の半導体装置の
製造方法は、前記工程(b)の後に、前記エッチングマス
クの上部全面に渡って有機膜を形成し、該有機膜でエッ
チング部を埋め込む工程と、前記エッチングマスク上部
の前記有機膜および前記エッチングマスクを除去して、
前記エッチング部内のみに前記有機膜を残す工程と、前
記エッチング部内の前記有機膜を除去する工程とをさら
に備えている。
【0024】本発明に係る請求項5記載の半導体装置の
製造方法は、前記エッチングマスクをポリシリコン膜で
形成する工程を含んでいる。
【0025】本発明に係る請求項6記載の半導体装置の
製造方法は、前記工程(a)が、前記エッチングマスク
を、Ti、TiN、W、WSiおよびWNから選択され
る何れかで形成する工程を含んでいる。
【0026】本発明に係る請求項7記載の半導体装置の
製造方法は、前記工程(a)が、前記エッチングマスク
を、シリコン窒化膜あるいは絶縁性のカーボン膜で形成
する工程を含んでいる。
【0027】本発明に係る請求項8記載の半導体装置の
製造方法は、前記絶縁膜が、シリコン酸化膜である。
【0028】
【発明の実施の形態】<発明の概念>まず、本発明の概
念について言及する。発明者は、エッチング時の基板温
度を100℃以上にするとホールの形状異常の抑制や、
下地膜との選択比の向上に有効であるという認識を得る
に至った。しかし、従来からエッチングにおいて使用さ
れるレジスト材は高分子材料であり、耐熱性が低く、エ
ッチング時の基板温度を100℃以上にすると、レジス
トマスクが変形し、パターンを保てなくなる。また、レ
ジスト材や、下地膜から放出されるガスにより、レジス
トマスクが破損したり、剥がれたりする場合があった。
【0029】そこで、耐熱性、使い易さ、半導体装置へ
の影響を考慮した結果、従来からのレジスト材の代わり
に、ポリシリコンや窒化シリコン等のような、耐熱性に
優れた物質をレジストマスクとして用いて、エッチング
時の基板温度を100℃以上にするという技術的思想に
到達した。
【0030】以下、本発明に係る半導体装置の製造方法
の実施の形態について、製造工程を順に示す断面図であ
る図1〜図4を用いて説明する。
【0031】<A−1.製造方法>図1に示すように、
シリコン基板である半導体基板200上に、エッチング
ストッパであるシリコン窒化膜201を配設し、シリコ
ン窒化膜201上に層間絶縁膜としてシリコン酸化膜2
02を配設する。
【0032】なお、シリコン酸化膜202は、CVD
(chemical vapor deposition)法によりTEOS(tet
ra ethyl orthosilicate)にO2等の酸化剤を作用させ
て形成しても良いし、CVD法によりSiH4にN2Oを
添加して形成しても良い。また、ボロン(B)やリン
(P)を含んだシリコン酸化膜や、これらの多層膜で構
成しても良い。
【0033】そして、シリコン酸化膜202を被エッチ
ング膜とし、シリコン窒化膜201を下地膜としてエッ
チングを行うが、まず、シリコン酸化膜202の主面全
面に渡ってポリシリコン膜203を形成する。
【0034】次に、ポリシリコン膜203上に、ホール
パターンを有するレジストマスクRM1を写真製版(フ
ォトリソグラフィ)により形成し、レジストマスクRM
1のホールパターンに合わせてポリシリコン膜203を
パターニングする。図1においては、ポリシリコン膜2
03が開口部OPを有する構成を示している。
【0035】なお、ポリシリコン膜203のエッチング
は、層間絶縁膜に比べてポリシリコン膜203が薄く、
アスペクト比が小さいので、基板温度が100℃以下で
もホールの形状異常は発生せず、レジストマスクRM1
として従来からのレジスト材を用いても不都合は生じな
い。
【0036】ポリシリコン膜203のパターニング後
に、有機溶剤やプラズマアッシングによりレジストマス
クRM1を除去する。
【0037】次に、図2に示す工程において、パターニ
ングされたポリシリコン膜203をエッチングマスクと
し、基板温度を100℃以上にしてエッチングを行い、
形状異常を抑制したコンタクトホールCH1を形成す
る。
【0038】ここで、エッチング条件の一例として、2
周波平行平板型エッチング装置を用いた場合について説
明する。
【0039】2周波平行平板型エッチング装置は、平行
に配設された上部電極板(Top)と下部電極板(Bo
ttom)とを有し、それぞれの電極板に異なる周波数
の高周波(RF)電力を供給する構成となっている。
【0040】そして、上部電極板には周波数の高い(6
0MHz程度)RF電力を供給してプラズマ生成を行
い、半導体基板が搭載される下部電極板には周波数の低
い(2MHz程度)を供給して、半導体基板に入射する
イオンのエネルギー制御を行うことができる。
【0041】RF電力の供給例は、上部電極板/下部電
極板=2000/1500(W)、反応室内のガス圧力
は2Pa(パスカル)、ガス混合比(供給流量比)は、
58/O2/Ar=15/20/350(SCCM)であ
る。
【0042】ここで、C58ガスは近年において使用が
広まったガスであり、C48等に比べてC/F比が高く
(カーボンリッチ)、C48よりもレジストやシリコン
に対する選択性を高めることが可能なガスである。同様
な特性を有するガスとしては、C46がある。
【0043】なお、図2に示す工程においては、まず、
上述した条件でシリコン酸化膜202を貫通してシリコ
ン窒化膜201に達するホールを形成した後、当該ホー
ルの底部に露出するシリコン窒化膜201を、エッチン
グにより除去して半導体基板200に到達するコンタク
トホールCH1を得る。
【0044】この場合、シリコン窒化膜201のエッチ
ング条件では、シリコン基板である半導体基板200
や、ポリシリコン膜203のエッチングは殆ど進行せ
ず、シリコン窒化膜201が除去されることになる。
【0045】次に、図3に示す工程において、ポリシリ
コン膜203の全面に渡ってポリシリコン膜205を形
成することで、コンタクトホールCH1内にポリシリコ
ン膜205を埋め込む。
【0046】その後、図4に示す工程において、CMP
(chemical mechanical polishing)やドライエッチン
グによって、ポリシリコン膜203上のポリシリコン膜
205およびポリシリコン膜203を除去し、コンタク
トホールCH1内のみにポリシリコン膜205を残し
て、導体プラグとして使用する。
【0047】この後、図5に示す工程において、シリコ
ン酸化膜202上全面に、ポリシリコンあるいはタング
ステン(W)等の導体で構成される導体膜206を配設
し、導体膜206上にレジストマスクRM2を写真製版
によりパターニングする。レジストマスクRM2は、シ
リコン酸化膜202上に形成すべき配線層のパターンを
有しており、レジストマスクRM2をエッチングマスク
として、ドライエッチングにより導体膜206をパター
ニングすることで、図6に示すように所望の配線層20
7を得る。
【0048】なお、図4を用いて説明した工程では、ポ
リシリコン膜203上に全面的に形成されたポリシリコ
ン膜205を、CMP等で除去する例を示したが、ポリ
シリコン膜205およびエッチングマスクとして用いた
ポリシリコン膜203を配線層として用いるようにして
も良い。
【0049】すなわち、図7に示すように、ポリシリコ
ン膜203上に全面的に形成されたポリシリコン膜20
5の上部に、レジストマスクRM3を写真製版によりパ
ターニングする。レジストマスクRM3は、シリコン酸
化膜202上に形成すべき配線層のパターンを有してお
り、レジストマスクRM3をエッチングマスクとして、
ドライエッチングによりポリシリコン膜205および2
03をパターニングすることで、図8に示すように所望
の配線層208を得る。なお、配線層208はポリシリ
コン膜205および203の多層構造となっている。
【0050】<A−2.形状異常抑制のメカニズム>以
上説明したように、アスペクト比の大きなコンタクトホ
ールCH1の形成には、エッチングマスクとしてポリシ
リコン膜203を用いることで、基板温度を100℃以
上にしてエッチングを行うことが可能となり、形状異常
を抑制することができる。以下、このメカニズムについ
て説明する。
【0051】図9に、基板温度100℃以上でのドライ
エッチングにより得られるコンタクトホールCH1の、
フロロカーボンポリマーの堆積状態を模式的に示す。な
お、フロロカーボンポリマーは最終的には有機溶剤等で
除去されるのでコンタクトホールCH1内には残留しな
いが、図9においては除去前の状態を示している。
【0052】図9に示すように、基板温度を100℃以
上にすると、CやCFなどのラジカルの吸着確率が低下
し、基板温度が低い場合にはホール上部側壁部分とすぐ
に反応して吸着していたラジカルが、コンタクトホール
CH1の内部深くまで到達するようになり、エッチング
に対する保護膜となるフロロカーボンポリマーが、コン
タクトホールCH1の内壁全面に均一に堆積するように
なる。
【0053】すなわち、図9において、レジストマスク
203の上部およびコンタクトホールCH1の内壁に全
面には、フロロカーボンポリマーFP11およびFP1
2が形成され、また、コンタクトホールCH1の底部に
は、比較的厚いフロロカーボンポリマーFP13が形成
されている。
【0054】この結果、内壁全面がArイオンなどの高
エネルギーイオンによる過剰なエッチングから保護さ
れ、開口部よりも中央部分の直径が大きくなるボーイン
グを防ぐことができ、また、ボーイングを防ぐことで、
開口部の直径が中央部分よりも狭くなるネッキングも防
止できる。
【0055】また、ホール上部においては、フロロカー
ボンポリマーが厚く堆積しないので、実質的なホール径
が小さくなることが防止され、高エネルギーイオンの入
射角度が制限されないので、ホールが先細り形状になる
ことが防止される。
【0056】さらに、ホール底部には、CやCFなどの
ラジカルが最終的に到達し、フロロカーボンポリマーの
厚さが厚くなり、高エネルギーイオンによるエッチング
に対する保護能力が向上して、ホール底部におけるエッ
チングの進行が阻まれ、下地膜との選択比を向上でき
る。これが、形状異常の抑制および、下地膜のエッチン
グ選択比向上のメカニズムである。
【0057】ここで、図9に示したフロロカーボンポリ
マーの堆積モデルの根拠となるデータについて、以下に
図10〜図14を用いて説明する。
【0058】図10はコンタクトホールCH1が形成さ
れた部分の断面構成を示しており、図10に示す破線部
分は、オージェ電子分光(FE-AES)測定のために半導体
基板200を劈開する位置を示している。
【0059】半導体基板200の劈開により、コンタク
トホールCH1の内壁面が露出した状態で、図11に示
すように、内壁面に対して45度の角度で電子ビームを
照射することで、元素の種類に対応したオージェ電子が
放出され、オージェ電子分光測定を行うことができる。
【0060】そして、電子ビームを、ポリシリコン膜2
03の開口部からホール底部にかけてスキャンし、各位
置でのオージェ電子を測定することで、ホール側壁から
ホール底部にかけての元素分布(ラインプロファイル)
を得ることができる。
【0061】図12、図13および図14に、それぞれ
基板温度80℃、100℃および120℃で形成したコ
ンタクトホールのオージェ電子分光測定のラインプロフ
ァイルを示す。
【0062】図12〜図14においては、横軸にコンタ
クトホールの深さ方向における測定位置(μm)を示
し、縦軸に電子強度(cps:カウント数)を示す。ま
た、各図においては、シリコン(Si)、酸素(O)、
炭素(C)からのオージェ電子のプロファイルを示して
いる。
【0063】図12〜図14において炭素(C)のプロ
ファイルに着目すると、基板温度が80℃の場合には、
ホール上部およびホール底部においてのみ炭素が検出さ
れているが、基板温度が100℃の場合には、ホール中
央部においても炭素が検出され、基板温度が120℃の
場合には、ホール中央部の炭素量が有意な量にまで増加
し、ホール上部の炭素量が減少して、ホール内壁におい
て比較的均一に炭素が分布していることが判る。
【0064】これは、ホール内壁にほぼ均一にフロロカ
ーボンポリマーが堆積していることを意味しており、図
9に示すフロロカーボンポリマーの堆積モデルの根拠で
ある。
【0065】また、ホール底部においては、基板温度が
高いほど炭素のピークが高くなっているが、これは、こ
れは高温化によって炭素ラジカルがアスペクト比の高い
ホール底部まで到達することができるようになり、ホー
ル底部でのイオンアシストによるフロロカーボンポリマ
ーの堆積が促進されるためである。なお、図12〜図1
4から、コンタクトホールCH1の深さは2.4μm程
度であることが判る。
【0066】次に、前述した2周波平行平板型エッチン
グ装置を用いた場合の、基板温度と、コンタクトホール
のボーイング量との関係を図15に示す。図15におい
ては、横軸に基板温度(℃)を、縦軸にボーイング量
(nm)を示す。なお、以下の説明において、ボーイン
グ量はボーイング部の直径からネッキング部の直径を引
いた値として定義する。
【0067】また、図15においては、エッチングマス
クの開口部の直径を0.23μm、0.32μm、0.
52μmとした場合のデータを、それぞれ、●、■、▲
のマークで示している。
【0068】図15から判るように、開口部の直径が
0.32μm以下の場合(すなわち、アスペクト比が8
以上の場合)にはボーイングが発生しているが、基板温
度を上げることでボーイング量が減少している。そし
て、基板温度を100℃以上にすることで、問題になら
ない程度までボーイング量を低減できる。
【0069】なお、開口部の直径が0.52μmの場
合、すなわち、アスペクト比が4程度であればボーイン
グは発生していないが、ボーイングの発生は、エッチン
グ条件によっても変わり、本発明の適用はアスペクト比
が3以上の場合に有効であると言える。
【0070】また、図16に、エッチングマスクの開口
部の直径が0.23μmの場合の、基板温度と、ホール
底部における下地膜(シリコン窒化膜)のエッチング選
択比との関係を示す。図16においては、横軸に基板温
度(℃)を、左側縦軸にシリコン窒化膜のエッチレート
(nm/min)を、右側縦軸に下地膜のエッチング選
択比(Si34のエッチレートに対するSiO2のエッ
チレートの比率:SiO2/Si34)を示す。
【0071】図16から判るように、基板温度が高まる
と、シリコン窒化膜のエッチレートは低下し、下地膜の
エッチング選択比は向上する。
【0072】次に、ホール内壁に付着する炭素の付着量
とコンタクトホールのボーイング量との関係を図17に
示す。
【0073】図17においては、横軸に炭素の付着量
(cps)を、縦軸にボーイング量(nm)を示し、炭
素の付着量は、オージェ電子分光測定によって得てい
る。
【0074】また、図17においては、エッチングマス
クの開口部の直径を0.23μm、0.32μm、0.
52μmとした場合のデータを、それぞれ、●、■、▲
のマークで示している。
【0075】図17から、開口部の直径が0.32μm
以下の場合にはボーイングが発生し、ホール内壁に付着
している炭素量が多いほどボーイング量が少なくなっ
て、垂直な形状が得られることが判る。
【0076】また、ホール底部に付着する炭素量と、下
地膜のエッチング選択比との関係を図18に示す。
【0077】図18においては、横軸に炭素量(cp
s)を、縦軸に下地膜のエッチング選択比(Si34
エッチレートに対するSiO2のエッチレートの比率:
SiO2/Si34)を示す。
【0078】また、図18においては、エッチングマス
クの開口部の直径を0.23μm、0.32μm、0.
52μmとした場合のデータを、それぞれ、●、■、▲
のマークで示している。
【0079】図18から、ホール底部に付着している炭
素量が多いほど、下地膜のエッチング選択比が大きくな
っていることが判る。
【0080】図15〜図18に示すデータによっても、
先に説明した形状異常の抑制および、下地膜のエッチン
グ選択比向上のメカニズムが正しいことが判る。
【0081】以上説明したように、基板温度は100℃
以上、有効な効果が得られるには120℃以上にするこ
とが望ましいが、実効的な温度の上限は200℃程度で
あり、また最高温度は、半導体基板内に形成された不純
物層の必要以上の拡散防止等を考慮すると500℃程度
となる。
【0082】<A−3.変形例1>エッチングマスクが
ポリシリコン膜である場合、シリコン基板である半導体
基板200を下地とすると、エッチングマスクをエッチ
ングで除去する際に、コンタクトホールCH1の底部の
半導体基板200まで除去されてしまうので、図3およ
び図4を用いて説明したように、コンタクトホールCH
1内に導体プラグを埋め込んだ後、CMPやドライエッ
チングによって、エッチングマスクを除去するという手
法を採る。
【0083】しかし、コンタクトホールCH1内に充填
物を詰めずに、エッチングマスクをエッチングで除去す
るようにしても良い。この方法について、図19〜図2
3を用いて説明する。
【0084】すなわち、図19に示す工程において、パ
ターニングされたポリシリコン膜203をエッチングマ
スクとし、基板温度を100℃以上にしてエッチングを
行い、形状異常を抑制したコンタクトホールCH1を形
成する。ただし、この工程ではシリコン酸化膜202の
みが選択的に除去される条件でエッチングを行い、コン
タクトホールCH1の底部にはシリコン窒化膜201を
残す。
【0085】次に、図20に示す工程において、エッチ
ングマスクであるポリシリコン膜203のみが選択的に
除去される条件でエッチングを行い、ポリシリコン膜2
03を除去する。このとき、シリコン基板である半導体
基板200はシリコン窒化膜201で保護されているの
で、エッチングされることはない。
【0086】その後、図21に示す工程において、シリ
コン窒化膜201のみが選択的に除去される条件、例え
ばCHF3ガスにO2とArを混ぜたエッチングガス、あ
るいは、CF4ガスにO2とArを混ぜたエッチングガス
を用いてエッチングを行い、シリコン窒化膜201を除
去する。
【0087】次に、図22に示す工程において、シリコ
ン酸化膜202の全面に渡ってポリシリコン膜209を
形成することで、コンタクトホールCH1内にポリシリ
コン膜209を埋め込む。
【0088】その後、図23に示す工程において、CM
Pやドライエッチングによって、シリコン酸化膜202
上のポリシリコン膜209を除去し、コンタクトホール
CH1内のみにポリシリコン膜209を残して、導体プ
ラグとして使用する。
【0089】<A−4.変形例2>また、図3および図
4を用いて説明したように、エッチングマスクの除去の
ためにコンタクトホールCH1内に導体プラグを埋め込
むのではなく、図24〜図27に示す方法を採用しても
良い。
【0090】すなわち、図24に示す工程においてパタ
ーニングされたポリシリコン膜203をエッチングマス
クとし、基板温度を100℃以上にしてエッチングを行
い、形状異常を抑制したコンタクトホールCH1を形成
する。ただし、この工程ではシリコン酸化膜202のみ
が選択的に除去される条件でエッチングを行い、コンタ
クトホールCH1の底部にはシリコン窒化膜201を残
す。
【0091】そして、ポリシリコン膜203の全面に渡
って有機レジスト等の有機膜ORを形成することで、コ
ンタクトホールCH1内に有機膜ORを埋め込む。有機
膜ORとして有機レジストを用いた場合は、写真製版に
よりパターニングして、コンタクトホールCH1内のみ
に有機膜ORを残すようにしても良い(図25)。
【0092】次に、図26に示す工程において、CMP
やドライエッチングによって、エッチングマスクである
ポリシリコン膜203を除去する。このとき、コンタク
トホールCH1内には有機膜ORが充填されているの
で、シリコン基板である半導体基板200は有機膜OR
で保護され、エッチングされることはない。
【0093】その後、図27に示す工程において、酸素
プラズマによってアッシングすることで有機膜ORを除
去する。以後は、例えば、図21〜図23を用いて説明
した工程を経て、コンタクトホールCH1内に導体プラ
グを埋め込むようにすれば良い。
【0094】<A−5.変形例3>以上説明した実施の
形態およびその変形例においては、ポリシリコン膜をエ
ッチングマスクとして使用する例を示したが、シリコン
酸化膜等で構成される層間絶縁膜との選択比が十分と
れ、半導体基板を100℃以上にしても変形しない材質
であれば、他の材料を使用しても良い。
【0095】例えば、Ti(チタン)、TiN(窒化チ
タン)、W(タングステン)、WSi(タングステンシ
リサイド)、WN(窒化タングステン)等の導電材を用
いても良い。これらは導電性を有するので、配線層に転
用することができる。また、シリコン窒化膜や絶縁性の
カーボン膜等の絶縁材を用いても良い。なお、カーボン
にシリコンを含めば、導電性を有することになるが、絶
縁材でも導電材でも、本発明の作用効果に変わりはな
い。
【0096】絶縁材を用いた場合には、マスク材が完全
に除去されずに残った場合でも、配線間等で短絡などの
不具合が発生しないという利点がある。
【0097】<A−6.変形例4>以上説明した実施の
形態およびその変形例においては、被エッチング膜とし
てシリコン酸化膜を例示したが、フロロカーボンガスで
エッチング可能であれば、シリコン酸化膜以外であって
も本発明の適用が可能である。
【0098】例えば、SiOC、HSQ(hydrogen sil
sesqunioxane)、MSQ(methylsesqunioxane)等の低
誘電率(Low-k)の層間絶縁膜に対して本発明は有効で
ある。なお、これらをポーラス化した膜に対しても有効
である。
【0099】これらの材料は、SiO2をベースにSi
−O結合の一部を、HやCH3でターミネイトした構造
であるので、SiO2と同様にフロロカーボンガスでエ
ッチング可能である。
【0100】
【発明の効果】本発明に係る請求項1記載の半導体装置
の製造方法によれば、エッチングマスクを、100℃以
上の温度条件下でも開口パターンが変形しない材質で形
成し、半導体基板の温度を、100℃以上にした状態で
エッチングを行うので、エッチング部の内壁全面および
エッチング部の底部に、フロロカーボンポリマーが形成
される。この結果、エッチング部の内壁全面が高エネル
ギーイオンによる過剰なエッチングから保護され、開口
部よりも中央部分の直径が大きくなるボーイングや、開
口部の直径が中央部分よりも狭くなるネッキング等の形
状異常を抑制することができる。
【0101】本発明に係る請求項2記載の半導体装置の
製造方法によれば、エッチングマスクの上部全面に渡っ
て導体膜を形成し、該導体膜でエッチング部を埋め込ん
だ後、エッチングマスク上部の導体膜およびエッチング
マスクを除去するので、エッチングマスクの除去に際し
て半導体基板の主面がエッチングされることが防止さ
れ、また、エッチング部内の導体膜は導体プラグとして
使用することができる。
【0102】本発明に係る請求項3記載の半導体装置の
製造方法によれば、エッチングマスクの上部全面に渡っ
て導体膜を形成し、該導体膜でエッチング部を埋め込ん
だ後、エッチングマスク上部の導体膜およびエッチング
マスクを配線パターンに合わせて選択的に除去して、エ
ッチング部内の導体膜に電気的に接続される配線層を形
成するので、改めて配線層を形成する場合に比べて、工
程を簡略化できる。
【0103】本発明に係る請求項4記載の半導体装置の
製造方法によれば、有機膜でエッチング部を埋め込んだ
後、エッチングマスクを除去するので、エッチングマス
クの除去に際して半導体基板の主面がエッチングされる
ことが防止される。
【0104】本発明に係る請求項5記載の半導体装置の
製造方法によれば、エッチングマスクをポリシリコン膜
で形成するので、100℃以上の温度条件下でも開口パ
ターンが変形せず、半導体基板の温度を、100℃以上
にした状態でエッチングを行うことができる。
【0105】本発明に係る請求項6記載の半導体装置の
製造方法によれば、エッチングマスクを、Ti、Ti
N、W、WSiおよびWNの何れかで形成するので、1
00℃以上の温度条件下でも開口パターンが変形せず、
半導体基板の温度を、100℃以上にした状態でエッチ
ングを行うことができる。また、これらは導電性を有す
るので、配線層に転用することができる。
【0106】本発明に係る請求項7記載の半導体装置の
製造方法によれば、エッチングマスクを、シリコン窒化
膜あるいは絶縁性のカーボン膜で形成するので、100
℃以上の温度条件下でも開口パターンが変形せず、半導
体基板の温度を、100℃以上にした状態でエッチング
を行うことができる。また、これらは絶縁性を有するの
で、エッチングマスクの除去に際して残留しても、電気
的短絡などの原因とならない。
【0107】本発明に係る請求項8記載の半導体装置の
製造方法によれば、絶縁膜が、シリコン酸化膜であるの
で、フロロカーボンガスによるエッチングが可能で、半
導体基板の温度を、100℃以上にした状態でエッチン
グを行うことで、エッチング部の形状異常を抑制するこ
とができる。
【図面の簡単な説明】
【図1】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図2】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図3】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図4】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図5】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図6】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図7】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図8】 本発明に係る実施の形態の半導体装置の製造
工程を説明する断面図である。
【図9】 本発明に係る実施の形態の半導体装置の製造
方法で形成したコンタクトホールの、フロロカーボンポ
リマーの堆積状態を模式的に示す図である。
【図10】 コンタクトホールのオージェ電子分光測定
を説明する図である。
【図11】 コンタクトホールのオージェ電子分光測定
を説明する図である。
【図12】 コンタクトホールのオージェ電子分光測定
の結果を説明する図である。
【図13】 コンタクトホールのオージェ電子分光測定
の結果を説明する図である。
【図14】 コンタクトホールのオージェ電子分光測定
の結果を説明する図である。
【図15】 基板温度と、コンタクトホールのボーイン
グ量との関係を示す図である。
【図16】 基板温度と、ホール底部における下地膜の
エッチング選択比との関係を示す図である。
【図17】 ホール内壁に付着する炭素の付着量とコン
タクトホールのボーイング量との関係を示す図である。
【図18】 ホール底部に付着する炭素量と、下地膜の
エッチング選択比との関係を示す図である。
【図19】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図20】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図21】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図22】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図23】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図24】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図25】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図26】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図27】 本発明に係る実施の形態の半導体装置の製
造工程の変形例を説明する断面図である。
【図28】 従来の半導体装置の製造方法で形成したコ
ンタクトホールの、形状異常を説明する図である。
【図29】 従来の半導体装置の製造方法で形成したコ
ンタクトホールの、フロロカーボンポリマーの堆積状態
を模式的に示す図である。
【符号の説明】
202 シリコン酸化膜、203 ポリシリコン膜、C
H1 コンタクトホール。
フロントページの続き Fターム(参考) 4M104 AA01 CC01 DD08 DD16 EE14 HH14 5F004 BA04 BB12 BB26 CA04 DA00 DA23 DA26 DB01 DB03 EA03 EA05 EA07 EA13 EA28 EB01 EB03 EB05 5F033 KK01 QQ09 QQ10 QQ13 QQ15 QQ21 QQ25 QQ27 QQ28 QQ35 QQ37 QQ48 RR01 RR04 RR06 RR15 SS04 SS11 WW03 XX04

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 (a)半導体基板の主面上に配設された絶
    縁膜上に、所定の開口パターンを有するエッチングマス
    クを形成する工程と、 (b)前記エッチングマスクの開口パターンに合わせて、
    フロロカーボンガスを用いて前記絶縁膜を選択的にエッ
    チングする工程と、を備え、 前記工程(a)は、 前記エッチングマスクを、100℃以上の温度条件下で
    も前記開口パターンが変形しない材質で形成する工程を
    含み、 前記工程(b)は、 前記半導体基板の温度を、100℃以上にした状態で前
    記エッチングを行う工程を含む、半導体装置の製造方
    法。
  2. 【請求項2】 前記工程(b)は、 前記半導体基板の前記主面に達するように前記絶縁膜を
    エッチングする工程を含み、 前記工程(b)の後に、 前記エッチングマスクの上部全面に渡って導体膜を形成
    し、該導体膜でエッチング部を埋め込む工程と、 前記エッチングマスク上部の前記導体膜および前記エッ
    チングマスクを除去して、前記エッチング部内のみに前
    記導体膜を残す工程と、をさらに備える、請求項1記載
    の半導体装置の製造方法。
  3. 【請求項3】 前記工程(b)は、 前記半導体基板の前記主面に達するように前記絶縁膜を
    エッチングする工程を含み、 前記工程(b)の後に、 前記エッチングマスクの上部全面に渡って導体膜を形成
    し、該導体膜でエッチング部を埋め込む工程と、 前記エッチングマスク上部の前記導体膜および前記エッ
    チングマスクを配線パターンに合わせて選択的に除去し
    て、前記エッチング部内の前記導体膜に電気的に接続さ
    れる配線層を形成する工程と、をさらに備える、請求項
    1記載の半導体装置の製造方法。
  4. 【請求項4】 前記工程(b)の後に、 前記エッチングマスクの上部全面に渡って有機膜を形成
    し、該有機膜でエッチング部を埋め込む工程と、 前記エッチングマスク上部の前記有機膜および前記エッ
    チングマスクを除去して、前記エッチング部内のみに前
    記有機膜を残す工程と、 前記エッチング部内の前記有機膜を除去する工程と、を
    さらに備える、請求項1記載の半導体装置の製造方法。
  5. 【請求項5】 前記工程(a)は、 前記エッチングマスクをポリシリコン膜で形成する工程
    を含む、請求項1ないし請求項4の何れかに記載の半導
    体装置の製造方法。
  6. 【請求項6】 前記工程(a)は、 前記エッチングマスクを、Ti、TiN、W、WSiお
    よびWNから選択される何れかで形成する工程を含む、
    請求項1ないし請求項4の何れかに記載の半導体装置の
    製造方法。
  7. 【請求項7】 前記工程(a)は、 前記エッチングマスクを、シリコン窒化膜あるいは絶縁
    性のカーボン膜で形成する工程を含む、請求項1ないし
    請求項4の何れかに記載の半導体装置の製造方法。
  8. 【請求項8】 前記絶縁膜は、シリコン酸化膜である、
    請求項1記載の半導体装置の製造方法。
JP2001332291A 2001-10-30 2001-10-30 半導体装置の製造方法 Pending JP2003133293A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001332291A JP2003133293A (ja) 2001-10-30 2001-10-30 半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001332291A JP2003133293A (ja) 2001-10-30 2001-10-30 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2003133293A true JP2003133293A (ja) 2003-05-09

Family

ID=19147728

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001332291A Pending JP2003133293A (ja) 2001-10-30 2001-10-30 半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JP2003133293A (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006128245A (ja) * 2004-10-27 2006-05-18 Sony Corp 絶縁膜の加工方法
JP2006278436A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム、コンピュータ記録媒体及び処理レシピが記録された記録媒体
JP2013529838A (ja) * 2010-06-11 2013-07-22 東京エレクトロン株式会社 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法
WO2014002965A1 (ja) * 2012-06-25 2014-01-03 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP2016225436A (ja) * 2015-05-29 2016-12-28 東京エレクトロン株式会社 エッチング方法
JP2016225437A (ja) * 2015-05-29 2016-12-28 東京エレクトロン株式会社 エッチング方法
WO2018022142A1 (en) * 2016-07-29 2018-02-01 Applied Materials, Inc. Performing decoupled plasma fluorination to reduce interfacial defects in film stack
WO2022019103A1 (ja) * 2020-07-20 2022-01-27 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP7142611B2 (ja) 2014-06-16 2022-09-27 東京エレクトロン株式会社 処理装置

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006128245A (ja) * 2004-10-27 2006-05-18 Sony Corp 絶縁膜の加工方法
JP2006278436A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム、コンピュータ記録媒体及び処理レシピが記録された記録媒体
JP4663368B2 (ja) * 2005-03-28 2011-04-06 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2013529838A (ja) * 2010-06-11 2013-07-22 東京エレクトロン株式会社 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法
JP2017005268A (ja) * 2010-06-11 2017-01-05 東京エレクトロン株式会社 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法
KR102151278B1 (ko) * 2012-06-25 2020-09-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
WO2014002965A1 (ja) * 2012-06-25 2014-01-03 東京エレクトロン株式会社 エッチング方法及びエッチング装置
KR20150024316A (ko) * 2012-06-25 2015-03-06 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
US9396968B2 (en) 2012-06-25 2016-07-19 Tokyo Electron Limited Etching method and etching apparatus
JP7142611B2 (ja) 2014-06-16 2022-09-27 東京エレクトロン株式会社 処理装置
JP2016225437A (ja) * 2015-05-29 2016-12-28 東京エレクトロン株式会社 エッチング方法
JP2016225436A (ja) * 2015-05-29 2016-12-28 東京エレクトロン株式会社 エッチング方法
WO2018022142A1 (en) * 2016-07-29 2018-02-01 Applied Materials, Inc. Performing decoupled plasma fluorination to reduce interfacial defects in film stack
WO2022019103A1 (ja) * 2020-07-20 2022-01-27 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JPWO2022019103A1 (ja) * 2020-07-20 2022-01-27
JP7413542B2 (ja) 2020-07-20 2024-01-15 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Similar Documents

Publication Publication Date Title
US6083844A (en) Techniques for etching an oxide layer
JP5178983B2 (ja) 有機ケイ酸塩ガラスにデュアルダマシン構造をエッチングするための方法
US6287961B1 (en) Dual damascene patterned conductor layer formation method without etch stop layer
US9607883B2 (en) Trench formation using rounded hard mask
US7056823B2 (en) Backend metallization method and device obtained therefrom
US6605855B1 (en) CVD plasma process to fill contact hole in damascene process
US7276450B2 (en) Etching processes using C4F8 for silicon dioxide and CF4 for titanium nitride
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
JP2001358218A (ja) 有機膜のエッチング方法及び素子の製造方法
JP2002009058A (ja) エッチング方法
JP2003133293A (ja) 半導体装置の製造方法
US6645864B1 (en) Physical vapor deposition of an amorphous silicon liner to eliminate resist poisoning
US7723229B2 (en) Process of forming a self-aligned contact in a semiconductor device
JPH08186111A (ja) 接続孔の形成方法
US6236091B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US20060094220A1 (en) Methods of forming a metal line in a semiconductor device
US6803307B1 (en) Method of avoiding enlargement of top critical dimension in contact holes using spacers
KR20120091472A (ko) 아산화질소를 사용하는 에치백 프로세스
US20020187638A1 (en) Method for fabricating semiconductor device
JP2001250817A (ja) ドライエッチング方法及び半導体装置の製造方法
JP2005005697A (ja) 半導体装置の製造方法
KR20100003079A (ko) 반도체 장치 제조방법
JPH10144633A (ja) 半導体装置の製造方法
US6335275B1 (en) Method for forming contact holes and semiconductor device fabricated using the same
WO2021021456A1 (en) Method for using ultra thin ruthenium metal hard mask for etching profile control