DE112004001402T5 - System zum Prozessieren eines Behandlungsobjekts - Google Patents

System zum Prozessieren eines Behandlungsobjekts Download PDF

Info

Publication number
DE112004001402T5
DE112004001402T5 DE112004001402T DE112004001402T DE112004001402T5 DE 112004001402 T5 DE112004001402 T5 DE 112004001402T5 DE 112004001402 T DE112004001402 T DE 112004001402T DE 112004001402 T DE112004001402 T DE 112004001402T DE 112004001402 T5 DE112004001402 T5 DE 112004001402T5
Authority
DE
Germany
Prior art keywords
treatment object
energy
radiated
chamber
way
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112004001402T
Other languages
English (en)
Other versions
DE112004001402B4 (de
Inventor
Paul J. Mountain View Timans
Daniel J. Los Gatos Devine
Young Jai Sunnyvale Lee
Yao Zhi San Jose Hu
Peter C. Petaluma Bordiga
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of DE112004001402T5 publication Critical patent/DE112004001402T5/de
Application granted granted Critical
Publication of DE112004001402B4 publication Critical patent/DE112004001402B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, wobei das System folgendes aufweist:
eine Heizanordnung zum Heizen des Behandlungsobjekts unter Verwendung einer von der Heizanordnung abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; und
ein eine Kammer definierendes Mittel zur Verwendung beim Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie während das Behandlungsobjekt innerhalb der Behandlungskammer derart getragen ist, dass ein erster Bruchteil der von der Heizanordnung abgestrahlten Energie und ein zweiter Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie auf eine Wandanordnung auftreffen, die einen Teil des die Kammer definierenden Mittels bildet und wenigstens ein Teil dieser Wandanordnung konfiguriert ist, um auf eine erste Weise auf einen Hauptteil des ersten Bruchteils der von der Heizanordnung abgestrahlten...

Description

  • Hintergrund
  • Die vorliegende Offenbarung betrifft allgemein das Feld der Wärmeprozessierung eines Behandlungsobjekts wie zum Beispiel eines Halbleitersubstrats und insbesondere eine Prozesskammer, die ein selektives Reflexionsprofil zur Verwendung bei einer solchen Wärmeprozessierung hat. In einem Aspekt offenbart die Schrift eine Prozesskammer selektiven Reflexionsvermögens mit angepasstem Wellenlängenansprechverhalten und einem zugehörigen Verfahren.
  • Thermisches Prozessieren von Behandlungsobjekten wie zum Beispiel Halbleitersubstraten erfordert für gewöhnlich anfängliches Rampen (Fachausdruck für kontrollierte Temperaturänderungen) der Substrattemperatur auf eine hohe Temperatur in einer Prozesskammer, so dass ein Prozess bei dieser hohen Temperatur ausgeführt werden kann. In einem so genannten „Soak"-Prozess wird das Substrat auf einer gegebenen Prozesstemperatur für eine bestimmte Zeit gehalten und wird dann mit der Temperatur zum Entladen aus der Prozesskammer herabgerampt.
  • Typische Prozesse umfassen Tempern von Ionenimplantationsschäden, Silizidbildung, Oxidation, Filmabscheidung und Verdichtung oder Rückfluss abgeschiedener Filme. Bei einigen Prozessen ist es wünschenswert, die Zeit bei der hohen Temperatur zu minimieren. Dies kann damit erreicht werden, was in der Fachwelt im Allgemeinen als ein „Spike" bzw. „Spitzen-" oder ein Ultra-Shallow-Junction-(USJ)-Prozess bezeichnet wird, bei dem das Substrat auf eine bestimmte Temperatur hochgerampt wird und es ihm unmittelbar danach erlaubt wird, abzukühlen. Es wurde gefunden, dass diese Art von Prozess zum Tempern von Ionenimplantationsschäden sehr nützlich ist, die mit einem implantierten Dotierstoff verbunden sind. Das heißt, dass die Wärmebehandlung zum einen dazu dient, um die elektrische Aktivierung der implantierten Spezies zu maximieren, während sie zum anderen die Diffusion des Dotierstoffes minimiert. Es wird im Allgemeinen im Stand der Technik akzeptiert, dass diese Ziele konkurrierende Interessen aufweisen und dass der Spiketemperprozess die am besten bekannten Kompromisse in Bezug auf die Optimierung dieser beiden konkurrierenden Ziele zu liefern scheint. Als ein Beispiel können ultraflache p-n-Übergänge durch die Kombination von niederenergetischer Ionenimplantation mit Spiketempern gebildet werden. Die Übergänge haben die wünschenswerten Eigenschaften flacher Übergangstiefen (typischerweise <~40nm) als ein Ergebnis der minimalen Zeit bei hoher Temperatur und niedrige Schichtwiderstände (typischerweise <800Ω/sq.) als ein Ergebnis der hohen Temperatur, die zum Aktivieren des Dotierstoffes verwendet wird.
  • Die Eigenschaften des Spikeprozesses werden manchmal in Ausdrücken der Spitzentemperatur und der Breite der Spitze bzw. des Spikes beschrieben, die oft durch die Zeit bestimmt werden, die oberhalb einer Schwellentemperatur verbracht wird, und die durch (Spitzentemperatur – ΔT°C) definiert ist, wobei ΔT für gewöhnlich auf 50, 100 oder 200°C gesetzt wird. Manchmal wird der Prozess auch durch eine Herauframp-Rate und eine Abkühlrate charakterisiert. Es ist offensichtlich, dass die Ramp- und Kühlraten typischerweise die Spitzenbreite des Spikeprozesses beeinflussen. Erfolgreiches Spiketempern, das die flachsten Übergänge mit den niedrigsten Schichtwiderständen ergibt, erfordert oft höhere Spitzentemperaturen und engere Spitzenbreiten. Dies ist insbesondere für die Bildung von Übergängen bei Verwendung von Bor-Dotierung wichtig, wo beträchtliche Vorteile durch Spiketemperungen gewonnen werden können. Ein typischer Spikeprozess kann eine Spitzentemperatur von 1050°C und eine Spitzenbreite von ungefähr 1,7s für ΔT = 50°C haben.
  • Es wird vorgebracht, dass zukünftige Bausteintechnologien weitere Reduktionen der Übergangstiefe und des Schichtwiderstandes erfordern werden und es daher klar ist, dass verbesserte RTP-Spikeprozesse benötigt werden. Der erwartete Trend geht dahin, dass neue Prozesse eine höhere Prozesstemperatur vorgeben werden, die von einer engeren Spitzenbreite begleitet wird. Die vorliegende Erfindung beschreibt eine sehr vorteilhafte Annäherung an die Implementierung eines solchen neuen Prozesses, während sie noch weitere Vorteile liefert.
  • Temperaturmessungen in RTP-Systemen, wie sie oben beschrieben sind, sind in Bezug auf die Prozessbeobachtung und -steuerung kritisch. Wenn Strahlungspyrometer verwendet werden, um zum Beispiel die Wafertemperatur zu messen, wird der Wafer mit dem Pyrometer durch eine Öffnung in der Prozesskammerwand beobachtet. In einigen Fällen kann es nützlich sein, diese Kammerwand bei der Pyrometerwellenlänge hochreflexiv zu machen, weil diese Bedingung dazu neigt, das effektive Emissionsvermögen des Wafers bei der Pyrometerwellenlänge zu erhöhen. Dieser Effekt macht die Emission des Wafers derjenigen eines Schwarzkörperstrahlers ähnlicher. Als ein Ergebnis davon sind die Pyrometermesswerte weniger sensitiv für Temperaturmessfehler, die sich aus unzureichendem Wissen über das spektrale Emissionsvermögen des Wafers bei der Pyrometerwellenlänge ergeben. Dies ist ein wohlbekanntes Prinzip zum Reduzieren von Temperaturmessfehlern in der Pyrometrie. Unglücklicherweise kann Anpassen dieser Emissivitätscharakteristik in Bezug auf die Pyrometrie andere wichtige Aspekte des RTP-Systembetriebes nachteilig beeinflussen. Es wird erachtet, dass die vorliegende Erfindung diese Schwierigkeit löst, wie unten beschrieben werden wird, während sie noch weitere Vorteile liefert.
  • Zusammenfassung
  • Wie nachfolgend genauer diskutiert werden wird, sind hierin ein System und ein zugehöriges Verfahren zum Prozessieren eines Behandlungsobjekts offenbart, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, um eine von dem Behandlungsobjekt abgestrahlte Energie zu erzeugen. Das System umfasst eine Heizanordnung zum Heizen des Behandlungsobjekts, die eine von einer Heizquelle abgestrahlte Energie verwendet, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebe nen Emissionsspektrum des Behandlungsobjekts verschieden ist. Ein eine Kammer definierendes Mittel wird zur Verwendung beim Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie vorgesehen, während das Behandlungsobjekt innerhalb der Behandlungskammer derart getragen wird, dass ein erster Bruchteil der von der Heizanordnung abgestrahlten Energie und ein zweiter Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie auf dem die Kammer definierenden Mittel auftreffen, das die Behandlungskammer begrenzt. Das die Kammer definierende Mittel ist konfiguriert, um auf eine erste Weise auf einen Hauptteil des ersten Bruchteils der von der Heizanordnung abgestrahlten Energie anzusprechen, die darauf auftrifft, und um auf eine zweite Weise auf einen Hauptteil des zweiten Bruchteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft. Bei einer Ausgestaltung ist das die Kammer definierende Mittel konfiguriert, um in erster Linie durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie anzusprechen und um in zweiter Linie durch Absorbieren des Hauptteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen.
  • Bei einem weiteren hierin offenbarten Aspekt werden ein System und ein zugehöriges Verfahren zum Prozessieren eines Behandlungsobjekts beschrieben. Das System umfasst ursprünglich eine unmodifizierte Kammeranordnung zum Aufnehmen und Tragen des Behandlungsobjekts während des Prozessierens. Die unmodifizierte Kammeranordnung liefert eine gegebene maximale Kühlrate des Behandlungsobjekts, nachdem es innerhalb der unmodifizierten Kammeranordnung geheizt wurde, eine modifizierte Kammeranordnung wird verwendet, um die unmodifizierte Kammeranordnung zu ersetzen. Die modifizierte Kammeranordnung umfasst ein eine Kammer definierendes Mittel auf zum darin Tragen des Behandlungsobjekts und ist konfiguriert, um eine modifizierte maximale Kühlrate zu liefern, die größer ist als die gegebene maximale Kühlrate.
  • Bei noch einem weiteren hierin offenbarten Aspekt werden ein System und ein zugehöriges Verfahren zum Prozessieren eines Behand lungsobjekts beschrieben, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, um eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen. Das System umfasst eine Heizanordnung zum Heizen des Behandlungsobjekts, das eine von einer Heizquelle abgestrahlte Energie verwendet, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von der gegebenen Charakteristik des Emissionsspektrums des Behandlungsobjekts verschieden ist. Ein eine Kammer definierendes Mittel wird zum Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie verwendet, während das Behandlungsobjekt innerhalb der Behandlungskammer derart getragen ist, dass ein erster Bruchteil der von der Heizanordnung abgestrahlten Energie und ein zweiter Bruchteil der vom Behandlungsobjekt abgestrahlten Energie auf das die Kammer definierende Mittel auftreffen, das die Behandlungskammer begrenzt, und wobei das die Kammer definierende Mittel konfiguriert ist, um mit selektivem Reflexionsvermögen auf den ersten Bruchteil der von der Heizanordnung abgestrahlten Energie und den zweiten Bruchteil der vom Behandlungsobjekt abgestrahlten Energie anzusprechen.
  • Bei einem weiterführenden hierin offenbarten Aspekt werden ein System und ein Verfahren zum Prozessieren eines Behandlungsobjekts beschrieben, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen. Eine Heizanordnung heizt das Behandlungsobjekt unter Verwendung einer von der Heizanordnung abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist. Erfassungsmittel erfassen die vom Behandlungsobjekt abgestrahlte Energie bei einer Erfassungswellenlänge. Das die Kammer definierende Mittel wird zum Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie verwendet, während das Behandlungsobjekt innerhalb der Behandlungskammer getragen ist, wobei wenigstens ein Teil des die Kammer definierenden Mittels konfiguriert ist, um gleichzeitig (i) auf eine erste Weise auf einen Hauptteil der von der Heizanordnung abgestrahlten Energie anzusprechen, die darauf auftrifft, (ii) auf eine zweite Weise auf einen Hauptteil der vom Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft, und (iii) auf eine dritte Weise auf die Erfassungswellenlänge anzusprechen.
  • Bei einem weiteren hierin offenbarten Aspekt werden ein System und ein Verfahren zum Prozessieren eines Behandlungsobjekts beschrieben, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen. Eine Heizanordnung heizt das Behandlungsobjekt unter Verwendung einer von der Heizanordnung abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei der Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist. Ein Erfassungsmittel erfasst die vom Behandlungsobjekt abgestrahlte Energie, die von dem Behandlungsobjekt bei einer Erfassungswellenlänge emittiert wird. Ein eine Kammer definierendes Mittel trägt das Behandlungsobjekt während dessen Aussetzung der von der Heizanordnung abgestrahlten Energie innerhalb einer Behandlungskammer. Wenigstens ein erster Teil des die Kammer definierenden Mittels ist konfiguriert, um einen Hauptteil der Erfassungswellenlänge, die darauf auftrifft, zu reflektieren, und ein zweiter, verschiedener Teil des die Kammer definierenden Mittels ist konfiguriert, um selektiv einen Hauptteil der Erfassungswellenlänge, die darauf auftrifft, zu absorbieren.
  • Bei einem weiteren hierin offenbarten Aspekt werden ein System und ein Verfahren zum Prozessieren eines Behandlungsobjekts beschrieben. Eine Heizanordnung wird zum Heizen des Behandlungsobjekts mit einer von der Heizanordnung abgestrahlten Energie verwendet. Ein eine Kammer definierendes Mittel ist zur Verwendung beim Aussetzen des darin befindlichen Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie vorgesehen, während ein anderer Teil der von der Heizanordnung abge strahlten Energie auf dem die Kammer definierenden Mittel auftrifft, was in einer gesamten abgestrahlten Energie resultiert, die innerhalb des die Kammer definierenden Mittels vorhanden ist. Das die Kammer definierende Mittel umfasst ein Fenster zwischen der Heizanordnung und dem Behandlungsobjekt derart, dass das Fenster wenigstens in einer Näherung oberhalb einer Undurchsichtigkeitseinsetzwellenlänge undurchsichtig ist. Wenigstens ein Teil des die Kammer definierenden Mittels umfasst eine selektiv reflexive Konfiguration, die auf eine erste Weise auf einen Hauptteil der gesamten abgestrahlten Energie anspricht, die darauf auftrifft, die von einer kürzeren Wellenlänge ist als die Undurchsichtigkeitseinsetzwellenlänge, während sie auf eine zweite Weise auf einen Hauptteil der gesamten abgestrahlten Energie anspricht, die darauf auftrifft und die von einer längeren Wellenlänge ist als die Undurchsichtigkeitseinsetzwellenlänge. Es wird ein einstellbares spektrales Ansprechverhalten der Kammer beschrieben, das verwendet werden kann, um wenigstens die Kammerleistung für die Waferheizung, Waferkühlung, Temperaturmessung und Streulicht Maß zu schneidern. Bei einem Aspekt wird ein System zum Prozessieren eines Behandlungsobjekts beschrieben, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, die das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen. Die Kammer spricht auf eine erste Weise auf die von der Heizanordnung abgestrahlte Energie an und auf eine zweite Weise auf die vom Behandlungsobjekt abgestrahlte Energie, die darauf auftrifft. Die Kammer kann auf die erste Weise durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie ansprechen und auf die zweite Weise durch Absorbieren des Hauptteils der von dem Behandlungsobjekt abgestrahlten Energie. Verschiedene Teile der Kammer können mit selektivem Reflexionsvermögen basierend auf Entwurfsbetrachtungen behandelt werden, um Ziele in Bezug auf einen bestimmten Kammerleistungsparameter zu erreichen.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Offenbarung kann durch Bezugnahme auf die folgende detaillierte Beschreibung verstanden werden, die in Verbindung mit den Zeichnungen erfolgt, die untenstehend kurz beschrieben sind.
  • 1 ist ein Graph des Energiebruchteils über der Wellenlänge, der hier aus Gründen des Vergleichs des Energiebruchteils einer strahlenden Lampenheizanordnung mit dem Energiebruchteil der abgestrahlten Energie von einem Substrat gezeigt ist, wenn die Wellenlänge ansteigt.
  • 2 ist eine schematische Querschnittsansicht im Aufriss einer Behandlungskammer, die in Übereinstimmung mit der vorliegenden Offenbarung hergestellt ist, die eine innere überzogene Schicht hat, welche eine selektiv reflexive Charakteristik zeigt.
  • 3 ist ein Graph des diffusen Reflexionsvermögens über der Wellenlänge für eine Anzahl ausgewählter Materialien, die selektiv reflexive Charakteristiken zeigen, die angesichts der hierin vorkommenden Lehren nützlich sind.
  • 4 ist eine schematische Querschnittsansicht im Aufriss einer anderen Behandlungskammer, die in Übereinstimmung mit der vorliegenden Offenbarung hergestellt ist, die eine Kammerwandanordnung hat, die gewünschte Massenmaterialeigenschaften zeigt.
  • 5 ist eine schematische Querschnittsansicht im Aufriss von noch einer anderen Behandlungskammer, die in Übereinstimmung mit der vorliegenden Offenbarung hergestellt ist, die eine Kammerwandanordnung hat, die mit Folienmaterialteilen ausgekleidet ist, die gewünschte selektiv reflexive Charakteristiken haben.
  • 6 ist eine schematische Querschnittsansicht im Aufriss von noch einer anderen Behandlungskammer, die in Übereinstimmung mit der vorliegenden Offenbarung hergestellt ist, die eine Kammerwandanordnung hat, die eine wenigstens halbtransparente Schicht umfasst, die von der Kammerwand beabstandet ist, um ein strömungsfähiges Material dort dazwischen aufzunehmen.
  • 7 ist eine schematische Querschnittsansicht im Aufriss von noch einer anderen Behandlungskammer, die in Übereinstimmung mit der vorliegenden Offenbarung hergestellt ist, die eine Kammer hat, die eine Fensteranordnung umfasst, welche zwischen der Heizanordnung und dem Behandlungsobjekt eingeschoben ist, um eine Schicht eines strömungsfähigen Materials zu tragen.
  • 8 ist eine schematische Querschnittsansicht im Aufriss einer anderen Behandlungskammer, die in Übereinstimmung mit der vorliegenden Offenbarung hergestellt ist, die eine Kammer hat, welche ein Fenster umfasst, das zwischen der Heizanordnung und dem Behandlungsobjekt eingeschoben ist, das einen Überzug hat, der dazu dient, eine Filterschicht von dem Behandlungsobjekt zu isolieren, um eine Kontamination zu vermeiden.
  • 9 ist eine schematische, teilweise Querschnittsansicht einer doppelschichtigen Fensteranordnung, die hier gezeigt ist, um die Verwendung von Dünnfilmstapeln darzustellen.
  • 10 ist eine schematische aufgeschnittene Ansicht im Aufriss eines Teils einer Kammerwandanordnung, die in Übereinstimmung mit der vorliegenden Offenbarung hergestellt ist, die eine äußere Wand hat, welche mit ersten und zweiten Schichten überzogen ist, die zusammenwirken, um eine gewünschte Übergangswellenlänge zu liefern.
  • 11 ist ein Graph der Temperatur über der Zeit, der hier zum Zwecke des Vergleichs vorhergesagter Ergebnisse über die Form der Spitzenbreite eines Temperprozesses gezeigt ist, der in einer unmodifizierten Kammer ausgeführt wird, mit der Spitzenbreite für den gleichen Prozess, wenn er in einer modifizierten Kammer ausgeführt wird, in der die Lampenreflektorplatte für selektives Reflexionsvermögen konfiguriert ist.
  • 12 ist ein Graph eines idealisierten Ansprechverhaltens eines selektiv reflexiven Überzuges oder Materials für die Verwendung bei der Optimierung der Pyrometrie, während zur selben Zeit gesteigerte Systemleistung in Bezug auf die Waferheizung und -kühlung geliefert wird.
  • 13 ist eine schematische Ansicht im Aufriss eines Systems, das obere und untere Lampenfelder hat, welches das in 12 gezeigte spektrale Ansprechverhalten verwendet.
  • 14 ist eine schematische Ansicht im Aufriss eines anderen Systems, das obere und untere Lampenfelder hat, welches eine hoch reflexive Fläche verwendet, die einem Pyrometer benachbart ist, aber welches ansonsten ein selektiv reflexives Kammerinneres verwendet.
  • 15 ist ein Graph eines idealisierten spektralen Ansprechverhaltens eines selektiv reflexiven Überzuges oder Materials zur Verwendung bei der Optimierung der Pyrometrie durch Reduzieren von Streulicht, das in das Pyrometer eintritt, während zur selben Zeit eine verbesserte Systemleistung in Bezug auf die Waferheizung und -kühlung geliefert wird.
  • 16 ist eine schematische Ansicht im Aufriss eines Systems, das eine einseitige Waferheizung hat, die ein selektiv reflexives Kammerinneres verwendet.
  • 17 ist eine schematische Ansicht im Aufriss eines anderen Systems, das eine einseitige Heizung hat, das eine hoch reflexive Fläche einem Pyrometer benachbart verwendet, das aber andererseits ein selektiv reflexives Kammerinneres verwenden kann.
  • 18 ist eine schematische Ansicht im Aufriss noch eines anderen Systems, das einseitige Heizung hat, das eine selektiv reflexive Behandlung des Kammerbodens verwendet, das entworfen wurde, um pyrometrieergebnisse zu optimieren.
  • 19 ist ein Wellenlängengraph eines idealisierten spektralen Ansprechverhaltens eines selektiv reflexiven Überzuges, der zum Behandeln des Kammerbodens des Systems geeignet ist, das in 18 gezeigt ist, was das Emissionsvermögen bei der Pyrometerwellenlänge verbessert.
  • 20 ist eine schematische Ansicht im Aufriss eines anderen Systems, das einseitige Heizung hat, welches eine hoch reflexive Fläche einem Pyrometer benachbart verwendet, das aber andererseits einen selektiv reflexiven Kammerboden verwendet, der zum Beispiel zur Streulichtunterdrückung konfiguriert sein kann.
  • 21 ist eine schematische Draufsicht, die einen Wafer zeigt, wie er gegen einen Kammerboden gesehen wird, zusam men mit mehreren Pyrometern, die auf den Kammerboden gerichtet sind; der Wafer wird zum Zwecke der Verbesserung der Prozessgleichförmigkeit rotiert.
  • Detaillierte Beschreibung
  • In einem typischen lampengeheizten RTP-System wird erkannt, dass Begrenzungen der Spitzenbreite eines Heizprofils drei Hauptfaktoren zugewiesen werden können. Als erstes ist die Hochramprate durch die Leistung begrenzt, die von der Energiequelle verfügbar ist, die zum Heizen des Substrats verwendet wird, gekoppelt mit dem Wirkungsgrad, mit dem diese Leistung der Waferoberfläche zugeführt wird. Es wird vermerkt, dass Wolfram-Halogenlampen in einer Anzahl von Systemen des Standes der Technik verwendet werden, es ist jedoch selbstverständlich, dass die vorliegende Erfindung durch Verwenden jeder geeigneten Heizanordnung ausgeübt werden kann, und sie keinesfalls auf die Verwendung solcher Lampen begrenzt ist, solange die hierin vorliegenden Lehren angewendet werden. Als Beispiele zieht die vorliegende Erfindung die Verwendung von Blitzlampen und Bogenlampen in Betracht. Eine zweite Begrenzung erwächst aufgrund der thermischen Ansprechzeit der Energiequelle. Zum Beispiel ist in dem Fall, wenn Wolfram-Halogenlampen als die Energiequellen zum Heizen des Substrats verwendet werden, die endliche thermische Masse des Wolframfilaments in diesen Lampen eine Begrenzung die bestimmt, wie schnell die Lampe abkühlen kann und andererseits begrenzt, wie schnell die Leistung, die dem Substrat zugeführt wird, ausgeschaltet werden kann. Die dritte Begrenzung rührt von der Kühlrate des Substrates her. Die Kühlrate ist durch die Kombination der thermischen Masse des Substrats und dem Wirkungsgrad begrenzt, mit dem Wärme von den Substratoberflächen abgegeben werden kann, typischerweise durch thermische Strahlung oder durch konvektive oder leitende Wärmeverluste über das Prozessgas, welches das Substrat umgibt. Wie gesehen werden wird, fokussiert sich die vorliegende Erfindung auf eine sehr vorteilhafte Weise auf die erste und dritte dieser Begrenzungen, die dazu dient, den Wirkungsgrad der Kopplung der Heizquellenstrahlung an das Be handlungsobjekt während der Betriebes der Heizquelle zu verbessern, während sie den Wirkungsgrad des Wärmeverlustes von dem Behandlungsobjekt nach der Aussetzung der Heizquelle verbessert.
  • Die Wärmeübertragung an ein Substrat, des durch Lampen in einer Prozesskammer geheizt wird, kann durch die folgende Gleichung angenähert werden:
    Figure 00130001
    wobei T die absolute Temperatur des Substrats, t die Zeit, P die Lampenleistungsdichte, σ die Stefan-Boltzmann-Konstante, ρ die Dichte, c die spezifische Wärmekapazität, D die Dicke des Substrats, η der Bruchteil der an das Substrat gekoppelten Lampenleistung und Heff der effektive Wärmeverlustwirkungsgrad ist. Die Leistung kann von einer Seite oder von beiden Seiten des geheizten (prozessierten) Objektes auftreffen, und Heff umfasst sowohl die Wahrscheinlichkeit des Wärmeverlustes an beiden Seiten des Objekts wie auch den Effekt der Rück-Reflexion der emittierten Strahlung zurück auf das Objekt. Die Terme η und Heff hängen von den optischen Eigenschaften von sowohl dem Objekt als auch der Kammer ab. In der Gleichung (1) wurde es als sinnvoll gefunden anzunehmen, dass T ausreichend hoch ist, so dass der Wärmeübergang von der Strahlung dominiert wird, und dass es keine wesentlichen konvektiven oder leitenden Verluste von dem Substrat gibt.
  • Während Gleichung (1) verwendet werden kann, um das thermische Ansprechverhalten von Substraten und anderen Behandlungsobjekten vorherzusagen, wenn sie Strahlungsheizung ausgesetzt werden, wird erkannt, dass diese Gleichung vorteilhaft dazu verwendet werden kann, um zu verstehen, was zu grundlegenden Begrenzungen der Heiz- und Kühlraten in der betrachteten Behandlungsumgebung beiträgt. Zum Beispiel kann die maximale Hochramprate Rmax durch Umstellen der Gleichung erhalten werden, so dass
    Figure 00140001
    erhalten wird, wobei Pmax die maximale Lampenleistungsdichte ist, die von dem Heizsystem erhältlich ist. Im Gegensatz dazu ist eine maximale Kühlrate Cmax durch die folgende Gleichung gegeben:
    Figure 00140002
  • Um die Heiz- und Kühlraten zu maximieren, ist es vorteilhaft, das System so zu entwerten, dass sowohl Rmax als auch Cmax so groß wie möglich sind. Eine Untersuchung der Gleichung (2) mit diesem Ziel zeigt, dass es für eine hohe Hochrampheizrate wünschenswert ist, η so hoch wie möglich zu machen und Heff zu minimieren. Unglücklicherweise legt Gleichung (3) aber nahe, dass eine große Kühlrate ein Maximieren von Heff erfordert. Betrachtet man beide Gleichungen, so erscheint es auf den ersten Blick, dass das Maximieren von Heff ein Ansinnen ist, welches in direktem Widerstreit zu dem Maximieren von Rmax steht.
  • Die vorliegende Erfindung löst diese widerstreitenden Interessen jedoch durch die Erkenntnis, dass während eines schnellen Hochrampens, was als ein praktisches Schnellheiz-(„RTP")-System angesehen wird, der Betrag von ηPmax sehr viel größer als der Betrag von HeffσT4 ist. Mit dieser sehr vorteilhaften Erkenntnis in der Hand Kann die Systemleistung durch Maximieren von η und Heff optimiert werden. Zur selben Zeit sollte anerkannt werden, dass diese Größen durch Eigenschaften des Behandlungsobjekts beeinflusst werden. Zum Beispiel wird im Falle eines Behandlungsobjekts weiter erkannt, dass optische Eigenschaften eines solchen Objekts wie zum Beispiel diejenigen eines Halbleitersubstrats typischerweise durch spezifische Herstellungserfordernisse definiert werden und nicht einfach im Interesse des Erreichens hoher Hochrampraten und Abkühlraten modifiziert werden können. Solche Modifikationen könnten zum Beispiel das Dünnermachen des Substrats oder die An wendung von Oberflächenüberzügen umfassen, die thermische Energie effektiver emittieren oder Lampenenergie effektiver absorbieren. Darüber hinaus wird es als unwahrscheinlich angesehen, dass irgendeine Substratbehandlung allgemeine Vorteile in Bezug auf einen bestimmten einer beliebigen Anzahl möglicher Prozessierbereiche liefern wird, denen das Substrat ausgesetzt werden kann. Es ist im Gegensatz dazu wichtig zu verstehen, dass die Vorteile, die durch die vorliegende Erfindung bereitgestellt werden, einen breiten Bereich verfügbarer Substrate betreffen. Das heißt, dass die Substratparameter, welche die Ausübung der vorliegenden Erfindung beeinflussen, über eine relativ breite Vielfalt von Substraten im Allgemeinen innerhalb eines Variationsbereiches sind, der einen kleinen hinnehmbaren Unterschied zu einem angepeilten Ausgang der RTP-Zielsetzungen der vorliegenden Erfindung erzeugt. Dies wird als ein weitreichender Vorteil an und für sich angesehen, da die vorliegende Erfindung keine Modifikationen des Substrates erfordert, sondern nur die Behandlungskammer auf eine Weise modifiziert, die allgemeine Vorteile in Bezug auf ein breites Feld von Substraten liefert.
  • Um den Systementwurf in Übereinstimmung mit den vorangegangenen sehr vorteilhaften Lehren und Erkenntnissen zu optimieren, ist es nützlich, diejenigen Faktoren des Kammerentwurfs zu betrachten, die η und Heff bestimmen. Als eine Alternative wird ein hoher Wert für η erreicht, indem man die Kammerwände hoch reflexiv macht. Man erhält dieses Ergebnis aus zwei Gründen. Erstens kann Energie, die von den Lampen in die Richtung der Kammerwände emittiert wird, zu dem Substrat zurück reflektieren. Wände hoher Reflexivität absorbieren wenig Energie und geben vorteilhaft viel von der Lampenstrahlung in die Richtung des Substrates zurück. Zweitens wird die Lampenenergie, die von der Substratoberfläche reflektiert wird, durch die Kammerwände zurück reflektiert und kann anhaltend Gelegenheit haben, von dem Substrat absorbiert zu werden. Im Grenzfall eines perfekten Kammerreflektors würde die gesamte Lampenenergie von dem Substrat absorbiert werden.
  • Wenn die Wände reflektierend sind, dann wird, um die zuvor beschriebenen Vorteile in Bezug auf die Lampenstrahlung zu liefern, von dem Substrat emittierte Energie gleichermaßen zurück auf die Substratoberfläche zurück reflektiert, wodurch der Nettowärmeverlust in Bezug auf das Kühlen des Substrates unvorteilhaft reduziert wird. Im Grenzfall perfekt reflektierender Wände wäre das Substrat nicht in der Lage, Wärme durch Strahlung zu verlieren.
  • Als eine andere Alternative wird ein hoher Wert für Heff erreicht, indem die Kammerwände hoch absorbierend gemacht werden. Wenn die Wände absolut schwarz sind, dann kann überhaupt keine Energie, die durch Strahlung von der Oberfläche des Substrates verloren wird, zum Substrat zurückkehren. In diesem Fall wird jedoch Lampenstrahlung unvorteilhaft absorbiert.
  • Es sei bemerkt, dass sich der Stand der Technik im Allgemeinen für die eine oder die andere dieser beiden Alternativen entschieden hat, selbst wenn Leistung im Vergleich zu der nicht gewählten Alternative beeinträchtigt wird. In einigen Beispielen wurden einzelne Kammern und Komponentenoberflächen innerhalb eines besonderen Behandlungssystems in Übereinstimmung mit einer diese Alternativen ausgewählt konfiguriert, um spezifische Ziele in Bezug auf die besondere Oberfläche, die betrachtet wird, zu erreichen. Zum Beispiel können wärmesensitive Komponenten beschichtet werden, um hoch reflexiv zu sein, während Reflektorplatten schwarz sein können, um Wärme von der Behandlungskammer weg zu leiten. Die vorliegende Erfindung erkennt jedoch, dass diese offensichtlich widersprüchlichen Erfordernisse, wie sie durch diese beiden grundlegend gegensätzlichen Alternativen definiert werden, auf eine sehr vorteilhafte Weise aufgelöst werden können, wie unten stehen gesehen werden wird.
  • Als ein Beispiel einer hoch reflexiven Anordnung des Standes der Technik wurden Aluminiumkammerwände verwendet, die eine polierte Oberfläche umfassen. Eine solche polierte Oberfläche kann eine Auflage unter Verwendung von zum Beispiel Gold aufnehmen. Als ein anderes Beispiel kann eine matte Aluminiumoberfläche mit Gold belegt sein (was in einem mat ten Goldabschluss resultiert). In Bezug auf poliertes Aluminium (mit oder ohne Gold) wird vorgeschlagen, dass kleine Änderungen der Qualität der polierten Oberfläche zu großen Unterschieden des Reflexionsvermögens führen, die dann zu Leistungsunterschieden von Kammer zu Kammer führen. Ein matter Goldabschluss scheint beständigere Ergebnisse im Hinblick auf die Beständigkeit von Kammer zu Kammer zu liefern. Sowohl Aluminium als auch Gold sind jedoch Breitbandreflektoren, wie weiter beschrieben werden wird, da sie hohes Reflexionsvermögen sowohl im sichtbaren als auch im nahen und mittleren Bereich des Energiespektrums haben.
  • Die Aufmerksamkeit wird nun auf die 1 gelenkt, die ein Graph des Energiebruchteils über der ansteigenden Wellenlänge ist, der hier gezeigt ist, um den Bruchteil der Energie darzustellen, der unterhalb einer spezifischen Wellenlänge emittiert wird, und zwar für die Fälle von Schwarzkörperstrahlern bei Temperaturen von ungefähr 3200°K und 1373°K, wobei die Graphen jeweils mit den Bezugszeichen 10 und 12 bezeichnet sind. Die höhere Temperatur von 3200°K für den Graphen 10 ist für die Temperatur der Filamente in einer W-Halogenlampe repräsentativ, die in einem RTP-System verwendet werden kann. Die niedrigere Temperatur von 1373°K für den Graphen 12 ist für die Temperatur eines Substrats am Spitzenpunkt eines Spike-Temperprozesses bei 1100°C repräsentativ. Es wird insbesondere erkannt, dass das Emissionsspektrum vom Substrat und der Heizanordnung wie zum Beispiel Wolfram-Halogenlampen ganz verschieden sind. Im Einklang mit dieser Erkenntnis wird weiter erkannt, dass eine Kammerwand, die gleichzeitig hoch reflektierend für die Lampenstrahlung und hoch absorbierend für die Strahlung ist, die von dem Substrat emittiert wird, bis dahin ungesehene Vorteile liefert. Der Schlüssel besteht in dieser Hinsicht darin, die Kammer dazu zu veranlassen, dass sie sich mit einem „selektiven Reflexionsvermögen" verhält, d. h. dass sich deren Reflexionsvermögen mit der Wellenlänge ändert. Im Wesentlichen bietet die Verwendung eines solchen Materials selektiven Reflexionsvermögens ein erstes Reflexionsprofil für die Energie der Heizanordnung während sie ein zweites, verschiedenes Reflexionsprofil für die vom Substrat abgestrahlte Energie bietet, wie weiter beschrieben werden wird.
  • Das Reflexionsvermögen eines Objekts ist oft wenigstens bis zu einem begrenzten Maß eine Funktion der Wellenlänge λ der elektromagnetischen Strahlung, die darauf auftrifft. Die Änderung des Reflexionsvermögens mit der Wellenlänge wird durch die Funktion R(λ) beschrieben, die von den optischen Eigenschaften der Materialien abhängt, die das Objekt aufweist, und der physikalischen Struktur des Objekts. Diese Änderung mit der Wellenlänge wird als das Reflexionsspektrum des Objekts beschrieben.
  • Jede elektromagnetische Strahlungsquelle einschließlich jeder thermischen Energiequelle hat ein Emissionsspektrum S(λ), das die Leistung beschreibt, die von der Quelle bei jeder gegebenen Wellenlänge emittiert wird. In einem kleinen Wellenlängenbereich Δλ um die Wellenlänge λ emittiert die Quelle eine Leistung S(λ) Δλ. Die Leistung, die von der Quelle in jedem Wellenlängenintervall abgestrahlt wird, kann durch Integrieren des (Emissionsspektrums über dem interessierenden Wellenlängenbereich berechnet werden, zum Beispiel von λ1 bis λ2:
    Figure 00180001
  • Die gesamte Leistung, die von der Quelle abgestrahlt wird, wird durch das folgende integral erhalten:
    Figure 00180002
  • Wenn Strahlung von dieser Energiequelle auf ein undurchsichtiges Objekt fällt, kann die Strahlung reflektiert oder absorbiert werden. Die Menge der Leistung, die bei jeder gegebenen Wellenlänge reflektiert wird, wird durch das Produkt des spektralen Reflexionsvermögens und der einfallenden Leistung bestimmt. Daher ist die gesamte in dem Wellenlängenbereich von λ1 bis λ2 reflektierte Leistung durch das folgende Integral gegeben:
    Figure 00190001
  • Ein gesamtes Reflexionsvermögen Rtot,S wird für das Objekt in Bezug auf die Strahlung von der Quelle S definiert, indem sie dem Verhältnis der gesamten Leistung, die auf die Oberfläche auftrifft, zu der gesamten Leistung, die von der Oberfläche reflektiert wird, gleichgesetzt wird:
    Figure 00190002
  • Es sollte hervorgehoben werden, dass diese integrierte Eigenschaft Rtotal,S eine Funktion von sowohl dem Objekt als auch dem Beleuchtungsspektrum ist.
  • Das Konzept der selektiven Reflexion erwächst in einer Situation, wie sie von der vorliegenden Erfindung betrachtet wird, bei der ein Objekt mit Strahlung von zwei Energiequellen A und B mit jeweiligen Spektren SA(λ) und SB(λ) wechselwirkt. Zwei gesamte Reflexionsvermögen können in Bezug auf diese beiden Spektren Rtotal,SA und Rtotal,SB durch die Verwendung von Gleichungen definiert werden, die (7) ähnlich sind. In diesem Beispielfall können die Quellenspektren SA(λ) und SB(λ) ganz verschieden sein, so dass im Allgemeinen Rtotal,SA # Rtotal,SB. Wenn es einen wesentlichen Unterschied zwischen den beiden Größen gibt, dann kann vom Objekt gesagt werden, dass es ein selektives Reflexionsvermögen in Bezug auf die Energiequelle A und die Quelle B zeigt.
  • Typischerweise haben nützliche selektive Reflektoren Reflexionsspektren, die große Änderungen des Reflexionsvermögens umfassen, wenn sich die Wellenlänge ändert. Zum Beispiel kann das Reflexionsvermögen in einem Wellenlängenbereich hoch sein und dann in einem zweiten Wellenlängenbereich auf einen niedrigen Wert abfallen. In diesem Fall wird erkannt, dass dann, wenn die Quelle A Energie überwiegend in dem ersten Wellenlängenbereich abstrahlt und die Quelle B Energie überwiegend in dem zweiten Wellenlängenbereich abstrahlt, das gesamte Reflexionsvermögen für die Quelle A hoch und für die Quelle B niedrig sein wird und das Objekt von der vorliegenden Erfindung als ein selektiver Reflektor angesehen wird.
  • Dieses Konzept ist gleichermaßen in Bezug auf die Absorption von Strahlung anwendbar. Es können äquivalente Eigenschaften für das Absorptionsvermögen bei jeder Wellenlänge und für das integrierte Absorptionsvermögen entwickelt werden, das die gesamte Leistung beschreibt, die ein Objekt in einem gegebenen Wellenlängenbereich absorbiert, wenn es von einer gegebenen Energiequelle bestrahlt wird. Ein Objekt, das wesentliche Unterschiede in seinem Absorptionsverhalten in Bezug auf zwei Energiequellen zeigt, ist ein selektiver Absorber. Typischerweise tritt dieses Verhalten auf, wenn das Objekt hohe Werte für das Absorptionsvermögen in einem Wellenlängenbereich hat und einen niedrigen Wert in einem zweiten Bereich.
  • Das Konzept der selektiven Emission kann ebenfalls eingesetzt werden. Gemäß dem Kirchhoffschen Gesetz müssen das Absorptionsvermögen und das Emissionsvermögen bei jeder gegebenen Wellenlänge gleich sein. Dieses Gesetz verlangt nach identischen optischen Bedingungen, die Wellenlänge, Einfallswinkel und Polarisationszustand umfassen. Als ein Ergebnis wird ein selektiver Absorber gewöhnlicherweise wesentlich mehr thermische Strahlung in einem Wellenlängenbereich emittieren, als er es in einem zweiten Wellenlängenbereich tut. Dieses Verhalten kann als eine selektive Emission bezeichnet werden.
  • Betrachtet man immer noch die 1, dann ist es augenscheinlich, dass mehr als 75% der Strahlung von der Lampenheizanordnung bei Wellenlängen unterhalb von 2 μm emittiert wird, während mehr als 75% der Strahlung von dem Substrat bei Wellenlängen oberhalb von 2 μm emittiert wird. Daher liefert ein Reflektor, der ein hohes Reflexionsvermögen für Wellenlängen von weniger als ungefähr 2 μm und ein niedriges Reflexionsvermögen für Wellenlängen von mehr als ungefähr 2 μm hat, gleichzeitig einen hohen Wert für n und doch einen niedrigen Wert für Heff Die Wellenlängenauswahl oder der Umbruchpunkt für eine besondere periphere Kammeranordnung kann als eine „Übergangs"-Wellenlänge bezeichnet werden. Im vorliegenden Beispiel wird die Übergangswellenlänge von 2 μm durch das Bezugszeichen 14 bezeichnet. Unterhalb des Übergangspunktes reflektieren die Kammerwände (oder wenigstens ein Teil derselben) einen Hauptteil der von der Heizanordnung emittierten Energie, die auf sie einfällt, während oberhalb des Übergangspunktes die Kammerwände einen Hauptteil der von dem Substrat emittierten Energie absorbieren, die auf sie einfällt.
  • Hinsichtlich dieses vorteilhaften doppelten Verhaltens ist es wichtig zur realisieren, dass jede geeignete Wellenlänge als die Übergangswellenlänge ausgewählt werden kann, abhängig von den gewünschten Ergebnissen. Bei einigen Anwendungen, bei denen nur kleine Steigerungen der Kühlrate gewünscht sind, kann es wünschenswert sein, einen Übergang zwischen hohem und niedrigem Reflexionsvermögen bei einer längeren Wellenlänge, zum Beispiel ungefähr 3 μm, zu machen. In anderen Fällen kann die Maximierung der Kühlrate wichtiger sein und die Übergangswellenlänge könnte zum Beispiel auf 1,5 μm verschoben werden. Falls die Energiequelle zum Beispiel eine Bogenlampe ist, dann ist der größte Teil des Emissionsspektrums bei viel kürzeren Wellenlängen und der Übergang könnte bei 1 μm sein, ohne einen abträglichen Effekt auf die Heizrate zu haben. Insbesondere kann die Kammer ein hohes Reflexionsvermögen bei relativ kurzen Wellenlängen zeigen (zum Beispiel weniger als ungefähr 2 μm Wellenlänge), bei denen die Heizanordnung den größten Teil ihrer Energie emittiert, und ein niedriges Reflexionsvermögen bei relativ langen Wellenlängen (zum Beispiel größer als ungefähr 2 μm Wellenlänge), bei denen das Substrat den größten Teil seiner Energie emittiert.
  • In Bezug auf die Kammerwände wird erkannt, dass sowohl Aluminium als auch Gold Breitbandreflektoren in sowohl dem sichtbaren als auch dem nahen und mittleren infraroten Bereich des Energiespektrums sind. Weiter ist das ferne Infrarot (oberhalb von ungefähr 8 μm) im Falle eines Halbleitersubstrates oder Wafers nicht von großem Belang, da die Menge der Waferenergie in diesem Bereich weniger als 10% der von dem Wafer emittierten Energie ist, wenn der Wafer auf eine Temperatur geheizt wurde, die typischerweise zur Schnellheizbehandlung verwendet wird. Noch weiter ist die Menge der Lampenenergie im fernen Infrarot typischerweise weniger als 5% von deren gesamter emittierter Energie.
  • Nachdem oben die Erkenntnisse beschrieben wurde, welche die vorliegende Erfindung ans Licht gebracht haben, wird die Aufmerksamkeit nun auf eine Anzahl verschiedener Ausführungen zur Verwendung bei deren Ausübung gerichtet. Dazu wird die Aufmerksamkeit zunächst auf die 2 gerichtet, die schematisch eine erste Ausführung eines Behandlungssystems darstellt, das in Übereinstimmung mit der vorliegenden Erfindung hergestellt ist und allgemein mit der Bezugszahl 50 bezeichnet ist. Das System 50 umfasst eine Heizanordnung 52, die aus einer Mehrzahl von Wolfram-Halogenlampen aufgebaut ist, von denen nur eine Lampe aus Gründen der Klarheit gezeigt ist. Es sollte wieder verstanden werden, dass jede alternative Heizanordnung als innerhalb der Reichweite der vorliegenden Erfindung liegend angesehen wird, so lange die hierin enthaltenen Lehren anwendbar sind. Als ein Beispiel wird die Verwendung einer Bogenlampenheizanordnung betrachtet. Als ein anderes Beispiel wird eine andere Heizanordnung oftmals unterhalb des Behandlungsobjekts in der aufgerissenen Ansicht dieser Figur positioniert, die aus Gründen der Klarheit nicht dargestellt wurde. Lampe 52 emittiert eine Strahlungsenergie 54, die mit dem Graphen 10 der 1 übereinstimmt. Diese von der Heizanordnung emittierte Energie ist unter Verwendung von Pfeilen dargestellt, die einen Schaft haben, der mit einer relativ kurzen Wellenlänge alterniert. Es sei vermerkt, dass gleiche Bezugszahlen bei gleichen Komponenten in den verschiedenen Figuren verwendet wurden, wo immer es möglich ist. Darüber hinaus versteht sich, dass die Terminologie, die in Bezug auf die Ansichten einer oder mehrerer der Figuren angewendet wird, wie zum Beispiel „vorderst", „hinterst", „oben", „unten", „außen" und „innen" nur zum Zwecke der beschreibenden Klarheit verwendet werden und es keinesfalls beabsichtigt ist, dass sie begrenzend sind. Weiter sei vermerkt, dass die Zeichnungen nicht maßstäblich sind und auf eine Weise gezeigt wurden, mit der beabsichtigt ist, das Verständnis des Lesers zu verbessern.
  • Mit weiterem Verweis auf die 2 umfasst das System 50 weiter eine Kammeranordnung 60, die eine Behandlungskammer 62 definiert zum darin Aufnehmen und Tragen eines Behandlungsobjekts 64. Das Behandlungsobjekt 64 kann zum Beispiel ein Halbleitersubstrat umfassen, wie es oben beschrieben ist. Das Substrat kann zum Beispiel von einem gewöhnlichen Podest (nicht gezeigt) getragen werden. Die Behandlungskammer 60 ist im Querschnitt gezeigt und ist in Übereinstimmung mit der vorliegenden Erfindung konfiguriert, wobei sie eine äußere Wandanordnung 65 hat, die eine innere Schicht 66 trägt, welche eine innere Peripherie umgibt. Die Kammeranordnung 65 kann zum Beispiel unter Verwendung von Aluminium gebildet werden, das eine Dicke hat, die ausreichend ist, um die strukturelle Unversehrtheit sicherzustellen. Es sei vermerkt, dass eine „Wandanordnung" ebenso wie die Ausdrücke „Wand" und „Wandteil", wie sie innerhalb dieser Offenbarung und den beiliegenden Ansprüchen verwendet werden, nicht beabsichtigen, ein Fenster zu umfassen, das zwischen der Heizanordnung und dem Behandlungsobjekt zwischengeschoben werden kann. Sobald es einmal auf eine geeignete Temperatur geheizt wurde, emittiert das Behandlungsobjekt 64 eine vom Behandlungsobjekt abgestrahlte Energie 68, die im Vergleich mit der Strahlungsenergie 54 von der Heizanordnung 52 eine relativ lange Wellenlänge hat.
  • Fährt man mit dem Verweis auf die 2 fort, kann die innere Schicht 66 bei einer zweiten Ausgestaltung unter Verwendung jedes geeigneten Materials als ein Überzug in Hinblick auf das Reflexionsvermögen des ausgewählten Materials gebildet werden. Die Überzüge können durch beliebige Anzahlen wohlbekannter Verfahren aufgebracht werden, die Streichen, Sprühen, Plasmasprühen oder andere Abscheidungsverfahren umfassen. Die Anmelder haben eine Anzahl von Überzügen gestaltet und getestet. Materialkandidaten wurden aufgrund diffusen und spiegelnden Reflexionsvermögens ausgewählt. Außerdem spielte die Fähigkeit zum leichten Bilden von Überzügen unter Verwendung eines ausgewählten Materials eine Rolle in dem Auswahlprozess. Entsprechend wird die Liste geeigneter Materialien, die hierin aufgezeigt wird, nicht als erschöpfend, sondern vielmehr als beispielhaft angesehen.
  • Verweist man auf die 2 und 3, stellt die letztere diffuse Reflexionsvermögen von verschiedenen überzogenen Proben für ausgewählte gestaltete Überzugsmaterialien dar, die über der Wellenlänge in μm aufgetragen sind. Während diffuses Reflexionsvermögen dargestellt ist, wurde gefunden, dass sowohl das diffuse als auch das spiegelnde Reflexionsvermögen allgemeine Abfälle bei ungefähr denselben Wellenlängen und innerhalb des interessierenden Bereiches zeigen. Dementsprechend wird das Wellenlängenansprechverhalten durch die allgemeine Reflexivität richtig charakterisiert. Ein Graph 80 entspricht Aluminiumoxid. Dieser Graph wurde zusammen mit den übrigen Graphen der 3 aus infraroten Reflexionsspektroskopiemessungen erhalten. Es wurde gezeigt, dass Aluminiumoxid zur Bildung der Schicht 66 aus einer Anzahl von Gründen nützlich ist, wenn es als einer der getesteten Überzüge unter Verwendung eines Plasmasprays aufgetragen wird. Als erstes verbindet sich Aluminiumoxid mechanisch und chemisch mit einer darunter liegenden Aluminiumkammerwand. Daher ist die überzogene Schicht an deren Metallsubstrat sehr festhaftend. Zweitens ist Aluminiumoxid ein vollständig anorganisches Oxid und es oxidiert daher in einer heißen atmosphärischen Umgebung nicht – seine optischen Eigenschaften werden mit der Zeit nicht nennenswert abweichen oder sich ändern. Es sollte jedoch vermerkt werden, dass Aluminiumoxid wenigstens potentiell wegen der Absorption von Kontaminationsstoffen kontaminiert werden kann, die zum Beispiel in Luft vorhanden sein können, die zum Kühlen der W-Halogenlampen verwendet wird. Dementsprechend sollten solche Kontaminationsstoffe in der Kühlluft nicht vorkommen. Drittens erfordert ein Aluminiumoxidüberzug keine Prozessierung nach der Auftragung. Viertens werden die Überzugseigenschaften von An wendungszyklus zu Anwendungszyklus als sehr wiederholbar angesehen. Fünftens kann der Überzug sehr dünn (bei einer Dicke von ungefähr 1 nm bis 1,5 Millimeter) aufgetragen werden und er ändert daher die Fähigkeit einer Komponente nicht wesentlich, wie zum Beispiel einer Aluminiumreflektorplatte, welche die Lampenanordnung 52 deckt, um Wärme zu extrahieren, die von dem Überzug absorbiert wird. Daher ist es dem Überzug erlaubt, bei einer Temperatur von nicht mehr als ungefähr 120 Grad C zu arbeiten, wodurch Spannungen zwischen dem Überzug und dem Substrat minimiert werden, auf dem er aufgebracht ist. Es sei vermerkt, dass eine Lampenreflektorplatte durch ein oberes Wandteil 66a der gesamten Schicht 66 repräsentiert wird, welche die Kammerwand überzieht, die der Lampenanordnung 52 am nächsten ist. In dieser Hinsicht sollte anerkannt werden, dass es kein Erfordernis dafür gibt, alle inneren Kammerwände zu überziehen. Darüber hinaus ist zu erwarten, dass der Überzug 66a maximalen Ertrag in dem Fall liefert, dass es gewünscht ist, nur einen Teil des Kammerinneren zu überziehen, da er der Haupt/Behandlungsoberfläche des Behandlungsobjekts 64 direkt gegenüberliegt, ebenso wie er der Heizanordnung 52 direkt gegenüberliegt, so dass er dieser intensiv ausgesetzt ist.
  • Es wird berücksichtigt, dass ein diffuser selektiv reflexiver Überzug im Hinblick auf dessen optisches Ansprechverhalten gleichförmiger sein sollte, als eine polierte Oberfläche wie zum Beispiel poliertes Aluminium. Es ist schwierig eine polierte Aluminiumoberfläche zu erhalten, die über ihrer gesamten Oberfläche ausreichend optisch gleichförmig ist. Dieses Ergebnis gilt wenigstens aus dem Grund, weil sogar kleine Änderungen der Oberflächenrauhigkeit eine beträchtliche Ungleichförmigkeit im Hinblick auf das optische Ansprechverhalten bewirken können. Eine nackte polierte Aluminiumoberfläche ist genauso anfällig für Korrosion wie für Oberflächenkontamination. Darüber hinaus ist sie eine weiche Oberfläche und wird leicht verkratzt. Jede Kontamination, die auf der Oberfläche absorbiert wird, beeinflusst auch deren optische Eigenschaften, voraussichtlich auf eine ungleichförmige Weise. Im Gegensatz dazu sollte ein diffuser selektiv reflexiver Überzug im Hinblick auf Korrosion und Kontaminationswiderstand im Allgemeinen stabiler sein, ab hängig von der genauen Zusammensetzung des Überzugsmaterials. Im Falle von plasmagesprühtem Aluminiumoxid ist der Überzug im Wesentlichen ein keramischer Überzug und ist vergleichsweise extrem stabil und im Allgemeinen unempfindlich für Kontaminationen. Darüber hinaus zeigt ein Plasmaüberzug aus Aluminiumoxid einen Kratzwiderstand.
  • Die übrigen Graphen in der 3 entsprechen Materialien, die im Allgemeinen als Farben gestaltet und wie oben beschrieben getestet wurden. Diese Materialien können mit jeder geeigneten Dicke zur Verfügung gestellt werden, zum Beispiel in einem Bereich von ungefähr 0,01 mm bis 1,5 Millimeter. Es wurde gefunden, das diese besonderen weißen Farben den gewünschten Wellenlängenauswahleffekt einführen, obwohl es keine Notwendigkeit für die Farbe gibt, weiß zu erscheinen. Insbesondere entspricht der Graph 82 Titandioxid (TiO2); ein Graph 84 entspricht Zirkonsilikat (ZrSiO4); ein Graph 86 entspricht Zirkondioxid/Yttriumoxid (ZrO2/Y2O3); und ein Graph 88 entspricht Titandioxid/Siliziumdioxid (TiO2/SiO2). Die Farbe, die zum Tragen dieser verschiedenen Materialien verwendet wurde, wurde unter Verwendung von organischen und anorganischen Bindemitteln gestaltet. Es wird vorgebracht, dass der Durchschnittsfachmann in Anbetracht dieser gesamten Offenbarung leicht eine beliebige Anzahl solcher Gestaltungen zu Zwecken des Aufbringens dieser Materialien in einem Überzug ersinnen kann. Jede Farbe wurde dann durch Sprühen auf eine Aluminiumbasisplatte aufgebracht. Das organische Bindemittel wurde dann in einem Ofen bei ungefähr 400 Grad C gebrannt, so dass nur die interessierenden Materialien zusammen mit einem sehr kleinen Gewichtsanteil des anorganischen Bindemittels zurückblieben.
  • Es wird in der 3 leicht gesehen, dass jeder Reflexionsvermögengraph für diese verschiedenen Materialien einen beträchtlichen Abfall des Reflexionsvermögens zwischen 2 μm und 3 μm der Wellenlänge zeigt. Darüber hinaus erholt sich das Reflexionsvermögen für jeden Graphen mit weiter ansteigender Wellenlänge nicht vollständig. Dementsprechend werden diese Materialien oder Kombinationen davon alle als nützliche Kandidaten zum Bilden der inneren Schicht 66 angesehen. Ein nützliches Material sollte einen allgemeinen Abfall des Reflexionsvermögens im Wellenlängenbereich von ungefähr 1 μm bis 10 μm zeigen. Materialien, die einen Abfall in einem engeren Wellenlängenbereich von ungefähr 2 μm bis 3 μm zeigen, werden als teilweise nützlich angesehen. Ein Übergang bei ungefähr 2 μm ist insbesondere mit der Verwendung von Wolfram-Halogenlampen nützlich, wie durch die 1 gezeigt ist.
  • Andere Materialien werden ebenfalls als wichtig angesehen, was Kalium-Di-Wasserstoff-Orthophosphat, Aluminium-Orthophosphat-Magnesium-Pyrophosphat, Bor-Phosphat und Yttrium-Phosphat umfasst, aber nicht auf diese begrenzt ist. Überzüge, die diese Materialien zu Zwecken des Dienens als selektive Reflektoren verwenden, werden diffus (matt) bevorzugt, um die Kammer-zu-Kammer-Anpassung zu verbessern.
  • Im Allgemeinen kann ein brauchbarer Überzug atomare Bindungen enthalten, die eventuell als Unreinheiten eingeführt werden, von denen bekannt ist, dass sie Absorption infraroter Energie bei den interessierenden Wellenlängen einführen. Zum Beispiel ist es bekannt, dass die O-H-Bindung und zugehörigen Si-O-H und Al-O-H-Bindungen starke Absorptionsmerkmale einführen und konsequenterweise niedriges Reflexionsvermögen bei Wellenlängen im nahen IR, insbesondere zwischen 1,4 und 3 μm. Materialien, die Wasser enthalten, entweder direkt als H2O oder in irgendeiner anderen Konfiguration, zeigen ebenso wahrscheinlich diese nützlichen Charakteristiken. Die Effekte der Wasserstoffbindung können ebenfalls nützliche spektrale Merkmale liefern. Andere Bindungsgruppen, die nützliche spektrale Merkmale einbringen, umfassen Karbonate, CO3, Nitrate, NO3 und andere Bindungen zwischen Elementen und Wasserstoff, wie zum Beispiel C-H-Bindungen und N-H-Bindungen. Di-Wasserstoff-Kalium-Phosphat hat ebenfalls ein sehr scharfes Abschneiden bei ungefähr 2 Mikrometer.
  • In vielen Fällen tritt die weiße Charakteristik eines Überzugs wegen des Hauptbestandteilmaterials auf, das bei sichtbaren Wellenlängen transparent ist. Diese Materialien erscheinen weiß, weil sie in einer fein verteilten Form vorliegen, welche die Streuung von Licht enorm steigert. Die beste Analogie besteht hier zwischen einem großen Eisblock, der transparent ist, und Schnee, der strahlend weiß ist. Die Eigenschaften des Überzugs kombinieren dann oft die wünschenswerte Charakteristik, ein Material zu sein, welches bei Wellenlängen unterhalb der Übergangswellenlänge hoch transparent und bei Wellenlängen, die größer sind als die Übergangswellenlänge, absorbierend ist. Beispiele für geeignete Materialien, die von Natur aus im Strahlungsband der Lampen transparent sind, sind SiO2, Al2O3 und TiO2, obwohl. es viele andere gibt. Es ist wichtig zu realisieren, dass viele dieser Materialien nützliche Absorptionsmerkmale nur als ein Ergebnis des Vorliegens eingeführter Unreinheiten zeigen, wie oben erwähnt wird. In vielen Fällen können die Eigenschaften durch Vermischen von Komponenten optimiert werden, die transparent sind mit anderen, die absorbierend sind. Es kann auch nützlich sein, die Größe und den Brechungsindex der Körnchen des Materials zu optimieren, welches die Lichtstreuung bewirkt ebenso wie die Absorptionseffekte.
  • Mit Verweis auf die 2 sollte anerkannt werden, dass das Verhältnis der Energie, die oberhalb und unterhalb der Übergangswellenlänge, zum Beispiel 2 μm, reflektiert wird, ebenfalls durch Einstellen der Oberflächenrauhigkeit eines bestimmten Überzuges oder der Oberfläche eines Massenmaterials abgestimmt werden kann, das selektiv reflexive Eigenschaften hat, was noch zu beschreiben ist.
  • Andere Materialien, die starke Absorptionsmerkmale aufweisen, die nützlich sein können, umfassen Metalloxide ebenso wie andere Kristalle, Keramiken und sogar Plastik. Diese Materialien können ebenfalls in Formen vorbereitet werden, die deren Reflexionsvermögen im kurzen Wellenlängenband maximieren. Zum Beispiel kann eine Polymerschicht verwendet werden. Geeignete Polymere umfassen Flouropolymere und Chloro-Flouro-Polymere mit oder ohne Füllmaterialien, sind aber nicht auf diese beschränkt. Diese Polymere umfassen zum Beispiel Polytetraflourethylen, Ethylen-Tetraflouroethylen, Ethylen-Triflouroethylen, flouriertes Ethylenpropylen, Ethylenchlorotriflouroethylen, Polyvinylidinflourid, Polychlorotriflouroethylen, Perflouroalkoxy, verwandte Materialien und Kombinationen davon. Eine polymerbasierte Schicht kann Füllmaterialien umfassen, die Aluminiumoxidteilchen, Titandioxidteilchen, Mischungen aus Aluminiumdioxid- und Titandioxidteilchen, Glasteilchen, Glasfasern und andere Füllmaterialien umfassen, aber nicht auf diese beschränkt sind, die in der Lage sind, das optische Reflexionsvermögen eines geeigneten Basispolymers zu modifizieren.
  • Mit Verweis auf die 4 umfasst eine andere Ausgestaltung eines Systems, das in Übereinstimmung mit der vorliegenden Erfindung hergestellt und im Allgemeinen mit der Bezugszahl 90 bezeichnet ist, eine Kammeranordnung, die eher aus Massenmaterialien mit den gewünschten Eigenschaften gebildet ist als dass ein aufgebrachter Überzug verwendet wird. Ein Beispiel ist die Verwendung einer Wandanordnung 92 aus undurchsichtigem Quarz (Quarzglas), die eine hohe Konzentration an OH-Bindungen umfasst. Der undurchsichtige Quarz ist ein Quarz, der eine sehr große Dichte mikroskopischer Bläschen umfasst, die Licht stark streuen, was ihm eine strahlend weiße Erscheinung gibt. Dies ist eine alternative Annäherung, um ein von Natur aus transparentes Material wie zum Beispiel Quarz in ein stark reflektierendes Objekt umzuwandeln, das weiß aussieht. Durch Einschließen von OH-Bindungen oder anderen Verunreinigungen können starke Absorptionsmerkmale bei Wellenlängen jenseits von ungefähr 2 μm erzeugt werden. Als ein anderes Beispiel können die Kammerwände unter Verwendung von Tonerde gebildet werden, das im Wesentlichen ein keramisches Massenmaterial umfasst.
  • Wendet man sich der 5 zu, verwendet eine andere Ausführung eines Systems, das in Übereinstimmung mit der vorliegenden Erfindung hergestellt ist und im Allgemeinen mit der Bezugszahl 98 bezeichnet ist, eine Anordnung von einem oder mehreren Teilen eines Folienmaterials, um eine oder mehrere innere periphere Oberflächen der äußeren Wandanordnung 65 auszukleiden. Bei dem vorliegenden Beispiel ist eine Anordnung von fünf Folienteilen unter Verwendung der Bezugszahlen 100a-e (die zusammen als Folienteil 100 bezeichnet werden können) bezeichnet, wobei aus dem Blickwinkel der Figur die Folie 100e an der hinteren Wand der Kammer anliegt. Die vor derste Wand der Behandlungskammer ist aus dem vorliegenden Blickwinkel nicht sichtbar, sie kann aber genauso ein Folienmaterialteil tragen. Die Annäherung dieser Ausführung ist dahingehend vorteilhaft, weil sie die Verwendung der darunter liegenden Wände metallischer Struktur für die Bildung einer gesamten gekühlten Isolationsbarriere erlaubt. Wieder ist es nicht erforderlich, jede innere Kammeroberfläche gemäß irgendeiner hierin beschriebenen Ausführung auszukleiden oder zu behandeln. Zum Beispiel könnte nur die obere Oberfläche behandelt werden. Weiter ist es nicht erforderlich, die Gesamtheit irgendeiner der Oberflächen zu bedecken. Zum Beispiel könnte nur derjenige Teil der oberen Oberfläche welcher der Heizanordnung unmittelbar benachbart ist, überzogen oder anderweitig behandelt werden. Es wird berücksichtigt, dass eine nützliche Ausführung durch jede Kammerumsetzung bereit gestellt wird, bei der 20 Prozent oder mehr der inneren Kammeroberfläche konfiguriert sind, um selektive Reflexion zu liefern. In diesem Zusammenhang sollte daran erinnert werden, dass selektiv reflexive Kammeroberflächen, die wenigstens allgemein parallel zu den Hauptoberflächen des Behandlungsobjekts und diesen insbesondere gegenüberliegend sind, wahrscheinlich ein verbessertes Ansprechverhalten in Bezug auf die Vorteile liefern, die durch die Ausübung der vorliegenden Erfindung realisiert werden. Darüber hinaus können die verschiedenen hierin beschriebenen Ausführungen auf jede geeignete Weise kombiniert werden.
  • Verweist man weiter auf die 5, findet sich noch eine andere Ausführung, die in der Erscheinung im Wesentlichen mit der unmittelbar zuvor beschriebenen Ausführung identisch ist, was an der Verwendung äußerer Kammerwände 65, die unter Verwendung von Metall als einem Reflektor für kurze Wellenlängen gebildet sind, liegt. Bei diesem Beispiel wird das Material, aus welchem anschließend die Folienteile 100a-e gebildet werden, derart ausgewählt, dass es bei kurzen Wellenlängen im Wesentlichen transparent ist, jedoch bei längeren Wellenlängen auf eine Weise undurchsichtig, die eine geeignete Übergangswellenlänge liefert. Es sei vermerkt, dass Materialien, die hierin als transparent bezeichnet werden, so verstanden werden, dass sie Lichttransmission in einem betrachteten Wellenlängenbereich in wenigstens einer annehmbaren Näherung liefern. Die Folienteile 100 wirken als ein Filter, der die Strahlung langer Wellenlänge absorbiert, während sie der Kammerwand erlauben, weiterhin als ein Reflektor hohen Reflexionsvermögens für die Lampenstrahlung zu dienen. Es ist wünschenswert, dass die Folienteile, zum Beispiel bei weniger als ungefähr 2 μm transparent sind und undurchsichtig bei ungefähr mehr als 2 μm der Wellenlänge, obwohl es selbstverständlich ist, dass diese Übergangswellenlänge als ein möglicher Wert ausgewählt wurde, der nützlich ist und es keinesfalls beabsichtigt ist, dass er für irgendeine der hierin beschriebenen Ausführungen einschränkend ist. Es sei vermerkt, dass einige Gläser diesem Erfordernis wenigstens annehmbar nahe kommen und ebenso den Vorteil eines niedrigen Oberflächenreflexionsvermögens zeigen. Zum Beispiel haben einige mit seltenen Erden dotierte Gläser starke Absorptionsbänder im nahen Infrarot, die geeignete Absorptionsmerkmale liefern könnten. Sogar Gläser wie zum Beispiel Pyrex, das die meiste Strahlung für Wellenlängen abschneidet, die größer sind als 2,5 μm, kann geeignet sein. Geeignete mit seltenen Erden dotierte Gläser und zugehörige Verfahren werden in der mitanhängigen US-Anmeldung der Anmeldenummer 10/288,272. beschrieben, die am 5. November 2002 eingereicht wurde und die den Titel „Apparatus And Method For Reducing Stray Light In Substrate Processing Chambers" trägt, die zusammen mit der vorliegenden Anmeldung beantragt wurde und die hierin in ihrer Gesamtheit durch Verweis aufgenommen ist.
  • Die Aufmerksamkeit wird nun auf die 6 gelenkt, die schematisch ein Behandlungssystem darstellt, das in Übereinstimmung mit der vorliegenden Erfindung hergestellt und allgemein mit der Bezugszahl 120 bezeichnet ist. Das System 120 umfasst eine Kammerwand 122 als Teil einer gesamten Kammerwandanordnung, die dazu dient, eine Behandlungskammer 62 zu definieren. Bei dem vorliegenden Beispiel wurde nur die Kammerwand 122 aus Gründen der Klarheit dargestellt, obwohl solche Wände selbstverständlich auf eine Weise angeordnet werden, welche die Behandlungskammer umgibt, wie es in zuvor beschriebenen Figuren gezeigt ist. Die Kammerwand 122 dient weiter als eine Reflektorplatte für die Heizanordnung 52. Eine wenigstens halbtransparente Schicht 124 wird in einem beabstandeten Verhältnis zu der Kammerwand 122 getragen, so dass dazwischen ein Hohlraum oder Kanal 126 definiert wird. Während die Schicht 124 des vorliegenden Beispiels transparent ist, kann diese Schicht in einigen Ausführungen einen Grad selektiven Reflexionsvermögens oder Absorptionsvermögens aufweisen. Abstandhalter (nicht gezeigt) oder jede andere der vielen im Stand der Technik bekannten geeigneten Einrichtungen kann zur Aufrechterhaltung dieses beabstandeten Verhältnisses verwendet werden. Der Kanal 126 nimmt einen Fluss eines strömungsfähigen Materials 128 auf, das durch eine Anzahl von Pfeilen innerhalb des Kanals bezeichnet ist. Dieses strömungsfähige Material kann wechselweise auch als Strömungsmittel bezeichnet werden und es kann vorteilhaft eine Wärmeübertragungsrolle spielen, wobei das System 120 gekühlt wird. Das strömungsfähige Material kann je nach Wunsch eine Flüssigkeit oder ein Gas aufweisen.
  • Das strömungsfähige Material 128 dient jedoch sogar besonders vorteilhaft als ein Filterelement in Bezug auf wenigstens die Lampenstrahlung 52 oder die vom Substrat emittierte Strahlung 68. in diesem Zusammenhang sollte beachtet werden, dass von der Heizanordnung abgestrahlte Energie als an der Kammerwand 122 durch das strömungsfähige Material 128 reflektierend dargestellt ist, während die vom Behandlungsobjekt abgestrahlte Energie 68 so dargestellt ist, dass sie von dem strömungsfähigen Material 128 absorbiert wird. Bei einer Ausgestaltung kann das Strömungsmittel Wasser umfassen. Ein Vorteil dieses Merkmals besteht in der Tatsache, dass Wasser ein sehr starker Absorber für infrarote Strahlung bei Wellenlängen ist, die größer sind als ungefähr 1,4 μm und daher einen nützlichen selektiven Reflektor bilden kann in Kombination mit zum Beispiel jedem Breitbandreflektor, der als eine Kammerwand dient. Natürlich könnte die Kammerwand zusätzlich ausgekleidet oder überzogen sein, wie es oben beschrieben ist, und sie kann als eine Reflektorplatte dienen, die hinter der Heizanordnung angeordnet ist. Eine ausgekleidete Kammerwandkonfiguration kann ähnlich wie die ausgekleidete Kammer der 5 erscheinen, wobei sie von einer oder mehr halbtransparenten Schichten 124 (6) in einem beabstandeten Verhältnis zu den ausgekleideten Kammerwänden begleitet wird. Es sei wieder vermerkt, dass selektive Reflexion hinter der Heizanordnung (d. h. wie eine Reflektorplatte) erachtet wird, den größten Vorteil zu liefern, wobei es einige Beweggründe dafür geben kann, nicht die gesamte innere Peripherie der Kammer zu überziehen oder zu behandeln, wie zum Beispiel die Kosten des Systems. In Ausformungen, die eine Heizanordnung unter dem Behandlungsobjekt haben, wird gleichfalls ein beträchtlicher Vorteil erwartet, indem eine eine ähnliche Wasserschicht tragende Anordnung unter dem Behandlungsobjekt verwendet wird.
  • In vielen RTP-Systemen des Standes der Technik ist das Behandlungsobjekt einem Quarzfenster zugewandt, das es von den Heizlampen isoliert. Es wird erkannt, dass dieses Fenster selber einen Grad an spektraler Empfindlichkeit liefert, da es im Allgemeinen undurchsichtig ist für Wellenlängen, die länger sind als ungefähr 3,7 μm und bei kürzeren Wellenlängen transparent ist. Darüber hinaus zeigt das Fenster einen Übergangsbereich, der sich von praktischer Transparenz zu praktischer Undurchsichtigkeit erstreckt. Das Zentrum dieses Übergangsbereiches kann als eine Undurchsichtigkeitseinsetzwellenlänge betrachtet werden. Daher wirkt das Fenster auf eine Weise als ein Filter, die eher wie die Auskleidung der Ausführung der 5 selektive Reflexionseigenschaften einführt. Es gibt jedoch einen wichtigen Unterschied im Vergleich zu einer Kammeroberfläche, Wand oder Auskleidung, weil, das Fenster auch die ganze von der Heizanordnung emittierte Strahlung filtert, die letztendlich den Wafer erreicht. Daher wird das Fenster den Wirkungsgrad der Energieübertragung zu dem Behandlungsobjekt von der Heizanordnung maßgeblich beeinflussen. Bei dem Beispiel, in dem das Fenster wassergekühlt ist, wird ein relativ großer Energieverlust für wenigstens einige Quellen erwartet, wie oben bemerkt wurde, weil Wasser ein sehr starker Absorber von IR-Energie für Wellenlängen ist, die größer sind als 1,4 μm. Dementsprechend werden wassergekühlte Fenster hauptsächlich in Verbindung mit Wärmequellen kürzerer Wellenlänge als nützlich angesehen, wie zum Beispiel Quellen in Form von Bogenlampen, die den größten Teil ihrer Energie unterhalb dieser Wellenlänge emittieren. Nachdem diese erkannten Beschränkungen nun im Blickfeld sind, wird erachtet, dass Fensteranordnungen mit Vorteil ausgeführt und verwendet werden können. Wenn zum Beispiel ein Fenster aus einem Material mit einer Absorption gebildet wird, die bei Wellenlängen stark wird, die größer sind als 2 μm, wird dies als gleichfalls nützlich erachtet. Als eine Alternative zu einem Fenster, das eine Wasserschicht trägt, wird erkannt, dass die zuvor erwähnten mit seltenen Erden dotierten Gläser, die starke Absorptionsbänder im nahen Infrarot haben, in Fensteranordnungen eingesetzt werden können, die aus einer oder mehreren Schichten aufgebaut sind. Darüber hinaus können Gläser wie zum Beispiel Pyrex, das den größten Teil der Strahlung für Wellenlängen abschneidet, die größer sind als 2,5 μm, geeignet sein.
  • In Verbindung mit der Diskussion über Fenster ist es an dieser Steile angebracht zu vermerken, dass einige Materialien zum Bilden einer Kammerwand oder eines Überzuges darauf nicht annehmbar sein können, weil diese Materialien nicht so chemisch stabil oder so rein sein können, wie es gewünscht sein könnte, wenn sie dem Substrat direkt zugewandt sind und/oder mit dem Substrat in einer gemeinsamen Behandlungskammer angeordnet sind, obwohl diese Materialien äußerst wünschenswerte Charakteristiken zeigen können.
  • Wendet man sich nun der 7 zu, so wir die Aufmerksamkeit nun auf ein an anderes System gelenkt, das in Übereinstimmung mit der vorliegenden Erfindung hergestellt und im Allgemeinen mit der Bezugszahl 140 bezeichnet ist. Das System 140 umfasst eine Kammerwand 141 als Teil einer gesamten Kammeranordnung. Bei dem vorliegenden Beispiel wurde nur die Kammerwand 141 aus Gründen der Klarheit dargestellt, obwohl solche Wände selbstverständlich auf eine Weise angeordnet sind, welche die Kammeranordnung bildet. Die Kammerwand 141 dient weiter als eine Reflektorplatte für die Heizanordnung 52 und kann optional eine selektive Reflexionsvermögencharakteristik umfassen, wie oben beschrieben, um allgemein von der Heizanordnung abgestrahlte Energie 54 zu reflektieren, während sie allgemein vom Behandlungsobjekt abgestrahlte Energie absorbiert. Natürlich können andere Wände teilweise oder vollständig für eine selektive Reflexion konfiguriert sein, in Übereinstimmung mit der vorliegenden Erfindung. Eine Fensteranordnung 142 ist zwischen die Heizanordnung 52 und dem Behandlungsobjekt 64 zwischengeschoben. In diesem Fall kann die Fensteranordnung 142 eine doppelte Fensterstruktur umfassen, wobei ein Filterelement 144 der Heizanordnung 52 am nächsten positioniert ist. Bei einer Ausgestaltung ist ein transparentes Fenster 146 vom Filterelement 144 beabstandet, um einen Durchgang 148 zwischen sich selbst und dem Filterelement zu bilden. Der Durchgang 148 erlaubt den Fluss eines Kühlmittels 150, das durch Verwendung von Pfeifen bezeichnet ist, das entweder Gas oder eine dort hindurch fließende Flüssigkeit sein kann. Wie oben erwähnt ist, kann Wasser als das Kühlmittel verwendet werden, abhängig von zum Beispiel der Wellenlängencharakteristik der Heizanordnung. Weiter Diskussionen geeigneter Strömungsmittelcharakteristiken erfolgen an einer passenden Stelle weiter unten. Es sollte beachtet werden, dass von der Heizanordnung abgestrahlte Energie 54 zusätzlich zum Reflektieren von der selektiv reflektierenden Wand 141 als durch die Fensteranordnung 142 hindurchgehend dargestellt ist, während vom Behandlungsobjekt 68 abgestrahlte Energie so dargestellt ist, dass sie vom strömungsfähigen Material 150 absorbiert wird. Das gesamte Ansprechverhalten der Fensteranordnung kann wie jede hierin beschriebene Fensteranordnung mit einem abnehmenden Transmissionsvermögen bei zunehmender Wellenlänge charakterisiert werden. Weiter wird alle Energie 151, die allgemein innerhalb des Wellenlängenbereiches ist, der die vom Behandlungsobjekt abgestrahlte Energie einschließt, und welche die Wand 141 erreicht, im Allgemeinen von der Wand 141 absorbiert werden wird, die als eine Reflektorplatte dient, obwohl dies eine optionale Konfiguration ist und nicht ein Erfordernis. Es sei vermerkt, dass Energie 151 zum Beispiel als ein kleiner Teil der von der Heizquelle abgestrahlten Energie auftreten kann, als ein Teil der von dem Behandlungsobjekt abgestrahlten Energie, die der Absorption in der Fensteranordnung 142 entgeht, und/oder als ein Teil der Energie, die von einer Fensteranordnung wie der Fensteranordnung 142 abgestrahlt wird, nachdem sie geheizt wurde. Selbstverständlich kann ein einzelnes Plattenteil als eine Fensteranordnung eingesetzt werden, während die hierin enthaltenen Lehren angewendet werden. Weiter ist es in Bezug auf jede hierin beschriebene Ausführung selbst verständlich, dass eine zusätzliche Heizanordnung unter dem Behandlungsobjekt positioniert werden kann, die eine zusätzliche Fensteranordnung einsetzen kann, die zwischen der zusätzlichen Heizanordnung und dem Behandlungsobjekt getragen ist, was aus Gründen der Klarheit nicht gezeigt ist.
  • Bei anderen Ausgestaltungen, die man sich in Anbetracht der 7 leicht veranschaulichen kann, ist das Filterelement 144 in direkten Kontakt mit dem transparenten Fenster 146 versetzt, um den Durchgang 148 wenigstens von einem praktischen Standpunkt aus zu beseitigen. Die Funktion des transparenten Fensters ist es, als eine schützende Barriere zu dienen, um zu vermeiden, dass irgendeine Komponente der Filterschicht möglicherweise das Substrat kontaminiert. Das heißt, dass das Filterelement nicht im Kontakt mit der Substratprozessumgebung der Behandlungskammer steht. Wärmeübertragung zwischen dem transparenten Fenster 146 und dem Filterelement 144 kann hauptsächlich durch Leitung stattfinden, entweder durch ein Gas oder eine Schicht eines transparenten Bindemittels, dass zu Befestigungszwecken verwendet werden kann.
  • Das transparente Fenster in jeder der vorangegangenen Ausgestaltungen kann zum Beispiel aus einem Quarzglas gebildet sein. Mit Bezug auf das Filterelement 144 kann jedes geeignete Material oder Kombination von Materialien in diesen Ausgestaltungen verwendet werden, oder in jeder Ausführung, die in dieser gesamten Offenbarung offenbart ist, ohne Beschränkung auf die spezifischen Materialien, die hierin beschrieben sind, die selektives Reflexionsvermögen zeigen.
  • Mit Verweis auf die 8 kann in noch einer anderen Ausführung der vorliegenden Erfindung, die allgemein mit der Bezugszahl 160 bezeichnet ist, das Filterelement 144 einen Überzug aufnehmen, der als eine schützende/transparente Schicht 162 dient, die dem transparenten Fenster 146 funktionell äquivalent ist. Es gibt eine Anzahl an Materialien, die als eine schützende/transparente Schicht verwendet werden könnten, die SiO2, Al2O3 und YAG umfassen, aber nicht auf diese beschränkt ist. Die schützende Schicht kann auf die Filterschicht 144 durch jede Anzahl geeigneter Techniken aufgebracht werden, wie zum Beispiel Aufdampfen, Sputtern, Ionenplattierung und Tauchbeschichtung. Selbstverständlich kann jede der hierin beschriebenen Fensteranordnungen optionsweise mit jeder selektiv reflektierenden Wandanordnung verwendet werden, die hierin offenbart ist.
  • In Verbindung mit den 6 und 7 kann es Vorteile geben, wenn man eher Deuteriumoxid (D2O, schweres Wasser) statt normalem Wasser verwendet. D2O hat ein infrarotes Absorptionsspektrum, das Merkmale umfasst, die dem H2O-Absorptionsspektrum ähnlich sind, außer das sie zu längeren Wellenlängen hin verschoben sind. Das verlegt das starke Abschneiden der Absorption zu Wellenlängen, die größer sind als ungefähr 2,0 μm. Dementsprechend wird eine solche Ausgestaltung wegen einer begleitenden Reduktion bei der Absorption von Lampenstrahlung als vorteilhaft angesehen. Darüber hinaus wird die Verwendung der Spezies HDO, deuteriumisiertes Wasser, als ein Absorptionsspektrum aufweisend angesehen, das zwischen demjenigen von H2O und demjenigen von D2O liegt. Wie oben vermerkt wurde, kann H2O zum Beispiel als ein Strömungsmittel zur Fensterkühlung nicht ideal sein, wenn die Energiequelle ein Feld von W-Halogenlampen ist. D2O kann jedoch in dieser Anmeldung mit viel geringerem Energieverlust verwendet werden.
  • Es wird weiter vermerkt, dass jedes absorbierende System, das sich auf Absorption stützt, die aus Bindungen mit Wasserstoff erwächst, durch Deuterium modifiziert werden kann, so dass die Bindungen mit Wasserstoff durch Bindungen mit Deuterium ersetzt werden. Als nicht beschränkende Beispiele könnte das Absorptionsspektrum von Kieselglas durch die Einführung von O-D-Bindungen statt von OH-Bindungen geändert werden und organische Materialien, die C-H-Bindungen enthalten, könnten zu C-D-Bindungen geändert werden.
  • Nachdem das Wellenlängenansprechverhalten eines Quarzfensters oben beschrieben wurde, ist es wichtig zu verstehen, dass die Kammerkonfigu ration der selektiv reflexiven Oberfläche der vorliegenden Erfindung auch Wärme absorbiert, die ansonsten das Quarzfenster heizen würde, das normalerweise die Wolframlampen von der Waferprozessumgebung trennt. Das heißt, dass die Menge der Strahlung, die bei Wellenlängen, die absorbiert werden versucht, durch das Fenster zu treten, reduziert wird. Als anderen Effekt kann die Oberflächenkonfiguration des selektiven Reflektors thermische Strahlung absorbieren, die von einem Fenster selber emittiert wird (für ein Quarzfenster geschieht dies hauptsächlich bei Wellenlängen, die größer sind als ungefähr 3,7 μm, d. h. in dem Bereich, in dem das Fenster im Allgemeinen undurchsichtig ist und keine Lampen- oder Waferstrahlung hindurch lässt). In diesem Fall wird Strahlung, die von dem Fenster emittiert wird, nachdem es aufgeheizt wurde, von der Kammerwand nicht zurück auf das Fenster rückreflektiert, was zu einer niedrigeren Fenstertemperatur führt. Es ist wichtig zu vermerken, dass für einen selektiven Reflektor, damit er ausschließlich auf diese Weise arbeitet, nicht ein Übergang des Reflexions-/Absorptionsverhaltens bei 2 μm erforderlich ist, da eine Wellenlänge für den effektiven Fensterkühlungsablauf wenigstens ungefähr durch die Undurchsichtigkeitseinsetzwellenlänge definiert ist, d. h. typischerweise bei ungefähr mehr als 3,7 μm für Quarzfenster. Dementsprechend kann eine Übergangswellenlänge für einen selektiven Reflektor, die auf diese Weise verwendet wird, als jede geeignete Wellenlänge ausgewählt werden, die in Beziehung zu dem Undurchsichtigkeitsübergangsgebietes des Fensters gewählt wird. Als ein Beispiel werden höhere oder niedrigere Zahlen in Bezug auf das Undurchsichtigkeitsübergangsgebiet des Fensters als nützlich angesehen, so lange die Funktion des selektiven Reflektors mit den hierin vorhandenen Lehren zum Zwecke der Fensterkühlung vereinbar ist. Natürlich können die beiden Vorteile der verbesserten Waferkühlung und verbesserten Fensterkühlung verbunden werden, wie hierin gelehrt wird, jedoch ist dies nicht notwendig, um einen einzelnen der Vorteile unabhängig einzusetzen.
  • In Anbetracht des Vorangegangenen ist die Verwendung einer selektiven Reflektorkonfiguration sehr vorteilhaft in Bezug auf die Reduzierung des Betrages der Temperaturänderung, die ein Fenster wie zum Beispiel ein Quarzfenster erfährt, wenn aufeinander folgende Wafer prozessiert werden. An und für sich resultiert das fortschreitende Ansteigen der Temperatur des Fensters in inkonsistenten Prozessergebnissen. Die vorliegende Erfindung reduziert die Größe der Fenstertemperaturänderung, reduziert dadurch den „ersten-Wafer-Effekt" und verbessert die Prozessgleichförmigkeit während einer Zeitperiode, in der das Fenster aufgeheizt wird. Zur selben Zeit wird der Effekt des Fensters auf die Temperaturgleichförmigkeit des Wafers reduziert, um höhere Gleichförmigkeit der Wafertemperatur zu liefern. Das heißt, dass der Temperaturunterschied seitlich über die Fensterbreite reduziert wird. Die Vorteile einer verbesserten Fensterkühlung umfassen: (a) niedrigere erster-Wafer-Effekte, (b) verbesserte Wafergleichförmigkeit als ein Ergebnis von niedrigerer Rückstrahlung von Wärme von einem kühleren Fenster, und (c) schnellere Kühlung des Wafers, weil weniger Wärme zum Wafer zurück übertragen wird (entweder durch Strahlung, Leitung & Konvektion) als ein Ergebnis des Vorliegens eines relativ kühleren Fensters. Der letzte Punkt ist insbesondere dann relevant, wenn der Wafer auf eine Temperatur abgekühlt ist, die relativ nahe bei der Fenstertemperatur ist, obwohl auch bei hoher Temperatur einige Vorteile gegeben sind.
  • Verweist man wieder auf die 2, dann ist eine andere Klasse von Überzügen, die zum Erzeugen selektiver Reflektoren verwendet werden können, diejenige, die aus einzelnen oder mehrschichtigen Dünnfilmüberzügen gebildet sind. Dementsprechend kann die innere Schicht 66 eine Dünnfilmüberzuganordnung umfassen, die mit der Wandanordnung 65 zusammenwirken kann, um ein gewünschtes gesamtes Ansprechverhalten zu liefern. Dünnfilmüberzüge werden gemäß optischen Prinzipien entworfen, die den Entwurf für die Maximierung oder Minimierung des Reflexionsvermögens bei einer ausgewählten Wellenlänge, ausgewählten Wellenlängen oder über einem Wellenlängenband erlauben und oft in optischen Filteranwendungen verwendet werden. Es sollte anerkannt werden, dass solche Überzüge bis zu 1 nm dünn gebildet werden können. Dementsprechend wird die Kammeranordnung 65 in einer solchen Ausführung konfiguriert, um wenigstens die Wellenlängen zu absorbieren, die nicht reflektiert werden. Mehrschichtige Dünnfilmstapel können nach Wunsch erzeugt werden, basierend auf spezifischen Zielen wie dem abnehmenden Reflexionsvermögen mit ansteigender Wellenlänge, und werden für gewöhnlich durch Verfahren wie der physikalischen Dampfabscheidung oder chemischen Dampfabscheidung gebildet. In Übereinstimmung mit der vorliegenden Erfindung wird angenommen, dass ein Durchschnittsfachmann in Anbetracht dieser gesamten Offenbarung einen Entwurf zuschneiden kann, um ein hohes Reflexionsvermögen bei Wellenlängen unterhalb einer gewünschten Übergangswellenlänge und niedriges Reflexionsvermögen bei Wellenlängen oberhalb der gewünschten Übergangswellenlänge zu erhalten. Dünnfilmüberzüge können auf die meisten Materialien einschließlich Metalloberflächen, Fenster und andere Teile in der Prozessierausstattung wie gewünscht aufgetragen werden. Solche Überzüge werden oft bei der Konstruktion eines „kalten Spiegels" verwendet. Der letztere ist eine Überzugskomponente, die manchmal zum Isolieren von Wärme in Projektionssystemen verwendet wird. Solche beispielhaften Überzüge haben die Charakteristik, sichtbares Licht zu reflektieren, während sie infrarote Energie hindurch lassen und/oder absorbieren. Die vorliegende Erfindung erfordert jedoch eher eine Übergangswellenlänge im IR-Bereich als am Rand des sichtbaren Spektrums. Das heißt, dass eine modifizierte Form eines kalten Spiegels in Kombination mit einer darunter liegenden, absorbierenden Oberfläche derart verwendet werden kann, dass diese Energie nicht durch den Spiegel zurück reflektiert wird.
  • Selbstverständlich können Dünnfilmstapel in einer Fensteranordnung mit einem beträchtlichen Grad an Flexibilität verwendet werden. Dazu stellt die 9 einen Teil einer Doppelschichtfensteranordnung im Querschnitt dar, die allgemein mit der Bezugszahl 170 bezeichnet ist, das die Fensteranordnung zwischen der Heizanordnung 52 und dem Behandlungsobjekt (nicht gezeigt) in der Behandlungskammer hat. Die Fensteranordnung 170 umfasst eine erste Fensterschicht 172 und eine zweite Fensterschicht 174. Ein Durchgang 176 kann wie gewünscht zwischen diesen Fensterschichten definiert werden, und könnte ein strömungsfähiges Material (nicht gezeigt) tragen. Dementsprechend definiert die Fensteranordnung 170 vier Fensteroberflä chen, die mit den Bezugszahlen 178a-d bezeichnet sind. Selbstverständlich kann wenigstens jede dieser ausgewählten Oberflächen einen Dünnfilmstapel tragen. Im vorliegenden Beispiel wird ein Dünnfilmstapel 180 von der Fensteroberfläche 178d getragen.
  • Verweist man auf 10 und betrachtet wieder den Gegenstand des Einstellens des Ansprechverhaltens des Reflexionsvermögens, dann wird die Aufmerksamkeit auf einen Abschnitt 190 einer Kammerwand gelenkt, die in Übereinstimmung mit der vorliegenden Erfindung hergestellt ist. Der Wandabschnitt 190 umfasst ein äußeres strukturelles Wandteil 192, das zum Beispiel aus Aluminium gebildet ist. Es ist wichtig zu verstehen, dass Schichten auf eine Weise auf das Wandteil 172 aufgebracht werden können, welche die infrarote Absorptionsbandkante steuert, so dass man in der Lage ist, das selektive Reflexionsvermögen „einzustellen". In diesem Sinne bezieht sich „Einstellen" auf eine Fähigkeit des Verschiebens der infraroten Absorptionsbandkante einer selektiv reflexiven Wandkonfiguration zu entweder längeren oder kürzeren Wellenlängen.
  • Das vorangegangene kann durch eine erste zweckmäßige Schicht erreicht werden, die ein selektiv reflexives optisches Ansprechverhalten zeigt, wenn sie auf die Kammeroberfläche aufgebracht wird, wo es wünschenswert ist, eine selektiv reflexive Oberfläche zu erzeugen. Aus Gründen des vorliegenden Beispieles wird angenommen, dass die Bandkante, die durch die Schicht 194 hergestellt wird, bei einer längeren Wellenlänge ist als gewünscht. In Übereinstimmung mit der vorliegenden Erfindung kann jedoch die erste Schicht 194 mit einer zweiten Schicht 196 überzogen werden, indem man ein Material verwendet, das von demjenigen verschieden ist, aus dem die erste Schicht 194 gebildet ist und eine infrarote Absorptionsbandkante bei einer kürzeren Wellenlänge hat. Durch zweckmäßiges Auswählen einer Dicke für die zweite Schicht 196 wird eine verschobene Absorptionsbandkante erhalten, was aus dem Zusammenwirken der ersten und der zweiten Schicht resultiert. Das heißt, es wird eine gesamte Absorptionsbandkante geliefert, die eine Wellenlänge hat, die zwischen den „intrinsischen" Wellenlängen liegt, die von der ersten und der zweiten Schicht beigesteuert werden, wenn sie einzeln betrachtet werden. Dementsprechend sollte die zweite Schicht 196 in dem kürzeren Wellenlängenbereich (unterhalb der gewünschten Übergangswellenlänge) halbtransparent sein und in dem längeren Wellenlängenbereich (oberhalb der gewünschten Übergangswellenlänge) absorbierend. Es sollte verstanden werden, dass man sich diese Ausgestaltung nicht so vorstellen sollte, dass sie ein Dünnfilmeffekt ist, sondern als ein kombiniertes Ansprechverhalten, das aus den Masseneigenschaften der verschiedenen Schichten erwächst. Das kombinierte Ansprechverhalten der ersten beiden Schichten kann man sich als diejenige einer einzelnen Schicht zum Zwecke des Hinzufügens einer dritten Schicht vorstellen.
  • Nachdem oben die Vorteile der vorliegenden Erfindung im Einzelnen ebenso beschrieben wurden wie eine Anzahl von Ausführungen des Systems, ist es nun angebracht, mehr spezifische Einzelheiten in Bezug auf die vorausgesagten Vorteile zu liefern, die mit deren Verwendung im Zusammenhang mit einem USJ (Ultra Shallow Junction) Spike-Temperprozess für einen 300 mm Halbleiterwafer einhergehen.
  • 11 zeigt jeweilige USJ-Temperprofile 200 und 202 erster und zweiter Temperatur, wobei die Temperatur über der Zeit aufgetragen ist. Die Ergebnisse der 11 wurden unter Verwendung eines Satzes von Gleichungen in einem Computermodell erhalten, welches das Ansprechverhalten der Wafertemperatur während des USJ-„Spike"-Prozesses repräsentiert. Das Modell wurde unter der Annahme entwickelt, dass der Wafer mit einer konstanten Rate geheizt wird, wenn er von Heizanordnungen sowohl oberhalb als auch unterhalb des Wafers (d. h. Wolfram-Halogenlampen) bestrahlt wird. Es wird ebenso angenommen, dass die Waferkühlrate eine Funktion der verbleibenden Wärme von der Energiequelle ist, wenn die Energiequelle abgeschaltet wird (d. h. wenn die elektrische Leistung zu den Lampen beendet wird) und dass die Lampen verbleibende Energie in deren heißen Filamenten speichern und eine kleinere Menge an Energie in den Quarzlampenhüllen gespeichert wird und von diesen abstrahlt. Es wird Wärmeverlust durch Abstrahlung und Konvektion von der Konvektion von der Waferoberfläche angenommen. Der Wärmeverlust durch Abstrahlung von der Waferoberfläche während der Abkühlperiode ist eine Funktion des Reflexionsvermögens der Oberfläche des Kammerhohlraumes. Für diese Vorhersagen wird angenommen, dass die Lampenreflektorplatten den Hauptteil der relevanten Fläche der Kammeroberfläche repräsentieren. Daher berücksichtigt das vorliegende Beispiel, dass selektives Reflexionsvermögen nur an den Lampenreflektoroberflächen angewendet wird.
  • Verweist man weiter auf die 11, stellt das erste Temperaturprofil 170 ein Temperaturprofil des Standes der Technik dar, das als eine gestrichelte Linie gezeigt ist, und das unter Verwendung des zuvor beschriebenen Modells für ein standardmäßiges RTP-System erhalten wird, das Wolfram-Halogenlampen mit inneren Behandlungskammerwänden verwendet, die aus poliertem Aluminium gebildet sind. Das zweite Temperaturprofil 202 betrachtet eine identische Behandlungskammer und Wafer, außer dass die Lampenreflektoroberflächen, in diesem Falle nur die Oberfläche hinter den Lampen in Bezug auf den Wafer, mit diffusem Aluminiumoxid überzogen sind. Für das erste Profil 200 ist eine Spitzenbreite ΔT1 für einen Temperaturabfall um 100 Grad C gezeigt, der eine Zeitdauer von ungefähr 1,93 Sekunden hat. Für das zweite Profil 202 mit demselben Temperaturabfall um 100 Grad C ist die entsprechende Spitzenbreite ΔT2 jedoch nur ungefähr 1,71 Sekunden. Bemerkenswerterweise zeigt sich eine Verbesserung um ungefähr 11,3%. Das Abkühlen des Wafers wird dramatisch verbessert, wobei die Abkühlperiode selber um ungefähr 15% verkürzt wird.
  • Wendet man sich nun einer Diskussion der Verwendung der Strahlungspyrometrie zu, kann in Anbetracht der einführenden Diskussion im Abschnitt „Hintergrund" der vorliegenden Anmeldung ein möglicher Widerspruch der Anforderungen erwachsen, wenn es gewünscht ist, eine hoch reflexive Oberfläche zum Zwecke des Steigerns des effektiven Emissionsvermögens eines Behandlungsobjekts, wie zum Beispiel eines Halbleiterwafers, zu liefern. In diesem Zusammenhang kann es wünschenswert sein, eine Kammer bereitzustellen, die bei der Pyrometerwellenlänge hoch reflektierend ist. Die ser Wunsch kann jedoch mit einem Wunsch im Konflikt stehen, die Kammer für den größten Teil der thermischen Strahlung, die von dem Wafer emittiert wird, hoch absorbierend zu machen.
  • Verweist man auf die 12, löst die vorliegende Erfindung diese widerstreitenden Wünsche auf eine sehr vorteilhafte Weise durch die Verwendung eines Kammerinneren mit einem Reflexionsspektrum auf, das ein hohes Reflexionsvermögen bei der Pyrometerwellenlänge zeigt, während das Innere ein niedriges totales Reflexionsvermögen für das thermische Strahlungsspektrum des Wafers zeigt. Dazu stellt 12 einen Graphen eines idealisierten spektralen Ansprechverhaltens 220 eines selektiv reflexiven Überzuges oder Materials dar, das die passenden Charakteristiken liefert. Ein solcher Überzug kann auf viele geeignete Weisen konstruiert werden wie zum Beispiel durch den Entwurf eines mehrschichtigen Dünnfilmstapels. Wie oben erwähnt wurde, sind solche Dünnfilmstapel für den Durchschnittsfachmann in Anbetracht eines besonderen Spektrums des Reflexionsvermögens, das gewünscht wird, herstellbar. Darüber hinaus wird die Auswahl oder Herstellung eines Massenmaterials betrachtet, das ein Reflexionsvermögen zeigt, das bei der Pyrometerwellenlänge maximal ist, aber ansonsten ein niedriges totales Reflexionsvermögen hat. Jedes Material, das ein geeignetes spektrales Ansprechverhalten liefert, ob es gegenwärtig erhältlich ist oder noch entwickelt werden muss, wird zur Verwendung hierin in Erwägung gezogen. Das Ansprechverhalten 220 umfasst einen allgemeinen Abfall 222 des Reflexionsvermögens bei ungefähr 2 μm. Eine Spitze 224 des Reflexionsvermögens ist bei der Pyrometerwellenlänge zentriert, um Strahlung in einem engen Wellenlängenband zu reflektieren, das wenigstens ungefähr bei der Pyrometerwellenlänge zentriert ist. Als ein Vermerk sollte anerkannt werden, dass ein spektrales Reflexionsvermögens ohne die Anwesenheit der Spitze 224 das idealisierte Spektrum des Ansprechverhaltens eines Materials repräsentiert, das zum Steigern der Waferkühlung geeignet ist, während es noch ein hohes Reflexionsvermögen zur effektiven Lampenheizung liefert (siehe auch 3).
  • Die Auswahl der spezifischen Messwellenlänge, die für die Pyrometrie verwendet wird, kann wenigstens zum Teil auf der Erhältlichkeit eines geeigneten Materials für Dünnfilmstapel basieren. Obwohl der Ausdruck „Pyrometerwellenlänge" hierin so verwendet wird, als ob das Pyrometer auf nur eine Wellenlänge anspricht, bezieht sich dieser Ausdruck selbstverständlich auf das Zentrum eines relativ engen Wellenlängenbandes, über dem das Pyrometer anspricht.
  • Verweist man auf die 13 in Verbindung mit der 12, zeigt die erste schematisch ein System 240, das eine Behandlungskammer 242 mit jeweiligen oberen und unteren Lampenanordnungen 52a und 52b hat, die darin positioniert sind, um entgegen gesetzte Oberflächen eines Behandlungsobjekts 64 wie zum Beispiel eines Halbleiterwafers 64 zu bestrahlen. Ein Pyrometer oder ein Satz von Lichtsammeloptiken 250 eines Pyrometers ist mit einem Sichtfeld auf den Wafer durch die Kammerwand und zwischen benachbarten Lampen vorgesehen, um die Wafertemperatur zu erfassen. Während aus Gründen der Einfachheit nur eine Pyrometeranordnung in dem vorliegenden Beispiel dargestellt ist, kann jede geeignete Anzahl eingesetzt werden, die entweder nur auf eine oder auf beide Seiten des Wafers blicken, wie weiter beschrieben werden wird. Weiter wurde ein selektiv reflexives Inneres 252 in der Kammer 242 vorgesehen, welches das spektrale Ansprechverhalten ausgestaltet, das durch die 12 dargestellt wird. Auf diese Weise ist das Reflexionsvermögen des Kammerinneren bei der Pyrometerwellenlänge hoch, während es die oben beschriebenen Vorteile in Bezug auf die Waferheiz- und Kühlcharakteristiken liefert.
  • In Anbetracht der 12 hat die vorliegende Erfindung ein sehr vorteilhaftes und vordem ungesehenes angepasstes spektrales Ansprechverhalten oder spektrales Ansprechverhaltensystem bereit gestellt, das auf die Waferheizung, Waferkühlung und verbesserte Temperaturmessungen zugeschnitten oder angepasst ist. Es wird in Erwägung gezogen, dass zusätzliche Faktoren, die für die Kammerleistung wichtig sind, in diesem gesamten System spektralen Ansprechverhaltens beitragen können, was in vordem uner reichbaren Vorteilen resultiert. Zum Beispiel, wie weiter beschrieben werden wird, kann das Kammeransprechverhalten angepasst werden, um Streulicht abzuschwächen, das in das (die) Pyrometer eintreten könnte. Selbstverständlich kann die Kammeranordnung der 13 wie alle anderen hierein beschriebenen Kammeranordnungen auf jede geeignete Weise angepasst werden, wie weiter beschrieben werden wird.
  • Die vorliegende Erfindung erkennt ein allgemeines Prinzip, bei dem ein Kammerreflexionsspektrum entworfen wird, um gleichzeitig die Heiz- und/oder Kühlleistung in Verbindung mit der Pyrometergenauigkeit zu optimieren. In diesem Zusammenhang kann es auch bei einigen Pyrometrieschemata Vorteile dahingehend geben, dass die Kammer (oder Teilen der Kammer) bei der Pyrometerwellenlänge hoch absorbierend gemacht wird. Als ein Beispiel kann die Verteilung von Streulicht von den Lampen der Heizanordnung innerhalb der Kammer derart gesteuert werden, dass das Streulicht einen minimalen Einfluss auf Pyrometermeßwerte hat. Um dies zu erreichen, kann ein niedriges Reflexionsvermögen bei der Pyrometerwellenlänge vorgesehen werden, entweder über der Gänze der Kammer oder auf Teile der Kammerwände begrenzt, die dazu neigen, Licht von den Heizlampen auf optische Wege zu führen, die in die Pyrometeroptiken führen. Diese Erkenntnis, die Kammerwände an und für sich auf eine Weise zu entwerfen, die diese Streulichtwege abschwächt, kann sich als ein kraftvolles Konzept für zukünftige Kammerentwürfe erweisen, das für sich selber steht, neben anderen sehr vorteilhaften Erkenntnissen, die durch die vorliegende Erfindung ans Licht gebracht werden, wie zum Beispiel verbesserte Kühlung. Steuerung von Streulicht ist wenigstens aus dem Grund von Belang, dass es andauernde Bedenken mit der Wafertemperaturmessung gibt, die für gewöhnlich durch den Reflektorentwurf beeinflusst wird. Dementsprechend wird ein spektral selektiver Überzug, der getrennte, angepasste Optimierung der Heiz-/Kühlleistung (zum Beispiel Heizrate und Kühlrate) und Gleichförmigkeit erlaubt sowie ein Pyrometerentwurf betrachtet, der erachtet wird, weitreichende Verbesserungen des Standes der Technik zu liefern, wobei er für seine eigenen Verdienste steht. Zur gleichen Zeit wird erachtet, dass diese Erkenntnis bemerkenswerte Verbesserungen in Kombination mit anderen sehr vorteilhaften Konzepten liefert, die hierin gelehrt werden.
  • Die Aufmerksamkeit wird nun auf die 14 gelenkt, die schematisch eine andere mögliche Lösung für die Optimierung des Pyrometeransprechverhaltens in einer Ausführung eines Systems darstellt, das allgemein mit der Bezugszahl 260 versehen ist. Insbesondere liefert eine Kammerwandoberflächenanordnung 262 ein hohes Reflexionsverhalten nur lokal in einem Bereich 264 um die Pyrometeröffnung selbst. Die Kammer kann ansonsten ein selektiv reflexives Inneres 266 bereitstellen, das durch eine dicke Linie bezeichnet ist. Auf diese Weise kann ein Hauptteil der Eigenschaften der Kammerwand einbehalten werden, insbesondere in Bezug auf das Vorsehen verbesserter Waferkühlung. Es sei vermerkt, dass das Innere 266 für das Pyrometer optimiert sein kann, indem es ein niedriges Reflexionsvermögen bei der Pyrometerwellenlänge zum Zwecke des Unterdrückens von Streulicht hat, so wie es mit Bezug auf die 15 grundsätzlich verstanden wird, die noch zu beschreiben ist. Um eine übermäßige Ungleichförmigkeit der Kühlung in dem Wafer zu vermeiden, sollte der Bereich 264 mit hohem Reflexionsvermögen jedoch ganz klein sein und/oder der Wafer sollte derart rotiert werden, dass jede Ungleichförmigkeit, die in diesem Bereich erzeugt wird, über der Waferoberfläche beseitigt wird, um jede lokale Temperaturungleichförmigkeit azimutal auszumitteln, die sich aus der Ungleichförmigkeit der Kammer ergibt. Ein Kriterium, das für den Fachmann wohlbekannt ist, um sicherzustellen, dass der Bereich 264 um die Pyrometeröffnung zur wesentlichen Verbesserung des Emissionsvermögens ausreichend groß ist, basiert auf dem Abstand zwischen der Pyrometeröffnung und dem Wafer sowie dem Bereich des Erfassungswinkels der Pyrometeroptiken. Ein geradlinigerer Ansatz schlägt vor, dass der Bereich des hohen Reflexionsvermögens einen Radius von wenigstens 0,25 mal dem Abstand zwischen der Öffnung und dem Wafer haben sollte. Dies kollidiert mit dem Gleichförmigkeitserfordernis, den Bereich klein zu halten, und unterstreicht so den Vorteil eines spektral selektiven Ansatzes, wie er durch die vorliegende Erfindung gelehrt wird. Bei einer alternativen Ausgestaltung kann der Bereich 264 eher hoch absorbierend anstatt hoch reflektierend sein. Eine solche Ausgestaltung kann für ein Pyrometer nützlich sein, bei dem ein anderes Schema zur Korrektur des Emissionsvermögens verwendet wird. Eine solche Ausgestaltung hoher Absorption kann dann eingesetzt werden, um Streulicht abzuschwächen, das auf die absorbierende Oberfläche auftrifft, insbesondere in Bezug auf, aber nicht darauf beschränkt, Streulicht, das von den Lampen nahe den Pyrometereingangsoptiken herrührt. 15 ist ein Graph eines idealisierten spektralen Ansprechverhaltens 270 des selektiv reflexiven Inneren 266 der 14, wobei eine Pyrometerwellenlänge von 1 μm angenommen wird. Das Ansprechverhalten 270 umfasst einen allgemeinen Abfall 222 des Reflexionsvermögens sowie eine Mulde 272 des Reflexionsvermögens bei einer Wellenlänge von 1 μm zur Verwendung bei der Unterdrückung von Streustrahlung in dem Pyrometerband, das bei 1 μm zentriert ist. Der Vergleich der 15 mit der 12 ist in Bezug auf das Verständnis dafür lehrreich, dass die Lage des Bandes des Pyrometeransprechverhaltens wählbar ist. Darüber hinaus kann es abhängig von sofortigen Zielen wünschenswert sein, die Pyrometerwellenlänge zu unterdrücken oder sie zu reflektieren. Bei einigen Ausgestaltungen kann ein Teil der Kammer die Pyrometerwellenlänge selektiv reflektieren, während ein anderer Teil der Kammer die Pyrometerwellenlänge selektiv absorbieren kann. Zum Beispiel kann der Bereich 264 der 14 konfiguriert sein, um nur die Pyrometerwellenlänge selektiv zu reflektieren, während der Bereich 266 gemäß der 15 anspricht. Bei einer alternativen Ausgestaltung kann das spektrale Ansprechverhalten der 15 für den Innenbereich 252 der Systemanordnung der 13 zum Beispiel in dem Fall verwendet werden, wenn das Pyrometer mehr durch Streulicht gestört wird als durch Fragen des Emissionsvermögens. Darüber hinaus kann das spektrale Ansprechverhalten der 15 auch im System 260 der 14 nützlich sein, bei dem es gewünscht ist, dass der Bereich 264 Streulicht abschwächt, wenn das Emissionsvermögen anderweitig angesprochen wurde. Im Zusammenhang mit allen diesen verschiedenen Beispielen ist es wichtig sich klar zu machen, dass jede Anzahl alternativer, angepasster Ansprechverhalten gebildet werden kann, basierend auf Entwurfsbetrachtungen, die in einer bestimmen Einrichtung erwachsen können. Zum Beispiel kann es in einer Einrichtung für einen ausgewählten Bereich wünschenswert sein, absor bierend oder selektiv absorbierend zu sein, während es in einer anderen Einrichtung für denselben Bereich wünschenswert sein kann, reflektierend oder selektiv reflektierend zu sein. In Anbetracht der hierin enthaltenen Lehren wird vorgebracht, dass die vorliegende Erfindung einen sehr flexiblen Satz an Entwurfskonzepten liefert, die verwendet werden können, um ein weites Feld von Entwurfszielen zu bewältigen, denen sich der Durchschnittsfachmann zum Zwecke des Anpassens des Kammeransprechverhaltens gegenübersieht.
  • Die 16 stellt schematisch ein System 280 dar, das eine einseitige Waferheizung hat, die eine Heizanordnung 52 innerhalb einer Kammer 282 verwendet. Ein Kammerinneres 284 wird gleichförmig behandelt, um selektives Reflexionsverhalten in der ganzen Kammer zu liefern. Das Pyrometer 250 oder dessen Optiken ist so angeordnet, dass es eine niedrigere Oberfläche des Wafers 64 sieht. Das Reflexionsspektrum des Inneren 284 kann für das Pyrometer 250 optimiert werden. Abhängig von den Pyrometereinzelheiten kann es wieder von Vorteil sein, entweder ein hohes oder ein niedriges Reflexionsverhalten bei der Pyrometerwellenlänge zu haben.
  • Die 17 stellt schematisch ein System 290 dar, das eine einseitige Waferheizung hat, die eine Heizanordnung 52 innerhalb einer Kammer 292 verwendet, die für Pyrometrie optimiert ist. Ein Teil 294 der Kammer um das Pyrometer ist selektiv reflektierend, um die Pyrometerleistung zu optimieren. Ein anderer Teil 296 des Kammerinnenbereiches, der durch eine dicke, durchgehende Linie bezeichnet ist, ist von den Pyrometeroptiken weg beabstandet, so dass dessen Reflexionsspektrum nicht notwendigerweise für das Pyrometer optimiert ist. Der Teil 296 kann jedoch nichtsdestotrotz optimiert werden, um zum Beispiel ein niedriges Reflexionsvermögen bei der Pyrometerwellenlänge zur besseren Unterdrückung von Streulicht (siehe 15) zu haben. Es sei vermerkt, dass der Teil 294, der das Pyrometer umgibt, auf jede geeignete Weise konfiguriert sein kann, die von dem Teil 296 verschieden ist, angesichts der Entwurfsumstände, die angetroffen werden, von denen eine Anzahl möglicher oben umrissen sind.
  • Die 18 stellt schematisch ein System 300 dar, das eine einseitige Waferheizung hat, die eine Heizanordnung 52 innerhalb einer Kammer 302 verwendet. Bei diesem Beispiel wird ein selektiver Reflektorüberzug 304, bezeichnet durch Verwendung einer dicken durchgehenden Linie, entworfen, um Pyrometerleistung für die einseitige Heizkammer zu optimieren. Das selektive Reflexionsvermögen ist insbesondere nicht aus dem Bereich um die Pyrometeroptiken ausgeschlossen, so dass dessen Reflexionsspektrum für das Pyrometer optimiert werden kann, zum Beispiel durch Beibehalten eines hohen Reflexionsvermögens bei der Pyrometerwellenlänge. In dieser Situation könnte der Überzug bei allen Wellenlängen abseits der Pyrometerwellenlänge absorbierend sein, wie dargestellt werden wird. Es sei vermerkt, dass ein Teil der unteren Oberfläche der Kammer, die dem Wafer zugewendet ist, in dem vorliegenden Beispiel mit dem Überzug 304 behandelt wurde. Als ein Ergebnis des Vorliegens des Überzuges 304 sollte anerkannt werden, dass ein gleichförmiges Prozessergebnis wahrscheinlich ist. Die verbleibenden Teile 306 des Kammerinnenbereiches, die durch Verwendung. einer Doppellinie bezeichnet sind, können zum Zwecke des wirkungsvollen Reflektierens der Lampenstrahlung behandelt sein. Alternativ dazu kann der Überzug 304 zur Streulichtunterdrückung konfiguriert sein, abhängig von zum Beispiel Pyrometriebetrachtungen im Zusammenhang damit. Natürlich kann selektives Reflexionsvermögen übereinstimmend mit den obigen Beschreibungen eingesetzt werden. Bei einer Ausgestaltung können die Teile 306 der Kammer mit dem selektiven Reflexionsvermögen behandelt werden, um Waferheiz-/Kühlleistung zu verbessern. Bei einer anderen Ausgestaltung können die Teile 306 behandelt werden, um die Pyrometerwellenlänge zu absorbieren, wie es durch die Mulde 272 des Reflexionsvermögens in der 15 dargestellt ist. Darüber hinaus können diese Ausgestaltungen derart kombiniert werden, dass Teile 306 auf eine Weise ansprechen, die mit der 15 übereinstimmt.
  • Die Aufmerksamkeit wird nun auf die 19 in Verbindung mit der 18 gelenkt. Die erstere ist ein Graph eines idealisierten spektralen Ansprechverhaltens 310 des selektiv reflexiven Überzugs 304 unter der Annah me einer Pyrometerwellenlänge von 2,5 μm. Das Ansprechverhalten 310 umfasst eine Spitze 312 des Ansprechverhaltens in einem engen Wellenlängenband, das bei ungefähr 2,5 μm derart zentriert ist, dass alle anderen Wellenlängen in Bezug auf die Pyrometerwellenlänge abgeschwächt werden. Dieser Ansatz wird in einem einseitigen Heizsystem für die Verbesserung des effektiven Emissionsvermögens bei dieser Wellenlänge als nützlich angesehen. Der Überzug kann auf den Reflektor begrenzt sein, der unterhalb des Wafers ist. Weil es keine Lampen gibt, die unter dem Wafer angeordnet sind, muss das Reflexionsvermögen für kurze Wellenlängen nicht hoch sein.
  • 20 stellt schematisch ein System 320 dar, das eine einseitige Waferheizung hat, die eine Heizanordnung 52 innerhalb einer Kammer 322 verwendet. Ein Bereich 326 umfasst einen Bereich des Kammerbodens, der als dicke Linie gezeigt ist, die für einen Bereich 328 um die Pyrometeroptiken ausschließlich ist. Der Bereich 328 wird zum Beispiel unter Verwendung eines Überzuges behandelt, so dass das Reflexionsspektrum des Bereichs 326 für das Pyrometer nicht optimiert werden muss. Der Bereich 328 ist jedoch für ein hohes Reflexionsvermögen in dem Pyrometerband konfiguriert. In Bezug auf die Pyrometerleistung kann der Bereich 326 optimiert werden, um zum Beispiel ein niedriges Reflexionsvermögen bei der Pyrometerwellenlänge für eine bessere Unterdrückung von Streulicht zu haben, wie es durch die 15 dargestellt ist. In einer alternativen Ausgestaltung kann der Bereich 326 ein Breitbandabsorber zum Zwecke der Pyrometrieverbesserung und verbesserter Waferkühlung sein.
  • 21 ist eine schematische Draufsicht von oberhalb des Wafers 64, die aus darstellerischen Gründen als transparent gezeigt ist. Der Wafer 64 ist innerhalb einer Kammer 330, die in Übereinstimmung mit der vorliegenden Erfindung hergestellt ist, und die eine einseitige oder zweiseitige Heizung hat. Der Wafer 64 wird, wie durch einen Pfeil 332 angezeigt, gegen das Sichtfeld mehrerer Pyrometer 250a-c (oder deren Optiken) an verschiedenen Radien 334a-c vom Waferzentrum rotiert. Ein selektiver Reflektor oder Absorberüberzug 336 ist auf dem Kammerboden aufgebracht und kann jeweils aus Berei chen 338a-c um jedes Pyrometer ausgeschlossen werden, um Leistungsverschlechterungen bei der Pyrometrie zu vermeiden, in Übereinstimmung mit den vorangegangenen Beschreibungen. Obwohl jede der vorangegangenen physikalischen Ausgestaltungen mit verschiedenen Komponenten dargestellt worden ist, die besondere jeweilige Orientierungen haben, kann die vorliegende Erfindung selbstverständlich eine Vielzahl spezifischer Konfigurationen annehmen, wobei die verschiedenen Komponenten ein einer breiten Vielzahl von Positionen und gegenseitigen Orientierungen angeordnet werden können. Darüber hinaus können die hierin beschriebenen Verfahren auf eine unbegrenzte Anzahl von Weisen modifiziert werden, zum Beispiel durch Umordnen, Modifizieren und Rekombinieren der verschiedenen Schritte. Dementsprechend sollte es offensichtlich sein, dass die Anordnungen und zugehörigen Verfahren, die hierin offenbart sind, in einer Vielzahl verschiedener Konfigurationen bereit gestellt werden können und auf eine unbegrenzte Anzahl verschiedener Wege modifiziert werden können, und dass die vorliegende Erfindung in vielen anderen spezifischen Formen ausgeführt werden kann, ohne den Geist oder die Reichweite der Erfindung zu verlassen. Deshalb sind die vorliegenden Beispiele und Verfahren als darstellend und nicht als beschränkend anzusehen, und die Erfindung ist nicht auf die hierin angegeben Einzelheiten beschränkt, sondern kann wenigstens innerhalb der Reichweite der beiliegenden Ansprüche modifiziert werden.
  • Zusammenfassung
  • Es wird eine Kammer mit einem anpassbaren Spektralverhalten beschrieben, die verwendet werden kann, um wenigstens die Kammerleistung für eine Wafererwärmung, eine Waferkühlung, Temperaturmessung und Streulicht anzupassen. Gemäß einem Aspekt ist ein System beschrieben zum Behandeln eines Behandlungsobjekts mit einem vorgegebenen Emissionsspektrum bei einer Behandlungsobjekttemperatur, welche bewirkt, dass das Behandlungsobjekt eine vom Behandlungsobjekt abgestrahlte Energie erzeugt. Die Kammer spricht in einer ersten Art und Weise auf die von der Heizanordnung abgestrahlte Energie an und in einer zweiten Art und Weise auf die vom Behandlungsobjekt abgestrahlte Energie, die darauf auftrifft. Die Kammer kann in der ersten Art und Weise ansprechen durch Reflektieren des Hauptteils der von der Wärmequelle abgestrahlten Energie und in einer zweiten Art und Weise durch Absorbieren des Hauptteils der vom Behandlungsobjekt abgestrahlten Energie. Unterschiedliche Teile der Kammer können behandelt sein mit selektiver Reflektivität basierend auf Designbetrachtungen, um Ziele hinsichtlich eines bestimmten Kammerleistungsparameters zu erreichen.

Claims (166)

  1. System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, wobei das System folgendes aufweist: eine Heizanordnung zum Heizen des Behandlungsobjekts unter Verwendung einer von der Heizanordnung abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; und ein eine Kammer definierendes Mittel zur Verwendung beim Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie während das Behandlungsobjekt innerhalb der Behandlungskammer derart getragen ist, dass ein erster Bruchteil der von der Heizanordnung abgestrahlten Energie und ein zweiter Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie auf eine Wandanordnung auftreffen, die einen Teil des die Kammer definierenden Mittels bildet und wenigstens ein Teil dieser Wandanordnung konfiguriert ist, um auf eine erste Weise auf einen Hauptteil des ersten Bruchteils der von der Heizanordnung abgestrahlten Energie anzusprechen, die darauf auftrifft, und um auf eine zweite Weise auf einen Hauptteil des zweiten Bruchteils der vom Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft.
  2. System nach Anspruch 1, wobei der Teil der Wandanordnung konfiguriert ist, um auf eine erste Weise durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie anzusprechend und um auf eine zweite Weise durch Absorbieren des Hauptteils der vom Behandlungsobjekt abgestrahlten Energie anzusprechen.
  3. System nach Anspruch 2, wobei das die Kammer definierende Mittel ein erstes Reflexionsspektrum für den ersten Bruchteil der von der Heizquelle abgestrahlten Energie aufzeigt und ein zweites, verschiedenes Reflexionsspektrum für den zweiten Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie aufzeigt.
  4. System nach Anspruch 2, wobei der Teil der Wandanordnung mehr als ungefähr 75% der von der Heizquelle abgestrahlten Energie reflektiert während er mehr als ungefähr 75% der von dem Behandlungsobjekt abgestrahlten Energie absorbiert.
  5. System nach Anspruch 2, wobei der Teil der Wandanordnung wenigstens 60% der von der Heizquelle abgestrahlten Energie reflektiert während er wenigstens 60% der von dem Behandlungsobjekt abgestrahlten Energie absorbiert.
  6. System nach Anspruch 2, wobei wenigstens der Teil der Wandanordnung eine innere Materialschicht umfasst, die auf wenigstens die zweite Weise anspricht.
  7. System nach Anspruch 6, wobei die innere Materialschicht eine Dicke in einem Bereich von 1 nm bis 1,5 mm umfasst.
  8. System nach Anspruch 6, wobei die Wandanordnung eine Anordnung metallischer Wände umfasst, welche die innere Materialschicht tragen.
  9. System nach Anspruch 8, wobei die metallischen Wände Aluminium umfassen.
  10. System nach Anspruch 6, wobei die innere Materialschicht wenigstens entweder Aluminiumoxid oder Titandioxid umfasst.
  11. System nach Anspruch 6, wobei die innere Materialschicht ein Oxid wenigstens eines Elementes umfasst.
  12. System nach Anspruch 10, wobei die innere Schicht eine Dicke in dem Bereich von ungefähr 1 nm bis 1,5 Millimeter umfasst.
  13. System nach Anspruch 6, wobei die innere Materialschicht ein Polymer umfasst.
  14. System nach Anspruch 13, wobei das Polymer wenigstens teilweise zu dem Ansprechverhalten der Wandanordnung auf wenigstens die erste Weise oder die zweite Weise beiträgt.
  15. System nach Anspruch 13, wobei die innere Schicht einen Füllstoff mit dem Polymer umfasst.
  16. System nach Anspruch 15, wobei das Polymer eine selektiv reflexive Charakteristik umfasst und der Füllstoff die selektiv reflexive Charakteristik des Polymers als ein Basisüberzugsmaterial modifiziert.
  17. System nach Anspruch 16, wobei der Füllstoff wenigstens Aluminiumoxidpartikel, Titandioxidpartikel, Glaspartikel oder Glasfasern umfasst.
  18. System nach Anspruch 2, wobei die Wandanordnung ein oder mehrere Wandteile umfasst, die zusammenwirken, um eine innere Peripherie zu definieren und die innere Peripherie ein oder mehrere Folienteile trägt, um den ersten Bruchteil der von der Heizanordnung abgestrahlten Energie zu empfangen und um den zweiten Bruchteil der vom Behandlungsobjekt abgestrahlten Energie derart zu empfangen, dass die Folienteile wenigstens auf die zweite Weise durch Absorbieren des Hauptteils des zweiten Bruchteils der von dem Behandlungsobjekt abgestrahlten Energie ansprechen, die darauf auftrifft.
  19. System nach Anspruch 2, wobei die Wandanordnung ein oder mehrere Kammerwandteile umfasst, die zusammenwirken, um eine innere Peripherie zu definieren und die innere Peripherie ein oder mehrere Folienteile trägt, um den ersten Bruchteil der von der Heizanordnung abgestrahlten Energie zu empfangen und um den zweiten Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie derart zu empfangen, dass die Folienteile auf die erste und die zweite Weise ansprechen.
  20. System nach Anspruch 2, wobei die Wandanordnung konfiguriert ist, um eine Schicht eines strömungsfähigen Materials auf eine Weise zu tragen, die das strömungsfähige Material der von der Heizquelle abgestrahlten Energie und der von dem Behandlungsobjekt abgestrahlten Energie derart aussetzt, dass das strömungsfähige Material wenigstens auf die erste oder die zweite Weise anspricht.
  21. System nach Anspruch 20, wobei die Wandanordnung (i) ein oder mehrere Kammerwandteile umfasst, die zusammenwirken, um eine innere Peripherie zu definieren und (ii) ein transparentes Wandteil umfasst, das zwischen wenigstens einem Teil der inneren Peripherie und dem Substrat getragen ist, um einen Durchgang zwischen dem Teil der inneren Peripherie und dem transparenten Wandteil derart zu definieren, dass das strömungsfähige Material der von der Heizquelle abgestrahlten Energie und der von dem Behandlungsobjekt abgestrahlten Energie durch das transparente Wandteil ausgesetzt ist.
  22. System nach Anspruch 20, wobei das strömungsfähige Material weiter dazu dient, das die Kammer definierende Mittel zu kühlen.
  23. System nach Anspruch 20, wobei das strömungsfähige Material eine Flüssigkeit ist.
  24. System nach Anspruch 23, wobei die Flüssigkeit Wasser umfasst.
  25. System nach Anspruch 23, wobei die Flüssigkeit deuteriumisiertes Wasser umfasst.
  26. System nach Anspruch 23, wobei die Flüssigkeit Deuteriumoxid umfasst.
  27. System nach Anspruch 20, wobei das strömungsfähige Material gasförmig ist.
  28. System nach Anspruch 20, wobei die Wandanordnung eine äußere Wand und eine innere Wand umfasst, die nach innen näher zu dem Behandlungsobjekt beabstandet ist, um einen Hohlraum zwischen der inneren Wand und der äußeren Wand zu definieren, um das strömungsfähige Material aufzunehmen und wobei das strömungsfähige Material darin aufgenommen ist.
  29. System nach Anspruch 28, wobei die innere Wand für die von der Heizquelle abgestrahlte Energie und die von dem Behandlungsobjekt abgestrahlte Energie im Wesentlichen transparent ist.
  30. System nach Anspruch 28, wobei die innere Wand für die von der Heizquelle abgestrahlte Energie im Wesentlichen transparent ist, während sie für die vom Behandlungsobjekt abgestrahlte Energie im Wesentlichen undurchsichtig ist.
  31. System nach Anspruch 2, wobei die Wandanordnung wenigstens einen einschichtigen Dünnfilmüberzug oder einen Dünnfilmstapel umfasst, der wenigstens auf die erste Weise anspricht, um den Hauptteil der von der Heizquelle abgestrahlten Energie zu reflektieren.
  32. System nach Anspruch 2, wobei die Wandanordnung eine geschichtete Konfiguration umfasst, die aus wenigstens zwei Schichten derart aufgebaut ist, dass eine innere Schicht dem Behandlungsobjekt am nächsten ist.
  33. System nach Anspruch 32, wobei die innere Schicht direkt an einer benachbarten, äußeren Schicht angebracht ist.
  34. System nach Anspruch 33, wobei die benachbarte Schicht einen Kontaminationsstoff erzeugt, dem das Behandlungsobjekt wenigstens während der Prozessierung direkt ausgesetzt ist, und wobei die innere Schicht den Kontaminationsstoff vom Erreichen des Behandlungsobjekts abblockt.
  35. System nach Anspruch 34, wobei die benachbarte Schicht auf sowohl die erste Weise als auch die zweite Weise anspricht.
  36. System nach Anspruch 32, wobei die geschichtete Konfiguration eine zusätzliche Schicht umfasst, die nach außen derart von der inneren Schicht beabstandet ist, dass ein Hohlraum zwischen der zusätzlichen Schicht und der inneren Schicht gebildet ist, um eine Strömungsmittelschicht derart zu tragen, dass die Strömungsmittelschicht als eine zweite Schicht dient und die zusätzliche Schicht als eine dritte Schicht innerhalb der geschichteten Konfiguration dient.
  37. System nach Anspruch 36, wobei die zusätzliche Schicht einen Kontaminationsstoff erzeugt, dem das Behandlungsobjekt wenigstens während der Prozessierung direkt ausgesetzt ist, und wobei die innere Schicht den Kontaminationsstoff vom Erreichen des Behandlungsobjekts abblockt.
  38. System nach Anspruch 36, wobei die Strömungsmittelschicht auf die erste Weise und die zweite Weise anspricht.
  39. System nach Anspruch 36, wobei die zusätzliche Schicht auf die erste Weise anspricht und die Strömungsmittelschicht wenigstens auf die zweite Weise anspricht.
  40. System nach Anspruch 36, wobei die Strömungsmittelschicht einen Kontaminationsstoff erzeugt, dem das Behandlungsobjekt direkt ausgesetzt ist, und wobei die innere Schicht den Kontaminationsstoff vom Erreichen des Behandlungsobjekts abblockt.
  41. System nach Anspruch 32, wobei die geschichtete Konfiguration einen Dünnfilmstapel umfasst.
  42. System nach Anspruch 41, wobei der Dünnfilmstapel als die innere Schicht dient, die dem Behandlungsobjekt in der geschichteten Konfiguration am nächsten ist und von einer benachbarten Schicht getragen ist, die mit dem Dünnfilmstapel zusammenwirkt, um auf die erste Weise und die zweite Weise anzusprechen.
  43. System nach Anspruch 1, wobei der Teil der Wandanordnung wenigstens einen allgemeinen Abfall des Reflexionsvermögens bei ansteigender Wellenlänge liefert, der mit dem gegebenen Emissionsspektrum des Behandlungsobjekts und dem Emissionsspektrum der Heizanordnung zusammenwirkt, um den Teil der Wandanordnung dazu zu veranlassen, auf die erste und die zweite Weise anzusprechen.
  44. System nach Anspruch 43, wobei der allgemeine Abfall des Reflexionsvermögens hauptsächlich in einem Bereich von ungefähr 1 μm bis 10 μm eintritt.
  45. System nach Anspruch 43, wobei der allgemeine Abfall des Reflexionsvermögens wenigstens ungefähr zwischen 2 μm bis 3 μm eintritt.
  46. System nach Anspruch 1, wobei die Wandanordnung unter Verwendung eines oder mehrerer Wandteile gebildet ist, wobei jedes Wandteil eine gesamte Dicke umfasst, die auf die erste Weise und die zweite Weise anspricht.
  47. System nach Anspruch 46, wobei die Wandteile wenigstens aus undurchsichtigem Quarz oder Aluminium gebildet sind.
  48. System nach Anspruch 1, wobei die Wandanordnung eine innere Oberflächenanordnung umfasst, die eine Oberflächenrauhigkeit hat, die wenigstens zu dem Ansprechverhalten der Kammer auf die erste und die zweite Weise beiträgt.
  49. System nach Anspruch 1, wobei das die Kammer definierende Mittel eine Fensteranordnung umfasst, die zwischen der Heizanordnung und dem Substrat derart getragen ist, dass der Teil der von der Heizanordnung abgestrahlten Energie durch die Fensteranordnung hindurch tritt, um das Behandlungsobjekt zu erreichen, und ein Teil der von dem Behandlungsobjekt abgestrahlten Energie auf die Fensteranordnung auftrifft.
  50. System nach Anspruch 49, wobei die Fensteranordnung wenigstens einen allgemeinen Abfall des Transmissionsvermögens bei ansteigender Wellenlänge liefert.
  51. System nach Anspruch 50, wobei der allgemeine Abfall des Transmissionsvermögens hauptsächlich in einem Bereich von ungefähr 1 μm bis 10 μm eintritt.
  52. System nach Anspruch 50, wobei der allgemeine Abfall des Transmissionsvermögens wenigstens ungefähr zwischen 2 μm und 3 μm eintritt.
  53. System nach Anspruch 49, wobei die Fensteranordnung konfiguriert ist, um auf die zweite Weise auf den Teil der von dem Behandlungsobjekt abgestrahlten Energie durch Absorbieren eines Hauptteils davon anzusprechen.
  54. System nach Anspruch 53, wobei die Fensteranordnung eine Spitzentemperatur während des Betriebes des Systems erreicht, wobei es wenigstens zum Teil auf das Absorbieren des Teils der von dem Behandlungsobjekt abgestrahlten Energie, die darauf auftrifft, derart anspricht, dass die Spitzentemperatur durch den Teil der Wandanordnung reduziert wird, der den zweiten Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie absorbiert, welcher wiederum den Betrag des Teils der vom Behandlungsobjekt abgestrahlten Energie reduziert.
  55. System nach Anspruch 53, wobei die Fensteranordnung einen Dünnfilmstapel umfasst, der konfiguriert ist, um wenigstens auf eine zweite Weise auf einen Hauptteil der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft.
  56. System nach Anspruch 55, wobei die Fensteranordnung eine Fensterschicht umfasst, die erste und zweite entgegengesetzte Hauptoberflächen definiert, die jeweils zum Behandlungsobjekt hin und von diesem weg gerichtet sind, und wobei der Dünnfilmstapel von einer der ersten und zweiten Hauptoberflächen getragen ist.
  57. System nach Anspruch 53, wobei die Fensteranordnung eine geschichtete Konfiguration umfasst, die wenigstens zwei Schichten hat.
  58. System nach Anspruch 57, wobei die Fensteranordnung konfiguriert ist, um eine Schicht eines strömungsfähigen Materials zwischen einem benachbarten Paar dieser Schichten auf eine Weise zu tragen, die das strömungsfähige Material dem Teil der von der Heizquelle abgestrahlten Energie und dem Teil der von dem Behandlungsobjekt abgestrahlten Energie derart aussetzt, dass das strömungsfähige Material wenigstens allgemein auf die zweite Weise auf einen Hauptteil der von dem Behandlungsobjekt abgestrahlten Energie anspricht, die darauf auftrifft.
  59. System nach Anspruch 58, wobei das strömungsfähige Material weiter dazu dient, das die Kammer definierende Mittel zu kühlen.
  60. System nach Anspruch 1, wobei der Teil der Wandanordnung eine behandelte Fläche der Oberfläche bedeckt, die ungefähr 20 Prozent oder mehr der gesamten Fläche der Oberfläche beträgt, die durch die Wandanordnung definiert ist.
  61. Verfahren für ein System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, die das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, das folgendes aufweist: Vorsehen einer Heizanordnung zum Heizen des Behandlungsobjekts durch Verwenden einer von einer Heizquelle abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; und Definieren einer Behandlungskammer unter Verwendung eines die Kammer definierenden Mittels zur Verwendung bei der Aussetzung des Behandlungsobjekts dem Teil der von der Heizanordnung abgestrahlten Energie, während das Behandlungsobjekt innerhalb der Behandlungskammer derart getragen wird, dass ein erster Bruchteil der von der Heizanordnung abgestrahlten Energie und ein zweiter Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie auf eine Wandanordnung auftreffen, die einen Teil des die Kammer definierenden Mittels bildet; und Konfigurieren wenigstens eines Teils der Wandanordnung, um auf eine erste Weise auf einen Hauptteil des ersten Bruchteils der von der Heizanordnung abgestrahlten Energie anzusprechen, die darauf auftrifft, und um auf eine zweite Weise auf einen Hauptteil des zweiten Bruchteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft.
  62. Verfahren nach Anspruch 61, wobei der Teil der Wandanordnung konfiguriert wird, um auf die erste Weise durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie anzusprechen und um auf die zweite Weise durch Absorbieren des Hauptteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen.
  63. Verfahren nach Anspruch 62, wobei das die Kammer definierende Mittel konfiguriert wird, um ein erstes Reflexionsspektrum für den ersten Bruchteil der von der Heizquelle abgestrahlten Energie aufzuweisen und um ein zweites, verschiedenes Reflexionsprofil für den zweiten Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie aufzuweisen.
  64. Verfahren nach Anspruch 62, wobei der Teil der Wandanordnung mehr als 75% der von der Heizquelle abgestrahlten Energie reflektiert, während er mehr als 75% der von dem Behandlungsobjekt abgestrahlten Energie absorbiert.
  65. Verfahren nach Anspruch 62, wobei der Teil der Wandanordnung wenigstens 60% der von der Heizquelle abgestrahlten Energie reflektiert, während er wenigstens 60% der vom Behandlungsobjekt abgestrahlten Energie absorbiert.
  66. Verfahren nach Anspruch 62, das den Schritt des Bildens der Behandlungskammer mit einer inneren Materialschicht wenigstens für den Teil der Wandanordnung umfasst, der wenigstens auf die zweite Weise anspricht.
  67. Verfahren nach Anspruch 66, wobei die innere Materialschicht eine Dicke in einem Bereich von 1 nm bis 1,5 mm umfasst.
  68. Verfahren nach Anspruch 66, das die Schritte des Bildens der Wandanordnung unter Verwendung einer Anordnung metallischer Wände und Tragen der inneren Materialschicht mit dieser umfasst.
  69. Verfahren nach Anspruch 68, wobei die metallischen Wände gebildet werden, um Aluminium zu umfassen.
  70. Verfahren nach Anspruch 66, wobei die innere Materialschicht gebildet wird, um wenigstens Aluminiumoxid oder Titandioxid zu umfassen.
  71. Verfahren nach Anspruch 66, wobei die innere Materialschicht ein Oxid wenigstens eines Elements umfasst.
  72. Verfahren nach Anspruch 70, wobei die innere Schicht mit einer Dicke in dem Bereich von ungefähr 1 nm bis 1,5 Millimeter konfiguriert wird.
  73. Verfahren nach Anspruch 66, wobei die innere Schicht des Überzugsmaterials ein Polymer umfasst.
  74. Verfahren nach Anspruch 66, das den Schritt des Verwendens des Polymers umfasst, um wenigstens teilweise zu dem Ansprechverhalten der Wandanordnung auf wenigstens die erste Weise oder die zweite Weise beizutragen.
  75. Verfahren nach Anspruch 73, das den Schritt des Verwendens eines Füllstoffes als Teil der inneren Schicht mit dem Polymer umfasst.
  76. Verfahren nach Anspruch 75, wobei der Füllstoff ein selektives Reflexionsvermögen des Polymers als ein Basisüberzugsmaterial modifiziert.
  77. Verfahren nach Anspruch 76, das den Schritt des Vorsehens von wenigstens Aluminiumoxidpartikeln, Titandioxidpartikeln, Glaspartikeln oder Glasfasern in dem Füllstoff umfasst.
  78. Verfahren nach Anspruch 62, wobei die Wandanordnung unter Verwendung eines oder mehrerer Kammerwandteile gebildet wird, die zusam menwirken, um eine innere Peripherie zu definieren, und das den Schritt des Tragens eines oder mehrerer Folienteile mit der inneren Peripherie umfasst, um eine innere Oberfläche des die Kammer definierenden Mittels derart zu bilden, dass die Folienteile wenigstens auf die zweite Weise durch Absorbieren des Hauptteils des ersten Bruchteils der von dem Behandlungsobjekt abgestrahlten Energie ansprechen, die darauf auftrifft.
  79. Verfahren nach Anspruch 62, wobei die Wandanordnung unter Verwendung eines oder mehrerer Kammerwandteile konfiguriert wird, die zusammenwirken, um eine innere Peripherie zu definieren, und das den Schritt des Tragens einer oder mehrerer Folienteile unter Verwendung der inneren Peripherie zum Aufnehmen des ersten Bruchteils der von der Heizanordnung abgestrahlten Energie und zum Aufnehmen des zweiten Bruchteils der vom Behandlungsobjekt abgestrahlten Energie derart umfasst, dass die Folienteile auf die erste und die zweite Weise ansprechen.
  80. Verfahren nach Anspruch 62, wobei die Wandanordnung konfiguriert wird, um eine Schicht eines strömungsfähigen Materials auf eine Weise zu tragen, die das strömungsfähige Material der von der Heizquelle abgestrahlten Energie und der von dem Behandlungsobjekt abgestrahlten Energie derart aussetzt, dass das strömungsfähige Material wenigstens auf die erste Weise oder die zweite Weise anspricht.
  81. Verfahren nach Anspruch 80, wobei die Wandanordnung ein oder mehrere Kammerwandteile umfasst, die zusammenwirken, um eine innere Peripherie zu definieren, und den Schritt des Tragens eines transparenten Wandteiles zwischen wenigstens einem Teil der inneren Peripherie und dem Substrat umfasst, um einen Durchgang zwischen dem Teil der inneren Peripherie und dem transparenten Wandteil derart zu definieren, dass das strömungsfähige Material der von der Heizquelle abgestrahlten Energie und der von dem Behandlungsobjekt abgestrahlten Energie durch das transparente Wandteil ausgesetzt wird.
  82. Verfahren nach Anspruch 80, das den Schritt des Verwendens einer Schicht des strömungsfähigen Materials zum Kühlen des die Kammer definierenden Mittels umfasst.
  83. Verfahren nach Anspruch 80, das den Schritt des Verwendens einer Flüssigkeit als dem strömungsfähigen Material umfasst.
  84. Verfahren nach Anspruch 83, das die Verwendung von Wasser als wenigstens einen Teil dieser Flüssigkeit umfasst.
  85. Verfahren nach Anspruch 83, das die Verwendung deuteriumisierten Wassers als wenigstens einen Teil dieser Flüssigkeit umfasst.
  86. Verfahren nach Anspruch 83, das die Verwendung von Deuteriumoxid in dieser Flüssigkeit umfasst.
  87. Verfahren nach Anspruch 80, das den Schritt des Verwendens eines Gases als das strömungsfähige Material umfasst.
  88. Verfahren nach Anspruch 80, das die Schritte des Bildens der Wandanordnung umfasst, um eine äußere Wand und eine innere Wand zu umfassen, die nach innen näher zu dem Behandlungsobjekt beabstandet ist, um einen Hohlraum zwischen der inneren Wand und der äußeren Wand zu definieren, um das strömungsfähige Material aufzunehmen und zu bewirken, dass das strömungsfähige Material darin aufgenommen wird.
  89. Verfahren nach Schritt 88, das den Schritt des Auswählens der inneren Wand umfasst, so dass sie im Wesentlichen transparent für die von der Heizquelle abgestrahlte Energie und die vom Behandlungsobjekt abgestrahlte Energie ist.
  90. Verfahren nach Anspruch 88, das den Schritt des Auswählens der inneren Wand umfasst, so dass sie im Wesentlichen transparent für die von der Heizquelle abgestrahlte Energie ist, während sie im Wesentlichen undurchsichtig für die vom Behandlungsobjekt abgestrahlte Energie ist.
  91. Verfahren nach Anspruch 62, welches das Bilden von wenigstens einem einschichtigen Dünnfilmüberzug oder einem Dünnfilmstapel als einem Teil der Wandanordnung umfasst, der wenigstens auf die erste Weise anspricht, um den Hauptteil der von der Heizquelle abgestrahlten Energie zu reflektieren.
  92. Verfahren nach Anspruch 62, das den Schritt des Bildens des die Kammer definierenden Mittels umfasst, um eine geschichtete Konfiguration zu umfassen, die aus wenigstens zwei Schichten derart aufgebaut ist, dass eine innere Schicht dem Behandlungsobjekt am nächsten ist.
  93. Verfahren nach Anspruch 92, das den Schritt des Aufbringens der inneren Schicht direkt auf eine benachbarte, äußere Schicht umfasst.
  94. Verfahren nach Anspruch 93, wobei die benachbarte Schicht einen Kontaminationsstoff erzeugt, dem das Behandlungsobjekt wenigstens während der Prozessierung direkt ausgesetzt wird und die innere Schicht den Kontaminationsstoff vom Erreichen der Behandlungskammer abblockt.
  95. Verfahren nach Anspruch 94, das den Schritt des Auswählens der benachbarten Schicht umfasst, um sowohl auf die erste Weise als auch auf die zweite Weise anzusprechen.
  96. Verfahren nach Anspruch 92, das den Schritt des Vorsehens einer zusätzlichen Schicht als Teil der geschichteten Konfiguration umfasst, die nach außen von der inneren Schicht beabstandet ist, um einen Hohlraum zwischen der zusätzlichen Schicht und der inneren Schicht zum Tragen einer flüssigen Schicht derart zu bilden, dass die flüssige Schicht als eine zweite Schicht dient und die zusätzliche Schicht als eine dritte Schicht innerhalb der geschichteten Konfiguration dient.
  97. Verfahren nach Anspruch 96, wobei die zusätzliche Schicht einen Kontaminationsstoff erzeugt, dem das Behandlungsobjekt wenigstens während der Prozessierung direkt ausgesetzt wird, und das den Schritt des Auswählens der inneren Schicht umfasst, um den Kontaminationsstoff vom Erreichen des Behandlungsobjekts abzublocken.
  98. Verfahren nach Anspruch 96, das den Schritt des Auswählens der flüssigen Schicht umfasst, um auf die erste Weise und die zweite Weise anzusprechen.
  99. Verfahren nach Anspruch 96, wobei die zusätzliche Schicht ausgewählt wird, um auf die erste Weise anzusprechen und die flüssige Schicht ausgewählt wird, um auf wenigstens die zweite Weise anzusprechen.
  100. Verfahren nach Anspruch 96, wobei die flüssige Schicht einen Kontaminationsstoff erzeugt, dem das Behandlungsobjekt direkt ausgesetzt wird, und der den Schritt des Auswählens der inneren Schicht umfasst, um den Kontaminationsstoff vom Erreichen des Behandlungsobjekts abzublocken.
  101. Verfahren nach Anspruch 92, das den Schritt des Bildens eines Dünnfilmstapels als wenigstens einen Teil der geschichteten Konfiguration umfasst.
  102. Verfahren nach Anspruch 101, das die Schritte des Bildens des Dünnfilmstapels umfasst, um als die innere Schicht zu dienen, die dem Behandlungsobjekt in der geschichteten Konfiguration am nächsten ist, und des Tragens des Dünnfilmstapels unter Verwendung einer benachbarten Schicht, die mit der Serie von Dünnfilmüberzügen zusammenwirkt, um auf die erste und die zweite Weise anzusprechen.
  103. Verfahren nach Anspruch 61, wobei der Teil des die Kammer definierenden Mittels konfiguriert wird, um wenigstens einen allgemeinen Abfall des Reflexionsvermögens bei ansteigender Wellenlänge zu liefern, der mit dem gegebenen Emissionsspektrum des Behandlungsobjekts und dem Heizquellenemissionsspektrum der Heizanordnung zusammenwirkt, um das Kammermittel dazu zu veranlassen, auf die erste und die zweite Weise anzusprechen.
  104. Verfahren nach Anspruch 103, wobei der allgemeine Abfall des diffusen Reflexionsvermögens hauptsächlich in einem Bereich von ungefähr 1 μm bis 10 μm eintritt.
  105. Verfahren nach Anspruch 43, wobei der allgemeine Abfall des diffusen Reflexionsvermögens wenigstens ungefähr zwischen 2 μm und 3 μm eintritt.
  106. Verfahren nach Anspruch 61, das den Schritt des Bildens der Wandanordnung unter Verwendung eines oder mehrerer Wandteile umfasst, wobei jedes Wandteil eine gesamte Dicke umfasst, die auf die erste Weise und die zweite Weise anspricht.
  107. Verfahren nach Anspruch 106, das den Schritt des Verwendens von wenigstens undurchsichtigem Quarz oder Aluminium umfasst, um die Wandteile zu bilden.
  108. Verfahren nach Anspruch 61, wobei die Wandanordnung eine innere Oberflächenanordnung umfasst und den Schritt des Bildens einer Oberflächenrauhigkeit umfasst, die wenigstens zum Ansprechverhalten der Kammer auf die erste und zweite Weise beiträgt.
  109. Verfahren nach Anspruch 61, das den Schritt des Tragens einer Fensteranordnung zwischen der Heizanordnung und dem Substrat derart umfasst, dass der Teil der von der Heizanordnung abgestrahlten Energie durch die Fensteranordnung hindurch tritt, um das Behandlungsobjekt zu erreichen, und ein Teil der vom Behandlungsobjekt abgestrahlten Energie auf die Fensteranordnung auftrifft.
  110. Verfahren nach Anspruch 109, wobei die Fensteranordnung eine Spitzentemperatur während des Betriebes des Systems erreicht, wobei es wenigstens zum Teil auf das Absorbieren des Teils der vom Behandlungsobjekt abgestrahlten Energie, die darauf auftrifft, derart anspricht, dass die Spitzentemperatur durch den Teil der Wandanordnung reduziert wird, der den zweiten Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie absorbiert, welcher wiederum den Betrag des Teils der vom Behandlungsobjekt abgestrahlten Energie reduziert.
  111. Verfahren nach Anspruch 109, wobei die Fensteranordnung konfiguriert wird, um wenigstens einen allgemeinen Abfall des Reflexionsvermögens mit ansteigender Wellenlänge zu liefern.
  112. Verfahren nach Anspruch 109, wobei die Fensteranordnung ausgewählt wird, um wenigstens einen allgemeinen Abfall des Transmissionsvermögens mit ansteigender Wellenlänge zu liefern.
  113. Verfahren nach Anspruch 112, wobei der allgemeine Abfall des Transmissionsvermögens hauptsächlich in einem Bereich von ungefähr 1 μm bis 10 μm eintritt.
  114. Verfahren nach Anspruch 112, wobei der allgemeine Abfall des Transmissionsvermögens wenigstens ungefähr zwischen 2 μm und 3 μm eintritt.
  115. Verfahren nach Anspruch 109, welches das Konfigurieren der Fensteranordnung umfasst, um auf die zweite Weise auf den Teil der vom Behandlungsobjekt abgestrahlten Energie durch Absorbieren eines Hauptteils davon anzusprechen.
  116. Verfahren nach Anspruch 115, welches das Bilden eines Dünnfilmstapels als einen Teil der Fensteranordnung umfasst, der konfiguriert wird, um auf wenigstens die zweite Weise auf einen Hauptteil der vom Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft.
  117. Verfahren nach Anspruch 116, wobei die Fensteranordnung mit einer Fensterschicht konfiguriert wird, die erste und zweite entgegengesetzte Hauptoberflächen definiert, die jeweils zum Behandlungsobjekt hin und von diesem weg gerichtet sind, und den Dünnfilmstapel unter Verwendung der ersten oder zweiten Hauptoberfläche trägt.
  118. Verfahren nach Anspruch 53, das den Schritt des Verwendens einer geschichteten Konfiguration umfasst, um die Fensteranordnung zu bilden, die wenigstens zwei Schichten hat.
  119. Verfahren nach Anspruch 118, wobei die Fensteranordnung konfiguriert wird, um eine Schicht eines strömungsfähigen Materials zwischen einem benachbarten Paar der Schichten auf eine Weise zu tragen, die das strömungsfähige Material der von der Heizquelle abgestrahlten Energie und der von dem Behandlungsobjekt abgestrahlten Energie derart aussetzt, dass das strömungsfähige Material wenigstens allgemein auf die zweite Weise auf einen Hauptteil der von dem Behandlungsobjekt abgestrahlten Energie anspricht, die darauf auftrifft.
  120. Verfahren nach Anspruch 119, wobei das strömungsfähige Material weiter dazu dient, um das die Kammer definierende Mittel zu kühlen.
  121. Verfahren nach Anspruch 61, wobei der Teil der Wandanordnung eine behandelte Fläche der Oberfläche bedeckt, die ungefähr 20 Prozent oder mehr einer gesamten Fläche der Oberfläche ist, die durch die Wandanordnung definiert wird.
  122. Modifizierte Kammeranordnung zur Verwendung in einem System zur Prozessierung eines Behandlungsobjekts, wobei das System mit einer unmodifizierten Kammeranordnung verwendbar ist, um das Behandlungsobjekt während der Prozessierung aufzunehmen und zu tragen, wobei die unmodifizierte Kammeranordnung eine gegebene maximale Kühlrate des Behandlungsobjekts liefert, nachdem es innerhalb der unmodifizierten Kammeranordnung geheizt worden ist, und wobei die modifizierte Kammeranordnung in dem System als eine Alternative zur unmodifizierten Kammeranordnung verwendbar ist, die folgendes umfasst: ein eine Kammer definierendes Mittel zum darin Tragen des Behandlungsobjekts, das zur Verwendung beim Vorsehen einer modifizierten maximalen Kühlrate konfiguriert ist, die größer ist als die gegebene maximale Kühlrate.
  123. Modifizierte Kammeranordnung nach Anspruch 122, wobei die gegebene Heizanordnung ein Heizanordnungsemissionsspektrum bei einer Betriebstemperatur zeigt und das Behandlungsobjekt auf eine Behandlungsobjekttemperatur geheizt ist, um ein Behandlungsobjektemissionsspektrum zu zeigen, das von dem Heizanordnungsemissionsspektrum verschieden ist, und das die Kammer definierende Mittel konfiguriert ist, um auf eine erste Weise auf das Heizanordnungsemissionsspektrum anzusprechen, während es auf eine zweite Weise auf das Behandlungsobjektemissionsspektrum anspricht, um die modifizierte maximale Kühlrate zu liefern.
  124. Modifizierte Kammeranordnung nach Anspruch 123, wobei das die Kammer definierende Mittel eine innere Peripherie umfasst, die mit einem selektiven Reflexionsvermögen konfiguriert ist, welches auf die erste Weise und die zweite Weise anspricht.
  125. Modifizierte Kammeranordnung nach Anspruch 124, wobei die innere Peripherie durch eine innere Schicht definiert ist, die ein Oxid wenigstens eines Elementes umfasst.
  126. Modifizierte Kammeranordnung nach Anspruch 125, wobei die innere Schicht wenigstens Aluminiumoxid oder Titandioxid umfasst.
  127. Modifizierte Kammeranordnung nach Anspruch 125, wobei die innere Schicht eine Dicke in einem Bereich von ungefähr 1 nm bis 1,5 Millimeter umfasst.
  128. Modifizierte Kammeranordnung nach Anspruch 122, wobei die unmodifizierte Kammeranordnung mit dem Behandlungsobjekt zusammenwirkt, um einen gegebenen Wärmeverlustwirkungsgrad von dem Behandlungsobjekt zu liefern, und wobei das die Kammer definierende Mittel, wenn es mit dem Behandlungsobjekt verwendet wird, einen modifizierten Wärmeverlustwirkungsgrad liefert, der größer ist als der unmodifizierte Wärmeverlustwirkungsgrad.
  129. Verfahren zur Verwendung in einem System zum Prozessieren eines Behandlungsobjekts, wobei der System mit einer unmodifizierten Kammeranordnung verwendbar ist, um das Behandlungsobjekt während des Prozessierens aufzunehmen und zu tragen, wobei die unmodifizierte Kammeranordnung eine gegebene maximale Kühlrate des Behandlungsobjekts nach dem Erwärmen innerhalb der unmodifizierten Kammeranordnung liefert, das folgendes umfasst: Konfigurieren des die modifizierte Kammer definierenden Mittels zum darin Tragen des Behandlungsobjekts und zur Verwendung beim Liefern einer modifizierten maximalen Kühlrate des Behandlungsobjekts, das größer ist als die gegebene maximale Kühlrate.
  130. Verfahren nach Anspruch 129, wobei die gegebene Heizanordnung ein Heizanordnungsemissionsspektrum bei einer Betriebstemperatur zeigt und das Behandlungsobjekt während des Prozessierens auf eine Behandlungsobjekttemperatur erwärmt wird, um ein Behandlungsobjektemissionsspektrum zu zeigen, das von dem Heizanordnungsemissionsspektrum verschieden ist, und wobei das die Kammer definierende Mittel konfiguriert ist, um auf eine erste Weise auf das Heizanordnungsemissionsspektrum anzusprechen, während es auf eine zweite Weise auf das Behandlungsobjektemissionsspektrum anspricht, um die modifizierte maximale Kühlrate zu liefern.
  131. Verfahren nach Anspruch 130, das den Schritt des Bildens einer inneren Peripherie des die Kammer definierenden Mittels umfasst, die. wenigstens eine innere Schicht hat, die mit einem selektiven Reflexionsprofil konfiguriert ist, welches auf die erste Weise und die zweite Weise anspricht.
  132. Verfahren nach Anspruch 131, das den Schritt des Verwendens von wenigstens Aluminiumoxid oder Titandioxid umfasst, um die innere Schicht zu bilden.
  133. Verfahren nach Anspruch 127, wobei die innere Schicht gebildet wird, um eine Dicke von ungefähr 1 nm bis 1,5 Millimeter zu umfassen.
  134. Verfahren nach Anspruch 129, wobei die unmodifizierte Kammeranordnung mit dem Behandlungsobjekt zusammenwirkt, um einen gegebenen Wärmeverlustwirkungsgrad von dem Behandlungsobjekt zu liefern, und den Schritt des Verwendens des die Kammer definierenden Mittels umfasst, um einen modifizierten Wärmeverlustwirkungsgrad zu liefern, der größer ist als der unmodifizierte Wärmeverlustwirkungsgrad.
  135. System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, wobei das System folgendes umfasst: eine Heizanordnung zum Heizen des Behandlungsobjekts unter Verwendung einer von einer Heizquelle abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; und ein eine Kammer definierendes Mittel zur Verwendung bei der Aussetzung des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie, während das Behandlungsobjekt innerhalb einer Behandlungskammer derart getragen ist, dass ein erster Bruchteil der von der Heizanordnung abgestrahlten Energie und ein zweiter Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie auf eine Wandanordnung auftreffen, die einen Teil des die Kammer definierenden Mittels bildet, und wenigstens ein Teil der Wandanordnung konfiguriert ist, um mit selektivem Reflexionsvermögen auf den ersten Bruchteil der von der Heizanordnung abgestrahlten Energie und den zweiten Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen.
  136. Verfahren für ein System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu liefern, das folgendes umfasst: Heizen des Behandlungsobjekts unter Verwendung einer Heizanordnung, die eine von einer Heizquelle abgestrahlte Energie und ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie, während das Behandlungsobjekt innerhalb einer Behandlungskammer getragen wird, die durch ein die Kammer definierendes Mittel derart definiert wird, dass ein erster Bruchteil der von der Heizanordnung abgestrahlten Energie und ein zweiter Bruchteil der von dem Behandlungsobjekt abgestrahlten Energie auf eine Wandanordnung auftreffen, die einen Teil des die Kammer definierenden Mittels bildet; und Konfigurieren der Wandanordnung, auf mit selektivem Reflexionsvermögen auf den ersten Bruchteil der von der Heizanordnung abgestrahlten Energie und den zweiten Bruchteil der von der Behandlungsobjekt abgestrahlten Energie anzusprechen.
  137. System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, wobei das System folgendes umfasst: eine Heizanordnung zum Heizen des Behandlungsobjekts unter Verwendung einer von der Heizanordnung abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; ein Erfassungsmittel zum Erfassen der von dem Behandlungsobjekt abgestrahlten Energie bei einer Erfassungswellenlänge; und ein eine Kammer definierendes Mittel zum Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie, während das Behandlungsobjekt innerhalb der Behandlungskammer getragen ist, wobei wenigstens ein Teil des die Kammer definierenden Mittels konfiguriert ist, um gleichzeitig (i) auf eine erste Weise auf einen Hauptteil der von der Heizanordnung abgestrahlten Energie anzusprechen, die darauf auftrifft, (ii) auf eine zweite Weise auf einen Hauptteil der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft, und (iii) auf eine dritte Weise bei der Erfassungswellenlänge anzusprechen.
  138. System nach Anspruch 137, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um den Hauptteil der Erfassungswellenlänge, die darauf auftrifft, entweder zu reflektieren oder zu absorbieren.
  139. System nach Anspruch 138, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um auf eine erste Weise durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie anzusprechen und um auf eine zweite Weise durch Absorbieren des Hauptteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen.
  140. System nach Anspruch 137, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um den Hauptteil der Erfassungswellenlänge zu reflektieren, die darauf auftrifft.
  141. System nach Anspruch 140, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um auf die erste Weise durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie anzusprechen und um auf die zweite Weise durch Absorbieren des Hauptteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen.
  142. Verfahren für ein System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, das folgendes umfasst: Heizen des Behandlungsobjekts unter Verwendung einer von einer Heizanordnung abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; Erfassen der von dem Behandlungsobjekt abgestrahlten Energie bei einer Erfassungswellenlänge; und Konfigurieren eines Kammer definierenden Mittels zum Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie, während das Behandlungsobjekt innerhalb der Behandlungskammer getragen wird, wobei wenigstens ein Teil des die Kammer definierenden Mittels konfiguriert ist, um gleichzeitig (i) auf eine erste Weise auf einen Hauptteil der von der Heizanordnung abgestrahlten Energie anzusprechen, die darauf auftrifft, (ii) um auf eine zweite Weise auf einen Hauptteil der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen, die darauf auftrifft und (iii) um auf eine dritte Weise bei der Erfassungswellenlänge anzusprechen.
  143. Verfahren nach Anspruch 142, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um den Hauptteil der Erfassungswellenlänge, die darauf auftrifft, entweder zu reflektieren oder zu absorbieren.
  144. Verfahren nach Anspruch 143, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um auf eine erste Weise durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie anzusprechen und um auf eine zweite Weise durch Absorbieren des Hauptteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen.
  145. Verfahren nach Anspruch 142, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um den Hauptteil der Erfassungswellenlänge zu reflektieren, die darauf auftrifft.
  146. Verfahren nach Anspruch 145, wobei der Teil des die Kammer definierenden Mittels konfiguriert ist, um auf die erste Weise durch Reflektieren des Hauptteils der von der Heizquelle abgestrahlten Energie anzusprechen und um auf die zweite Weise durch Absorbieren des Hauptteils der von dem Behandlungsobjekt abgestrahlten Energie anzusprechen.
  147. System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, welches das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, wobei das System folgendes umfasst: eine Heizanordnung zum Heizen des Behandlungsobjekts unter Verwendung, einer von der Heizanordnung abgestrahlten Energie, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; ein Erfassungsmittel zum Erfassen der von dem Behandlungsobjekt abgestrahlten Energie, die von dem Behandlungsobjekt bei einer Erfassungswellenlänge emittiert wird; und ein eine Kammer definierendes Mittel zum Aussetzen des Behandlungsobjekts der von der Heizanordnung abgestrahlten Energie, während das Behandlungsobjekt innerhalb der Behandlungskammer getragen ist, und wobei wenigstens ein erster Teil des die Kammer definierenden Mittels konfiguriert ist, um einen Hauptteil der Erfassungswellenlänge zu reflektieren, die darauf auftrifft, und ein zweiter, verschiedener Teil des die Kammer definierenden Mittels konfiguriert ist, um einen Hauptteil der Erfassungswellenlänge, die darauf auftrifft, selektiv zu absorbieren.
  148. System nach Anspruch 147, wobei der erste Teil konfiguriert ist, um den Hauptteil der darauf auftreffenden Erfassungswellenlänge selektiv zu reflektieren.
  149. System nach Anspruch 147, wobei das Behandlungsobjekt erste und zweite entgegen gesetzte Hauptoberflächen definiert und die Heizanordnung nur der ersten Hauptoberfläche des Behandlungsobjekts gegenüberliegt und direkt heizt, und wobei die ersten und zweiten Teile des die Kammer definierenden Mittels verschiedene Teile einer Kammeroberfläche ausmachen, die der Heizanordnung und der zweiten the ausmachen, die der Heizanordnung und der zweiten Hauptoberfläche des Behandlungsobjekts direkt gegenüberliegt.
  150. System nach Anspruch 149, wobei das Erfassungsmittel von dem ersten Teil des die Kammer definierenden Mittels erfasst.
  151. System nach Anspruch 150, wobei das Erfassungsmittel wenigstens näherungsweise von einer zentrierten Position des ersten Teils des die Kammer definierenden Mittels erfasst.
  152. System nach Anspruch 150, wobei das Erfassungsmittel der zweiten Hauptoberfläche des Behandlungsobjekts direkt gegenüberliegt.
  153. Verfahren für ein System zum Prozessieren eines Behandlungsobjekts, das ein gegebenes Emissionsspektrum bei einer Behandlungsobjekttemperatur hat, die das Behandlungsobjekt dazu veranlasst, eine vom Behandlungsobjekt abgestrahlte Energie zu erzeugen, wobei das Verfahren umfasst: Heizen des Behandlungsobjekts unter Verwendung einer Heizanordnung, die eine von der Heizanordnung abgestrahlte Energie erzeugt, die ein Heizquellenemissionsspektrum bei einer Heizquellenbetriebstemperatur hat, wobei das Heizquellenemissionsspektrum von dem gegebenen Emissionsspektrum des Behandlungsobjekts verschieden ist; Vorsehen eines Erfassungsmittels zum Erfassen der von dem Behandlungsobjekt abgestrahlten Energie, die von dem Behandlungsobjekt bei einer Erfassungswellenlänge emittiert wird; und Konfigurieren eines eine Kammer definierenden Mittels zum Aussetzen des Behandlungsobjekts mit der von der Heizanordnung abgestrahlten Energie, während das Behandlungsobjekt innerhalb der Behandlungskammer getragen ist, wobei wenigstens ein erster Teil des die Kammer definierenden Mittels konfiguriert wird, um einen Hauptteil der Erfassungswellenlänge zu reflektieren, die darauf auftrifft, und ein zweiter, verschiedener Teil des die Kammer definierenden Mittels konfiguriert wird, um einen Hauptteil der Erfassungswellenlänge, die darauf auftrifft, zu absorbieren.
  154. Verfahren nach Anspruch 153, wobei der erste Teil konfiguriert wird, um den Hauptteil der darauf auftreffenden Erfassungswellenlänge selektiv zu reflektieren.
  155. Verfahren nach Anspruch 153, wobei das Behandlungsobjekt gebildet wird, um erste und zweite entgegen gesetzte Hauptoberflächen zu definieren und die Heizanordnung nur der ersten Hauptoberfläche des Behandlungsobjekts gegenüberliegt und direkt heizt und wobei der erste und der zweite Teil des die Kammer definierenden Mittels konfiguriert sind, um verschiedene Teile einer Kammeroberfläche auszumachen, die der Heizanordnung und der zweiten Hauptoberfläche des Behandlungsobjekts gegenüberliegen.
  156. Verfahren nach Anspruch 155, das Anordnen des Erfassungsmittels umfasst, um von dem ersten Teil des die Kammer definierenden Mittels zu erfassen.
  157. Verfahren nach Anspruch 156, wobei das Erfassungsmittel angeordnet ist, um wenigstens näherungsweise von einer zentrierten Position des ersten Teils des die Kammer definierenden Mittels zu erfassen.
  158. Verfahren nach Anspruch 156, wobei das Erfassungsmittel angeordnet ist, um der zweiten Hauptoberfläche des Erfassungsobjekts direkt gegenüberzuliegen.
  159. System zum Prozessieren eines Behandlungsobjekts, wobei das System umfasst: eine Heizanordnung zum Heizen des Behandlungsobjekts unter Verwendung einer von der Heizanordnung abgestrahlten Energie; und ein eine Kammer definierendes Mittel zum darin Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie, während ein anderer Teil der von der Heizanordnung abgestrahlten Energie auf dem die Kammer definierenden Mittel auftrifft, was in einer gesamten abgestrahlten Energie resultiert, die innerhalb des die Kammer definierenden Mittels vorhanden ist, wobei das die Kammer definierende Mittel ein Fenster zwischen der Heizanordnung und dem Behandlungsobjekt derart umfasst, dass das Fenster wenigstens in einer Näherung bei Wellenlängen, die länger sind als eine Undurchsichtigkeitseinsetzwellenlänge, undurchsichtig ist, und wobei wenigstens eine Teil des die Kammer definierenden Mittels eine selektiv reflexive Konfiguration umfasst, die auf eine erste Weise auf einen Hauptteil der gesamten abgestrahlten Energie anspricht, die darauf auftrifft, die von einer kürzeren Wellenlänge ist als die Undurchsichtigkeitseinsetzwellenlänge, während sie auf eine zweite Weise auf einen Hauptteil der gesamten abgestrahlten Energie anspricht, die darauf auftrifft und die von einer längeren Wellenlänge ist als die Undurchsichtigkeitseinsetzwellenlänge.
  160. System nach Anspruch 159, wobei die selektiv reflexive Konfiguration auf eine erste Weise durch Reflektieren des Hauptteils der gesamten abgestrahlten Energie anspricht, die darauf auftrifft und Wellenlängen hat, die kürzer sind als die Undurchsichtigkeitseinsetzwellenlänge, und durch Absorbieren eines Hauptteils der gesamten abgestrahlten Energie, die darauf auftrifft und Wellenlängen hat, die länger sind als die Undurchsichtigkeitseinsetzwellenlänge.
  161. System nach Anspruch 159, wobei die selektiv reflexive Konfiguration mit einer Übergangswellenlänge konfiguriert ist, die wenigstens zum Teil auf der Undurchsichtigkeitseinsetzwellenlänge basiert.
  162. System nach Anspruch 159, wobei das Fenster unter Verwendung von Quarz gebildet ist und die selektiv reflexive Konfiguration eine Übergangswellenlänge von ungefähr 3,7 μm umfasst.
  163. Verfahren für ein System zum Prozessieren eines Behandlungsobjekts, das folgendes umfasst: Vorsehen einer Heizanordnung zum Heizen des Behandlungsobjekts; und Konfigurieren eines eine Kammer definierenden Mittels zum darin Aussetzen des Behandlungsobjekts einem Teil der von der Heizanordnung abgestrahlten Energie, während ein anderer Teil der von der Heizanordnung abgestrahlten Energie auf dem die Kammer definierenden Mittel auftrifft, was in einer gesamten abgestrahlten Energie resultiert, die innerhalb des die Kammer definierenden Mittels vorhanden ist, wobei das die Kammer definierende Mittel ein Fenster zwischen der Heizanordnung und dem Behandlungsobjekt derart umfasst, dass das Fenster wenigstens in einer Näherung bei Wellenlängen, die länger sind als eine Undurchsichtigkeitseinsetzwellenlänge, undurchsichtig ist, wobei wenigstens ein Teil des die Kammer definierenden Mittels eine selektiv reflexive Konfiguration umfasst, die auf eine erste Weise auf den Hauptteil der gesamten abgestrahlten Energie anspricht, die darauf auftrifft, die von einer kürzeren Wellenlänge ist als die Undurchsichtigkeitseinsetzwellenlänge, während sie auf eine zweite Weise auf einen Hauptteil der gesamten abgestrahlten Energie anspricht, die darauf auftrifft und die von einer längeren Wellenlänge ist als die Undurchsichtigkeitseinsetzwellenlänge.
  164. Verfahren nach Anspruch 163, wobei die selektiv reflexive Konfiguration auf eine erste Weise durch Reflektieren des Hauptteils der gesamten abgestrahlten Energie anspricht, die darauf auftrifft, und die Wellenlängen hat, die kürzer sind als die Undurchsichtigkeitseinsetzwellenlänge, und durch Reflektieren eines kleineren Teils der gesamten abgestrahlten Energie, die darauf auftrifft, und die Wellenlängen hat, die länger sind als die Undurchsichtigkeitseinsetzwellenlänge.
  165. Verfahren nach Anspruch 163, das Konfigurieren der selektiv reflexiven Konfiguration mit einer Übergangswellenlänge umfasst, die wenigstens zum Teil auf der Undurchsichtigkeitseinsetzwellenlänge basiert.
  166. Verfahren nach Anspruch 163, wobei das Fenster unter Verwendung von Quarz gebildet wird und welches das Konfigurieren der selektiv reflexiven Konfiguration mit einer Übergangswellenlänge von ungefähr 3,7 μm umfasst.
DE112004001402T 2003-07-28 2004-07-21 Vorrichtung zum thermischen Behandeln eines Substrats Active DE112004001402B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/629,400 US7115837B2 (en) 2003-07-28 2003-07-28 Selective reflectivity process chamber with customized wavelength response and method
US10/629,400 2003-07-28
PCT/US2004/023352 WO2005013325A2 (en) 2003-07-28 2004-07-21 System for processing a treatment object

Publications (2)

Publication Number Publication Date
DE112004001402T5 true DE112004001402T5 (de) 2006-06-29
DE112004001402B4 DE112004001402B4 (de) 2011-07-28

Family

ID=34103614

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112004001402T Active DE112004001402B4 (de) 2003-07-28 2004-07-21 Vorrichtung zum thermischen Behandeln eines Substrats

Country Status (7)

Country Link
US (3) US7115837B2 (de)
JP (1) JP2007500447A (de)
KR (1) KR101124051B1 (de)
CN (1) CN1934404B (de)
DE (1) DE112004001402B4 (de)
TW (1) TWI365499B (de)
WO (1) WO2005013325A2 (de)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7470142B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Wafer bonding method
EP2369035B9 (de) * 2003-08-04 2014-05-21 LG Display Co., Ltd. Verdampfungsquelle
JP4069877B2 (ja) * 2004-02-03 2008-04-02 ソニー株式会社 電子機器およびハードディスク・ドライブ収納装置
US7745762B2 (en) 2005-06-01 2010-06-29 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
US20060291833A1 (en) * 2005-06-01 2006-12-28 Mattson Techonology, Inc. Switchable reflector wall concept
JP2007012734A (ja) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd プラズマエッチング装置及びプラズマエッチング方法
DE102005038672A1 (de) * 2005-08-16 2007-02-22 Mattson Thermal Products Gmbh Vorrichtung zum thermischen Behandeln von Halbleitersubstraten
US20070148367A1 (en) * 2005-12-22 2007-06-28 Lewis Daniel J Chemical vapor deposition apparatus and methods of using the apparatus
US20150361557A1 (en) * 2014-06-17 2015-12-17 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
DE102006029252A1 (de) * 2006-06-26 2007-12-27 Advanced Photonics Technologies Ag Anlage zur Bearbeitung eines Werkstücks mit UV-, NIR- oder IR-Strahlung
US20080166694A1 (en) * 2007-01-09 2008-07-10 Michael Weber Plant tissue packaging process
US7939192B2 (en) * 2007-06-20 2011-05-10 Tesla Motors, Inc. Early detection of battery cell thermal event
US20090018805A1 (en) * 2007-07-12 2009-01-15 Michael Weber Optically selective coatings for plant tissues
JP2009038230A (ja) * 2007-08-02 2009-02-19 Ushio Inc 光照射式加熱処理装置
DE102007048564A1 (de) * 2007-10-09 2009-04-23 Heraeus Noblelight Gmbh Vorrichtung für eine Bestrahlungseinheit
US20090186768A1 (en) * 2007-11-16 2009-07-23 Hoobler Ray J Sunscreen formulations for use in the production of organic crops
US20090192038A1 (en) * 2007-11-19 2009-07-30 Hoobler Ray J Systems and methods for applying particle films to control stress on plant tissues
RU2010143546A (ru) * 2008-03-26 2012-05-10 ДжиТи СОЛАР, ИНКОРПОРЕЙТЕД (US) Реакторная система с золотым покрытием для осаждения поликристаллического кремния и способ
US8283607B2 (en) * 2008-04-09 2012-10-09 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US8367983B2 (en) * 2008-04-09 2013-02-05 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US8548311B2 (en) * 2008-04-09 2013-10-01 Applied Materials, Inc. Apparatus and method for improved control of heating and cooling of substrates
US7758238B2 (en) * 2008-06-30 2010-07-20 Intel Corporation Temperature measurement with reduced extraneous infrared in a processing chamber
US8452166B2 (en) * 2008-07-01 2013-05-28 Applied Materials, Inc. Apparatus and method for measuring radiation energy during thermal processing
CN101672934A (zh) * 2008-09-11 2010-03-17 鸿富锦精密工业(深圳)有限公司 镀膜设备
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
US10000411B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductivity and low emissivity coating technology
US10060180B2 (en) 2010-01-16 2018-08-28 Cardinal Cg Company Flash-treated indium tin oxide coatings, production methods, and insulating glass unit transparent conductive coating technology
US10000965B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductive coating technology
AR080235A1 (es) * 2010-02-19 2012-03-21 Enerpy Saci Proceso de baja frecuencia para tratamiento de residuos, transformacion de sustancia organicas en materia prima mineralizada y obtencion a partir de esta de: combustibles, asfalto, carbon y otros hidrocarburos liquidos y gaseosos
US8609517B2 (en) * 2010-06-11 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. MOCVD for growing III-V compound semiconductors on silicon substrates
WO2012009636A1 (en) * 2010-07-15 2012-01-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
JP5885404B2 (ja) * 2010-08-04 2016-03-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9449858B2 (en) * 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
JP5606852B2 (ja) * 2010-09-27 2014-10-15 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP2012074430A (ja) * 2010-09-28 2012-04-12 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US8867187B2 (en) 2011-06-01 2014-10-21 Pfi Acquisition, Inc. Apparatus for powering an accessory device in a refrigerated container
CN105742201B (zh) * 2011-08-16 2018-07-27 应用材料公司 用于在腔室内感测基板的方法及设备
WO2013052262A1 (en) * 2011-10-05 2013-04-11 Applied Materials, Inc. Particle control in laser processing systems
WO2013066652A1 (en) * 2011-11-03 2013-05-10 Applied Materials, Inc. Rapid thermal processing chamber
US9018570B2 (en) * 2011-12-15 2015-04-28 Intermolecular, Inc. Combinatorial heating of substrates by an inductive process and combinatorial independent heating
US8536072B2 (en) 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
JP2014194921A (ja) * 2013-03-01 2014-10-09 Tokyo Electron Ltd マイクロ波処理装置及びマイクロ波処理方法
US9832816B2 (en) * 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
US9129918B2 (en) 2013-10-30 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
KR102535623B1 (ko) 2013-11-12 2023-05-26 어플라이드 머티어리얼스, 인코포레이티드 고온계 배경 제거
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US20150292815A1 (en) * 2014-04-10 2015-10-15 Applied Materials, Inc. Susceptor with radiation source compensation
US10240236B2 (en) * 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
US10957563B2 (en) * 2015-12-30 2021-03-23 Mattson Technology, Inc. Chamber wall heating for a millisecond anneal system
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
KR20170104710A (ko) * 2016-03-07 2017-09-18 삼성디스플레이 주식회사 성막 장치 및 표시 장치의 제조 방법
US11621180B2 (en) * 2016-10-31 2023-04-04 Nissin Ion Equipment Co., Ltd. Heating device
WO2019147233A1 (en) * 2018-01-24 2019-08-01 Hewlett-Packard Development Company, L.P. Method and apparatus for build material heating
US11028012B2 (en) 2018-10-31 2021-06-08 Cardinal Cg Company Low solar heat gain coatings, laminated glass assemblies, and methods of producing same
US11812523B2 (en) 2019-06-13 2023-11-07 Beijing E-Town Semiconductor Technology, Co., Ltd Thermal processing system with transmission switch plate
WO2022132485A1 (en) * 2020-12-14 2022-06-23 Mattson Technology, Inc. Workpiece processing apparatus with thermal processing systems

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102098A (en) * 1870-04-19 Improved french bedstead
US3144562A (en) * 1961-05-12 1964-08-11 Itt Radiation source search system using an oscillating filter
JPS5977289A (ja) * 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
SE448575B (sv) * 1983-08-11 1987-03-02 Tri Innovations Ab Reflektorkonstruktion for ir-ugnar
US4579080A (en) * 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS60258928A (ja) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド 半導体ウエ−ハの加熱装置および方法
US4938815A (en) * 1986-10-15 1990-07-03 Advantage Production Technology, Inc. Semiconductor substrate heater and reactor process and apparatus
JP3466633B2 (ja) * 1991-06-12 2003-11-17 ソニー株式会社 多結晶半導体層のアニール方法
US5226732A (en) * 1992-04-17 1993-07-13 International Business Machines Corporation Emissivity independent temperature measurement systems
GB9219221D0 (en) * 1992-09-11 1992-10-28 Queen Mary & Westfield College Furnace
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
US5971565A (en) * 1995-10-20 1999-10-26 Regents Of The University Of California Lamp system with conditioned water coolant and diffuse reflector of polytetrafluorethylene(PTFE)
US6067931A (en) * 1996-11-04 2000-05-30 General Electric Company Thermal processor for semiconductor wafers
WO1998028660A1 (en) * 1996-12-20 1998-07-02 Koninklijke Philips Electronics N.V. Furnace for rapid thermal processing
US5874711A (en) * 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6027244A (en) * 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6056434A (en) * 1998-03-12 2000-05-02 Steag Rtp Systems, Inc. Apparatus and method for determining the temperature of objects in thermal processing chambers
US6127658A (en) * 1998-08-04 2000-10-03 Steag C.V.D. Systems, Ltd. Wafer heating apparatus and method with radiation absorptive peripheral barrier blocking stray radiation
AU767937B2 (en) * 1998-09-09 2003-11-27 John Harrison Solar energy receiver assembly
US6303411B1 (en) * 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6259062B1 (en) * 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
US6376806B2 (en) * 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
DE10051125A1 (de) * 2000-10-16 2002-05-02 Steag Rtp Systems Gmbh Vorrichtung zum thermischen Behandeln von Substraten
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
WO2002047123A1 (en) * 2000-12-04 2002-06-13 Vortek Industries Ltd. Heat-treating methods and systems
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
KR101067902B1 (ko) 2001-12-26 2011-09-27 맷슨 테크날러지 캐나다 인코퍼레이티드 온도 측정 및 열처리 방법과 시스템
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US7041931B2 (en) * 2002-10-24 2006-05-09 Applied Materials, Inc. Stepped reflector plate

Also Published As

Publication number Publication date
US7115837B2 (en) 2006-10-03
US20050023267A1 (en) 2005-02-03
WO2005013325A3 (en) 2005-06-16
KR101124051B1 (ko) 2012-03-23
US20100219174A1 (en) 2010-09-02
JP2007500447A (ja) 2007-01-11
US20070131671A1 (en) 2007-06-14
KR20060052925A (ko) 2006-05-19
DE112004001402B4 (de) 2011-07-28
CN1934404B (zh) 2011-12-14
WO2005013325A2 (en) 2005-02-10
WO2005013325B1 (en) 2005-08-04
WO2005013325A9 (en) 2005-11-03
US7737385B2 (en) 2010-06-15
TWI365499B (en) 2012-06-01
CN1934404A (zh) 2007-03-21
US9633876B2 (en) 2017-04-25
TW200511437A (en) 2005-03-16

Similar Documents

Publication Publication Date Title
DE112004001402B4 (de) Vorrichtung zum thermischen Behandeln eines Substrats
DE112010003998T5 (de) Vorrichtung und Verfahren zur verbesserten Steuerung des Erwärmens und Abkühlens vonSubstraten
DE60313191T2 (de) Verfahren und vorrichtung zum biegen und/oder vorspannen von glas unter verwendung eines infrarotfilters
DE10222879A1 (de) Messung niedriger Wafer-Temperaturen
DE69914350T2 (de) Mikrowellenofen mit bräunungsgerät
DE112005002252T5 (de) Blitzlampen-Aufwärmgerät zum Erzeugen elektromagnetischer Strahlung mit selektiven Wellenlängen
DE102008015483B4 (de) Ofen zur thermischen Behandlung eines dentalen Brennobjektes
EP2026054A2 (de) Verfahren zur optischen Kontrolle des Verlaufs von auf einer Oberfläche eines Körpers erfolgenden physikalischen und/oder chemischen Prozessen
DE19544525A1 (de) Verfahren zur Wärmebehandlung eines Halbleiterkörpers
DE10029522A1 (de) Vorrichtung zum homogenen Erwärmen von Gläsern und/oder Glaskeramiken
EP1171392A1 (de) Verfahren und vorrichtung zum homogenen erwärmen von gläsern und/oder glaskeramiken mit hilfe von infrarot-strahlung
EP1277238B1 (de) Vorrichtung und Verfahren zum gleichzeitigen Temperieren mehrerer Prozessiergüter
DE102007058002B4 (de) Vorrichtung zum thermischen Behandeln von scheibenförmigen Halbleitersubstraten
DE4202944C2 (de) Verfahren und Vorrichtung zum Erwärmen eines Materials
WO2009049752A1 (de) Vorrichtung für eine bestrahlungseinheit
DE10051125A1 (de) Vorrichtung zum thermischen Behandeln von Substraten
DE102016120536A1 (de) Infrarotstrahler
EP3484408B1 (de) Dental-brennofen
AT12731U1 (de) Infrarotstrahler
DE202011003179U1 (de) Brennofen
DE102005038672A1 (de) Vorrichtung zum thermischen Behandeln von Halbleitersubstraten
EP1631121B1 (de) Infrarotheizelement und Vakuumkammer mit Substratheizung, insbesondere für Vakuumbeschichtungsanlagen
DE102022111985A1 (de) Infrarot-Strahler mit einer auf eine Reflektorschicht aus Metall aufgebrachten emissiven Schicht und Verwendung der emissiven Schicht
DE102013113866B4 (de) Anordnung zur thermischen Prozessierung von Substraten
WO2002095803A1 (de) Verfahren und vorrichtung zum thermischen behandeln von substraten

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law

Ref document number: 112004001402

Country of ref document: DE

Date of ref document: 20060629

Kind code of ref document: P

R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20111029

R081 Change of applicant/patentee

Owner name: BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY, CO., , CN

Free format text: FORMER OWNER: MATTSON TECHNOLOGY INC., FREMONT, CALIF., US

Owner name: MATTSON TECHNOLOGY, INC., FREMONT, US

Free format text: FORMER OWNER: MATTSON TECHNOLOGY INC., FREMONT, CALIF., US

R082 Change of representative

Representative=s name: WAGNER & GEYER PARTNERSCHAFT MBB PATENT- UND R, DE