DE102022108252A1 - Halbleitervorrichtung und Verfahren - Google Patents

Halbleitervorrichtung und Verfahren Download PDF

Info

Publication number
DE102022108252A1
DE102022108252A1 DE102022108252.0A DE102022108252A DE102022108252A1 DE 102022108252 A1 DE102022108252 A1 DE 102022108252A1 DE 102022108252 A DE102022108252 A DE 102022108252A DE 102022108252 A1 DE102022108252 A1 DE 102022108252A1
Authority
DE
Germany
Prior art keywords
dielectric layer
dielectric
sacrificial material
layer
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022108252.0A
Other languages
English (en)
Inventor
Wei-Ren WANG
Jen Hung Wang
Tze-Liang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022108252A1 publication Critical patent/DE102022108252A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Es werden ein verbessertes Verfahren zum Herstellen von leitfähigen Strukturelementen und eine damit hergestellte Halbleitervorrichtung offenbart. Bei einer Ausführungsform umfasst ein Verfahren die folgenden Schritte: Herstellen einer Metallleitung, die sich durch eine erste dielektrische Schicht erstreckt, wobei die Metallleitung mit einem Transistor elektrisch verbunden wird; selektives Abscheiden eines Opfermaterials über der Metallleitung; selektives Abscheiden eines ersten dielektrischen Materials über der ersten dielektrischen Schicht und benachbart zu dem Opfermaterial; selektives Abscheiden eines zweiten dielektrischen Materials über dem ersten dielektrischen Material; Entfernen des Opfermaterials, um eine erste Aussparung zu erzeugen, die die Metallleitung freilegt; und Herstellen einer Metalldurchkontaktierung in der ersten Aussparung und in elektrischer Verbindung mit der Metallleitung.

Description

  • Prioritätsanspruch und Querverweis
  • Die vorliegende Anmeldung beansprucht die Priorität der am 12. Oktober 2021 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 63/254.780 , die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.
  • Hintergrund
  • Halbleitervorrichtungen kommen in verschiedenen elektronischen Anwendungsgebieten zum Einsatz, wie zum Beispiel Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden normalerweise dadurch hergestellt, dass isolierende oder dielektrische Materialschichten, leitfähige Materialschichten und Halbleitermaterialschichten nacheinander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten durch Lithografie strukturiert werden, um Schaltkreiskomponenten und -elemente auf dem Substrat herzustellen.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter, indem sie die kleinste Strukturbreite ständig reduziert, sodass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die kleinste Strukturbreite reduziert wird, entstehen jedoch weitere Probleme, die angegangen werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 zeigt ein Beispiel für einen Nanostruktur-Feldeffekttransistor (Nanostruktur-FET) in einer dreidimensionalen Darstellung gemäß einigen Ausführungsformen.
    • Die 2, 3, 4, 5, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 11C, 12A, 12B, 12C, 12D, 13A, 13B, 13C, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 19C, 20A, 20B, 20C, 21A, 21B, 21C, 22A, 22B, 22C, 23A, 23B, 24A, 24B, 25A, 25B, 26A, 26B, 26C, 26D, 27A, 27B, 28A, 28B, 28C, 28D, 29A, 29B, 30A, 30B, 31A, 31B, 32A, 32B, 33A, 33B, 34A, 34B, 35A, 35B, 36A, 36B, 37A, 37B, 38A, 38B, 39A, 39B, 40A, 40B, 41A und 41B sind Schnittansichten von Zwischenstufen bei der Herstellung von Nanostruktur-FETs gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Offenbarung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90° gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden.
  • Bei verschiedenen Ausführungsformen werden ein verbessertes Verfahren zum Herstellen von Metallleitungen und -durchkontaktierungen in dielektrischen Schichten und damit hergestellte Halbleitervorrichtungen bereitgestellt. Das Verfahren umfasst ein selektives Abscheiden eines Opfermaterials über darunter befindlichen Metallleitungen und ein selektives Abscheiden eines ersten dielektrischen Materials benachbart zu dem Opfermaterial und über einer darunter befindlichen dielektrischen Schicht. Bei einigen Ausführungsformen wird das Opfermaterial mit einem Plasmaprozess getrimmt. Ein zweites dielektrisches Material wird selektiv über dem ersten dielektrischen Material benachbart zu dem Opfermaterial abgeschieden. Bei einigen Ausführungsformen wird eine zweite dielektrische Schicht über dem Opfermaterial, dem ersten dielektrischen Material und dem zweiten dielektrischen Material abgeschieden; das zweite dielektrische Material wird durchgeätzt, um erste Aussparungen zu erzeugen, die das Opfermaterial freilegen; und das Opfermaterial wird selektiv entfernt, um zweite Aussparungen zu erzeugen, die die darunter befindlichen Metallleitungen freilegen. Bei einigen Ausführungsformen wird das Opfermaterial selektiv entfernt; die zweite dielektrische Schicht wird über den darunter befindlichen Metallleitungen, dem ersten dielektrischen Material und dem zweiten dielektrischen Material abgeschieden; und die zweite dielektrische Schicht wird durchgeätzt, um dritte Aussparungen zu erzeugen, die die darunter befindlichen Metallleitungen freilegen. In den ersten, den zweiten und den dritten Aussparungen werden Metalldurchkontaktierungen hergestellt. Bei einigen Ausführungsformen wird das erste dielektrische Material selektiv über der darunter befindlichen dielektrischen Schicht abgeschieden; anschließend wird das Opfermaterial benachbart zu dem ersten dielektrischen Material und über den darunter befindlichen Metallleitungen abgeschieden; das Opfermaterial wird getrimmt; und das zweite dielektrische Material wird benachbart zu dem Opfermaterial und über dem ersten dielektrischen Material abgeschieden. Die Verwendung von selektiven Prozessen für die vorstehend beschriebene Abscheidung und Ätzung trägt dazu bei, einen maximalen Kontakt zwischen den Metalldurchkontaktierungen und den Metallleitungen zu gewährleisten und den Versatz der Metalldurchkontaktierungen gegenüber den Metallleitungen zu reduzieren, wodurch die Widerstands-Kapazitäts-Verzögerung (RC-Verzögerung), EM-Zuverlässigkeitsprobleme (EM: Elektromigration), der zeitabhängige dielektrische Durchschlag (TDDB) und Vorrichtungsdefekte reduziert werden und die Vorrichtungsleistung verbessert wird. Außerdem kann das zweite dielektrische Material über Low-k-Materialien abgeschieden werden, was zum Reduzieren der parasitären Kapazität dienen kann, wodurch die Vorrichtungsleistung weiter verbessert wird.
  • Nachstehend werden Ausführungsformen in einem bestimmten Kontext beschrieben, und zwar mit einem Die, der Nanostruktur-FETs aufweist. Verschiedene Ausführungsformen können aber auch für Dies verwendet werden, die andere Arten von Transistoren, z. B. Finnen-Feldeffekttransistoren (FinFETs), Planartransistoren oder dergleichen, statt der oder in Kombination mit den Nanostruktur-FETs aufweisen.
  • 1 zeigt ein Beispiel für Nanostruktur-FETs, z. B. Nanodraht-FETs, Nanolagen-FETs (Nanostruktur-FETs), Multi-Bridge-Channel-FETs (MBC-FETs), Gate-all-around-FETs (GAA-FETs), Nanoribbon-FETs oder dergleichen, in einer dreidimensionalen Darstellung. Die Nanostruktur-FETs weisen Nanostrukturen 55 (z. B. Nanolagen, Nanodrähte oder dergleichen) über Finnen 66 auf einem Substrat 50 (z. B. einem Halbleitersubstrat) auf, wobei die Nanostrukturen 55 als Kanalbereiche für die Nanostruktur-FETs fungieren. Die Nanostrukturen 55 können p-Nanostrukturen, n-Nanostrukturen oder eine Kombination davon sein. Zwischen benachbarten Finnen 66 sind Isolationsbereiche 68 angeordnet. Die Finnen 66 können über benachbarte Isolationsbereiche 68 überstehen und zwischen ihnen herausragen. Obwohl die Isolationsbereiche 68 als Bereiche beschrieben/dargestellt sind, die von dem Substrat 50 getrennt sind, kann sich der hier verwendete Begriff „Substrat“ auf das Halbleitersubstrat allein oder auf eine Kombination aus dem Halbleitersubstrat und den Isolationsbereichen beziehen. Und obwohl ein unterer Teil der Finnen 66 als einzelne mit dem Substrat 50 zusammenhängende Materialien dargestellt ist, können der untere Teil der Finnen 66 und/oder das Substrat 50 nur ein Material oder eine Mehrzahl von Materialien aufweisen. In diesem Zusammenhang beziehen sich die Finnen 66 auf den Teil, der sich zwischen benachbarten Isolationsbereichen 68 erstreckt.
  • Dielektrische Gateschichten 100 sind über Oberseiten der Finnen 66 und entlang Oberseiten, Seitenwänden und Unterseiten der Nanostrukturen 55 angeordnet. Über den dielektrischen Gateschichten 100 befinden sich Gateelektroden 102. Auf den Finnen 66 sind auf gegenüberliegenden Seiten der dielektrischen Gateschichten 100 und der Gateelektroden 102 Source/Drain-Epitaxiebereiche 92 angeordnet.
  • 1 zeigt außerdem Referenzquerschnitte, die in späteren Figuren verwendet werden. Ein Querschnitt A - A' verläuft entlang einer Längsachse einer Gateelektrode 102 und in einer Richtung, die zum Beispiel senkrecht zu der Richtung eines Stromflusses zwischen den Source/Drain-Epitaxiebereichen 92 eines Nanostruktur-FET ist. Ein Querschnitt B - B' ist senkrecht zu dem Querschnitt A - A' und parallel zu einer Längsachse einer Finne 66 des Nanostruktur-FET und verläuft in einer Richtung zum Beispiel eines Stromflusses zwischen den Source/Drain-Epitaxiebereichen 92 des Nanostruktur-FET. Ein Querschnitt C - C' ist parallel zu dem Querschnitt A - A' und verläuft durch die Source/Drain-Epitaxiebereiche 92 der Nanostruktur-FETs. Nachfolgende Figuren beziehen sich der Übersichtlichkeit halber auf diese Referenzquerschnitte.
  • Einige Ausführungsformen, die hier erörtert werden, werden in Zusammenhang mit Nanostruktur-FETs erörtert, die mit einem Gate-Last-Prozess hergestellt werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Außerdem werden bei einigen Ausführungsformen Aspekte in Betracht gezogen, die bei planaren Vorrichtungen, wie etwa planaren FETs, oder bei Finnen-Feldeffekttransistoren (FinFETs) verwendet werden.
  • Die 2 bis 41B sind Schnittansichten von Zwischenstufen beim Herstellen von Nanostruktur-FETs gemäß einigen Ausführungsformen. Die 2 bis 5, 6A, 13A, 14A, 15A, 16A, 17A, 18A, 19A, 20A, 21A, 22A, 23A, 24A, 25A, 26A, 26C, 27A, 28A, 28C, 29A, 30A, 31A, 32A, 33A, 34A, 35A, 36A, 37A, 38A, 39A, 40A und 41A zeigen den Referenzquerschnitt A - A`, der in 1 dargestellt ist. Die 6B, 7B, 8B, 9B, 10B, 11B, 11C, 12B, 12D, 13B, 14B, 15B, 16B, 17B, 18B, 19B, 20B, 21B, 22B, 23B, 24B, 25B, 26B, 26D, 27B, 28B, 28D, 29B, 30B, 31B, 32B, 33B, 34B, 35B, 36B, 37B, 38B, 39B, 40B und 41B zeigen den Referenzquerschnitt B - B', der in 1 dargestellt ist. Die 7A, 8A, 9A, 10A, 11A, 12A, 12C, 13C, 19C, 20C, 21C und 22C zeigen den Referenzquerschnitt C - C', der in 1 dargestellt ist.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat, wie etwa ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann ist. Das Substrat 50 kann ein Wafer, wie etwa ein Siliziumwafer, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird auf einem Substrat hergestellt, meistens einem Silizium- oder Glassubstrat. Andere Substrate, wie etwa mehrschichtige oder Gradient-Substrate, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Folgendes umfassen: Silizium; Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; oder Kombinationen davon.
  • Das Substrat 50 weist einen n-Bereich 50N und einen p-Bereich 5oP auf. Der n-Bereich 50N kann zum Herstellen von n-Vorrichtungen, wie etwa NMOS-Transistoren, z. B. n-Nanostruktur-FETs, verwendet werden, und der p-Bereich 50P kann zum Herstellen von p-Vorrichtungen, wie etwa PMOS-Transistoren, z. B. p-Nanostruktur-FETs, verwendet werden. Der n-Bereich 50N kann von dem p-Bereich 50P physisch getrennt sein (wie durch einen Teiler 20 dargestellt ist), und zwischen dem n-Bereich 50N und dem p-Bereich 50P können beliebig viele Vorrichtungselemente (z. B. andere aktive Vorrichtungen, dotierte Bereiche, Isolationsstrukturen usw.) angeordnet sein. Es sind zwar nur ein n-Bereich 50N und nur ein p-Bereich 50P dargestellt, aber es kann jede Anzahl von n-Bereichen 50N und p-Bereichen 50P vorgesehen sein.
  • Außerdem wird in 2 über dem Substrat 50 ein Mehrschichtstapel 64 hergestellt. Der Mehrschichtstapel 64 umfasst wechselnde Schichten von ersten Halbleiterschichten (51A bis 51C) (die kollektiv als erste Halbleiterschichten 51 bezeichnet werden) und von zweiten Halbleiterschichten (53A bis 53C) (die kollektiv als zweite Halbleiterschichten 53 bezeichnet werden). Zu Erläuterungszwecken und wie später näher dargelegt wird, werden die zweiten Halbleiterschichten 53 entfernt, und die ersten Halbleiterschichten 51 werden strukturiert, um Kanalbereiche von Nanostruktur-FETs in dem p-Bereich 50P zu erzeugen. Außerdem werden die ersten Halbleiterschichten 51 entfernt, und die zweiten Halbleiterschichten 53 werden strukturiert, um Kanalbereiche von Nanostruktur-FETs in dem n-Bereich 50N zu erzeugen. Gleichwohl können bei einigen Ausführungsformen die ersten Halbleiterschichten 51 entfernt werden und die zweiten Halbleiterschichten 53 können strukturiert werden, um Kanalbereiche von Nanostruktur-FETs in dem n-Bereich 50N zu erzeugen, und die zweiten Halbleiterschichten 53 können entfernt werden und die ersten Halbleiterschichten 51 können strukturiert werden, um Kanalbereiche von Nanostruktur-FETs in dem p-Bereich 50P zu erzeugen.
  • Bei einigen Ausführungsformen können die ersten Halbleiterschichten 51 entfernt werden und die zweiten Halbleiterschichten 53 können strukturiert werden, um Kanalbereiche von Nanostruktur-FETs in dem n-Bereich 50N und dem p-Bereich 50P zu erzeugen. Bei einigen Ausführungsformen können die zweiten Halbleiterschichten 53 entfernt werden und die ersten Halbleiterschichten 51 können strukturiert werden, um Kanalbereiche von Nanostruktur-FETs in dem n-Bereich 50N und dem p-Bereich 50P zu erzeugen. Bei diesen Ausführungsformen können die Kanalbereiche in dem n-Bereich 50N und dem p-Bereich 50P die gleiche Materialzusammensetzung (z. B. Silizium oder ein anderes Halbleitermaterial) haben und können gleichzeitig hergestellt werden. Die 22A, 22B und 22C zeigen eine Struktur, die aus diesen Ausführungsformen resultiert, in denen die Kanalbereiche in dem p-Bereich 50P und dem n-Bereich 50N zum Beispiel Silizium aufweisen.
  • Der Mehrschichtstapel 64 ist zu Erläuterungszwecken als ein Stapel mit jeweils drei von den ersten Halbleiterschichten 51 und den zweiten Halbleiterschichten 53 dargestellt. Bei einigen Ausführungsformen kann der Mehrschichtstapel 64 jede Anzahl von ersten Halbleiterschichten 51 und zweiten Halbleiterschichten 53 umfassen. Jede der Schichten des Mehrschichtstapels 64 kann mit einem Verfahren wie chemische Aufdampfung (CVD), Atomlagenabscheidung (ALD), Dampfphasenepitaxie (VPE), Molekularstrahlepitaxie (MBE) oder dergleichen epitaxial aufgewachsen werden. Die ersten Halbleiterschichten 51 können aus einem ersten Halbleitermaterial hergestellt werden, das für p-Nanostruktur-FETs geeignet ist, wie etwa Siliziumgermanium oder dergleichen. Die zweiten Halbleiterschichten 53 können aus einem zweiten Halbleitermaterial hergestellt werden, das für n-Nanostruktur-FETs geeignet ist, wie etwa Silizium, Silizium-Kohlenstoff oder dergleichen. Der Mehrschichtstapel 64 ist zu Erläuterungszwecken als ein Stapel mit einer untersten Halbleiterschicht dargestellt, die für p-Nanostruktur-FETs geeignet ist (z. B. die ersten Halbleiterschichten 51). Bei einigen Ausführungsformen kann der Mehrschichtstapel 64 so hergestellt werden, dass die unterste Schicht eine Halbleiterschicht ist, die für n-Nanostruktur-FETs geeignet ist (z. B. die zweiten Halbleiterschichten 53).
  • Die ersten Halbleitermaterialien und die zweiten Halbleitermaterialien können Materialien mit einer hohen Ätzselektivität in Bezug aufeinander sein. Daher können in dem n-Bereich 50N die ersten Halbleiterschichten 51, die aus den ersten Halbleitermaterialien hergestellt sind, entfernt werden, ohne die zweiten Halbleiterschichten 53, die aus den zweiten Halbleitermaterialien hergestellt sind, erheblich zu entfernen. Insofern können die zweiten Halbleiterschichten 53 so strukturiert werden, dass Kanalbereiche von n-Nanostruktur-FETs entstehen. In ähnlicher Weise können in dem p-Bereich 50P die zweiten Halbleiterschichten 53, die aus den zweiten Halbleitermaterialien hergestellt sind, entfernt werden, ohne die ersten Halbleiterschichten 51, die aus den ersten Halbleitermaterialien hergestellt sind, erheblich zu entfernen. Dadurch können die ersten Halbleiterschichten 51 so strukturiert werden, dass Kanalbereiche von p-Nanostruktur-FETs entstehen.
  • In 3 werden Finnen 66 in dem Substrat 50 hergestellt, und Nanostrukturen 55 werden in dem Mehrschichtstapel 64 hergestellt. Bei einigen Ausführungsformen können die Nanostrukturen 55 und die Finnen 66 in dem Mehrschichtstapel 64 bzw. dem Substrat 50 durch Ätzen von Gräben in dem Mehrschichtstapel 64 und dem Substrat 50 hergestellt werden. Das Ätzen kann mit jedem geeigneten Ätzverfahren erfolgen, wie etwa durch reaktive Ionenätzung (RIE), Neutralstrahlätzung (NBE) oder dergleichen oder eine Kombination davon. Die Ätzung kann anisotrop sein. Durch Herstellen der Nanostrukturen 55 durch Ätzen des Mehrschichtstapels 64 können wiederum erste Nanostrukturen 52A bis 52C (die kollektiv als erste Nanostrukturen 52 bezeichnet werden) aus den ersten Halbleiterschichten 51 definiert werden und zweite Nanostrukturen 54A bis 54C (die kollektiv als zweite Nanostrukturen 54 bezeichnet werden) können aus den zweiten Halbleiterschichten 53 definiert werden. Die ersten Nanostrukturen 52 und die zweiten Nanostrukturen 54 können kollektiv als Nanostrukturen 55 bezeichnet werden.
  • Die Finnen 66 und die Nanostrukturen 55 können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen 66 und die Nanostrukturen 55 mit einem oder mehreren Fotolithografieprozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die Rasterabstände haben, die kleiner als die sind, die sonst mit einem einzelnen direkten Fotolithografieprozess erzielt werden können. Zum Beispiel wird bei einigen Ausführungsformen über einem Substrat eine Opferschicht hergestellt, die dann mit einem Fotolithografieprozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter können dann zum Strukturieren der Finnen 66 verwendet werden.
  • Zu Erläuterungszwecken sind in 3 die Finnen 66 in dem n-Bereich 50N und dem p-Bereich 5oP als Finnen dargestellt, die im Wesentlichen gleiche Breiten haben. Bei einigen Ausführungsformen können die Breiten der Finnen 66 in dem n-Bereich 50N größer oder kleiner als die der Finnen 66 in dem p-Bereich 50P sein. Außerdem sind die Finnen 66 und die Nanostrukturen 55 zwar mit einer durchgehend einheitlichen Breite dargestellt, aber bei einigen Ausführungsformen können die Finnen 66 und/oder die Nanostrukturen 55 konische Seitenwände haben, sodass eine Breite jeder der Finnen 66 und/oder der Nanostrukturen 55 kontinuierlich in einer Richtung zu dem Substrat 50 zunimmt. Bei diesen Ausführungsformen kann jede der Nanostrukturen 55 eine andere Breite haben und kann trapezförmig sein.
  • In 4 werden STI-Bereiche 68 (STI: flache Grabenisolation) benachbart zu den Finnen 66 erzeugt. Die STI-Bereiche 68 können durch Abscheiden eines Isoliermaterials über dem Substrat 50, den Finnen 66 und den Nanostrukturen 55 und zwischen benachbarten Finnen 66 erzeugt werden. Das Isoliermaterial kann ein Oxid, wie etwa Siliziumoxid, ein Nitrid oder dergleichen oder eine Kombination davon sein. Das Isoliermaterial kann durch chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD), fließfähige CVD (FCVD) oder dergleichen oder eine Kombination davon abgeschieden werden. Es können auch andere Isoliermaterialien, die mit einem geeigneten Verfahren abgeschieden werden, verwendet werden. Bei der dargestellten Ausführungsform ist das Isoliermaterial Siliziumoxid, das mit einem FCVD-Prozess abgeschieden wird. Nachdem das Isoliermaterial abgeschieden worden ist, kann ein Temperprozess durchgeführt werden. Bei einer Ausführungsform wird das Isoliermaterial so abgeschieden, dass überschüssiges Isoliermaterial die Nanostrukturen 55 bedeckt. Obwohl das Isoliermaterial als nur eine Schicht dargestellt ist, können bei einigen Ausführungsformen mehrere Schichten verwendet werden. Zum Beispiel kann bei einigen Ausführungsformen zunächst ein Belag (nicht einzeln dargestellt) entlang Oberflächen des Substrats 50, der Finnen 66 und der Nanostrukturen 55 hergestellt werden. Anschließend kann ein Füllmaterial, wie etwa eines der vorgenannten, über dem Belag abgeschieden werden.
  • Dann wird ein Entfernungsprozess an dem Isoliermaterial durchgeführt, um überschüssiges Isoliermaterial über den Nanostrukturen 55 zu entfernen. Bei einigen Ausführungsformen kann ein Planarisierungsprozess verwendet werden, wie etwa eine chemisch-mechanische Polierung (CMP), ein Rückätzprozess, eine Kombination davon oder dergleichen. Durch den Planarisierungsprozess werden die Nanostrukturen 55 freigelegt, sodass Oberseiten der Nanostrukturen 55 und des Isoliermaterials nach Beendigung des Planarisierungsprozesses auf gleicher Höhe sind.
  • Dann wird das Isoliermaterial ausgespart, um die STI-Bereiche 68 zu erzeugen. Das Isoliermaterial wird so ausgespart, dass die Nanostrukturen 55 und obere Teile der Finnen 66 in dem n-Bereich 50N und dem p-Bereich 50P zwischen benachbarten STI-Bereichen 68 herausragen. Außerdem können die Oberseiten der STI-Bereiche 68 eine ebene Oberfläche wie dargestellt, eine konvexe Oberfläche, eine konkave Oberfläche (wie etwa „Dishing“) oder eine Kombination davon haben. Die Oberseiten der STI-Bereiche 68 können durch eine geeignete Ätzung eben, konvex und/oder konkav hergestellt werden. Die STI-Bereiche 68 können mit einem geeigneten Ätzprozess ausgespart werden, wie etwa einem Ätzprozess, der für das Material der Isolierschicht selektiv ist (der z. B. das Material der Isolierschicht mit einer höheren Geschwindigkeit als das Material der Finnen 66 und der Nanostrukturen 55 ätzt). Es kann eine Oxidentfernung unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF-Säure) verwendet werden.
  • Das Verfahren, das vorstehend unter Bezugnahme auf die 2 bis 4 beschrieben worden ist, ist nur ein Beispiel dafür, wie die Finnen 66 und die Nanostrukturen 55 hergestellt werden können. Bei einigen Ausführungsformen können die Finnen 66 und/oder die Nanostrukturen 55 unter Verwendung einer Maske und eines epitaxialen Aufwachsprozesses hergestellt werden. Zum Beispiel kann eine dielektrische Schicht über einer Oberseite des Substrats 50 hergestellt werden, und durch die dielektrische Schicht können Gräben geätzt werden, um das darunter befindliche Substrat 50 freizulegen. In den Gräben können epitaxiale Strukturen epitaxial aufgewachsen werden, und die dielektrische Schicht kann so ausgespart werden, dass die epitaxialen Strukturen aus der dielektrischen Schicht herausragen, um die Finnen 66 und/oder die Nanostrukturen 55 zu bilden. Die epitaxialen Strukturen können die vorstehend erörterten wechselnden Halbleitermaterialien aufweisen, wie etwa die ersten und die zweiten Halbleitermaterialien. Bei einigen Ausführungsformen, bei denen die epitaxialen Strukturen epitaxial aufgewachsen werden, können die epitaxial aufgewachsenen Materialien in situ während des Aufwachsens dotiert werden. Dadurch können vorherige und/oder nachfolgende Implantationen entfallen, aber In-situ- und Implantationsdotierung können auch gemeinsam verwendet werden.
  • Darüber hinaus werden hier die ersten Halbleiterschichten 51 (und resultierende erste Nanostrukturen 52) und die zweiten Halbleiterschichten 53 (und resultierende zweite Nanostrukturen 54) als Schichten/Strukturen dargestellt und erörtert, die nur zu Erläuterungszwecken die gleichen Materialien in dem p-Bereich 50P und dem n-Bereich 50N aufweisen. Daher können bei einigen Ausführungsformen die ersten Halbleiterschichten 51 und/oder die zweiten Halbleiterschichten 53 unterschiedliche Materialien sein oder in einer anderen Reihenfolge in dem p-Bereich 50P und dem n-Bereich 50N hergestellt werden.
  • Außerdem können in 4 geeignete Wannen (nicht einzeln dargestellt) in den Finnen 66, den Nanostrukturen 55 und/oder den STI-Bereichen 68 erzeugt werden. Bei Ausführungsformen mit unterschiedlichen Wannenarten können unterschiedliche Implantationsschritte für den n-Bereich 50N und den p-Bereich 50P unter Verwendung eines Fotoresists oder anderer Masken (nicht einzeln dargestellt) durchgeführt werden. Zum Beispiel kann ein Fotoresist über den Finnen 66, den Nanostrukturen 55 und den STI-Bereichen 68 in dem n-Bereich 50N und dem p-Bereich 50P hergestellt werden. Das Fotoresist wird strukturiert, um den p-Bereich 50P freizulegen. Das Fotoresist kann durch Aufschleudern hergestellt werden und kann mit geeigneten fotolithografischen Verfahren strukturiert werden. Nachdem das Fotoresist strukturiert worden ist, wird eine Implantation mit einem n-Dotierungsstoff in dem p-Bereich 50P durchgeführt, und das Fotoresist kann als eine Maske fungieren, um weitgehend zu verhindern, dass n-Dotierungsstoffe in den Bereich n-50N implantiert werden. Die n-Dotierungsstoffe können Phosphor, Arsen, Antimon oder dergleichen sein, die in dem Bereich mit einer Konzentration von etwa 1013 Atomen/cm-3 bis etwa 1014 Atomen/cm-3 implantiert werden. Nach der Implantation wird das Fotoresist zum Beispiel mit einem geeigneten Ablösungsprozess entfernt.
  • Nach oder vor der Implantation des p-Bereichs 50P wird ein Fotoresist oder eine andere Maske (nicht einzeln dargestellt) über den Finnen 66, den Nanostrukturen 55 und den STI-Bereichen 68 in dem p-Bereich 50P und dem n-Bereich 50N hergestellt. Das Fotoresist wird strukturiert, um den n-Bereich 50N freizulegen. Das Fotoresist kann durch Aufschleudern hergestellt werden und kann mit geeigneten fotolithografischen Verfahren strukturiert werden. Nachdem das Fotoresist strukturiert worden ist, kann eine Implantation mit einem p-Dotierungsstoff in dem n-Bereich 50N durchgeführt werden, und das Fotoresist kann als eine Maske fungieren, um weitgehend zu verhindern, dass p-Dotierungsstoffe in den p-Bereich 50P implantiert werden. Die p-Dotierungsstoffe können Bor, Borfluorid, Indium oder dergleichen sein, die in dem Bereich mit einer Konzentration von etwa 1013 Atomen/cm-3 bis etwa 1014 Atomen/cm-3 implantiert werden. Nach der Implantation kann das Fotoresist zum Beispiel mit einem geeigneten Ablösungsprozess entfernt werden.
  • Nach den Implantationen des n-Bereichs 50N und des p-Bereichs 50P kann ein Temperprozess durchgeführt werden, um Implantationsschäden zu beheben und die implantierten p- und/oder n-Dotierungsstoffe zu aktivieren. Bei einigen Ausführungsformen können die aufgewachsenen Materialien der epitaxialen Finnen in situ während des Aufwachsens dotiert werden, sodass die Implantationen entfallen können, aber In-situ- und Implantationsdotierung können auch gemeinsam verwendet werden.
  • In 5 wird eine dielektrische Dummy-Schicht 70 auf den Finnen 66 und/oder den Nanostrukturen 55 hergestellt. Die dielektrische Dummy-Schicht 70 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen aufweisen und kann mit geeigneten Verfahren abgeschieden oder thermisch aufgewachsen werden.
  • Über der dielektrischen Dummy-Schicht 70 wird eine Dummy-Gateschicht 72 hergestellt, und über der Dummy-Gateschicht 72 wird eine Maskenschicht 74 hergestellt. Die Dummy-Gateschicht 72 kann über der dielektrischen Dummy-Schicht 70 abgeschieden werden und anschließend zum Beispiel mit einer CMP planarisiert werden. Die Dummy-Gateschicht 72 kann ein leitfähiges oder nicht-leitfähiges Material sein, das aus der Gruppe gewählt werden kann, die aus amorphem Silizium, polykristallinem Silizium (Polysilizium), polykristallinem Siliziumgermanium (Poly-SiGe), Metallnitriden, Metallsiliziden, Metalloxiden und Metallen besteht. Die Dummy-Gateschicht 72 kann durch physikalische Aufdampfung (PVD), CVD, Sputterdeposition oder mit anderen Verfahren zum Abscheiden des gewählten Materials abgeschieden werden. Die Dummy-Gateschicht 72 kann auch aus anderen Materialien hergestellt werden, die gegenüber der Ätzung von Isolationsbereichen eine hohe Ätzselektivität haben.
  • Über der Dummy-Gateschicht 72 kann die Maskenschicht 74 abgeschieden werden. Die Maskenschicht 74 kann zum Beispiel Siliziumnitrid, Siliziumoxidnitrid oder dergleichen aufweisen. In diesem Beispiel werden nur eine Dummy-Gateschicht 72 und nur eine Maskenschicht 74 quer über den n-Bereich 50N und den p-Bereich 50P hergestellt. Es ist zu beachten, dass die dielektrische Dummy-Schicht 70 zu Erläuterungszwecken als eine Schicht gezeigt ist, die nur die Finnen 66 und die Nanostrukturen 55 bedeckt. Bei einigen Ausführungsformen kann die dielektrische Dummy-Schicht 70 so abgeschieden werden, dass sie die STI-Bereiche 68 bedeckt. Dadurch kann sich die dielektrische Dummy-Schicht 70 zwischen der Dummy-Gateschicht 72 und den STI-Bereichen 68 erstrecken.
  • Die 6A bis 41B zeigen verschiedene weitere Schritte beim Herstellen von beispielhaften Vorrichtungen. Die 7A, 8A, 9A, 10A, 11A, 12A, 12C, 13A, 13C, 14A, 15A, 19C, 20C, 21C und 22C zeigen Strukturelemente in den n-Bereichen 50N oder den p-Bereichen 50P. In den 6A und 6B kann die Maskenschicht 74 (siehe 5) mit geeigneten fotolithografischen und Ätzverfahren strukturiert werden, um Masken 78 herzustellen. Die Struktur der Masken 78 kann dann auf die Dummy-Gateschicht 72 und die dielektrische Dummy-Schicht 70 übertragen werden, um Dummy-Gates 76 bzw. Dummy-Gatedielektrika 71 herzustellen. Die Dummy-Gates 76 bedecken jeweilige Kanalbereiche der Nanostrukturen 55. Die Struktur der Masken 78 kann zum physischen Trennen jedes der Dummy-Gates 76 von benachbarten Dummy-Gates 76 verwendet werden. Die Dummy-Gates 76 können außerdem eine Längsrichtung haben, die im Wesentlichen senkrecht zu der Längsrichtung der jeweiligen Finnen 66 ist. Die Masken 78, die Dummy-Gates 76 und die Dummy-Gatedielektrika 71 können kollektiv als „Dummy-Gatestrukturen“ bezeichnet werden.
  • In den 7A und 7B werden eine erste Abstandshalterschicht 80 und eine zweite Abstandshalterschicht 82 über den Dummy-Gatestrukturen, den Nanostrukturen 55 und den STI-Bereichen 68 hergestellt. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 werden anschließend strukturiert, um als Abstandshalter zum Herstellen von selbstjustierten Source/Drain-Bereichen zu fungieren. In den 7A und 7B wird die erste Abstandshalterschicht 80 an den folgenden Stellen hergestellt: auf Oberseiten der STI-Bereiche 68; auf Oberseiten und Seitenwänden der Nanostrukturen 55 und der Masken 78; und auf Seitenwänden der Dummy-Gates 76, der Dummy-Gatedielektrika 71 und der Finnen 66. Über der ersten Abstandshalterschicht 80 wird die zweite Abstandshalterschicht 82 abgeschieden. Die erste Abstandshalterschicht 80 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid oder dergleichen hergestellt werden und kann mit Verfahren wie thermische Oxidation hergestellt werden oder durch CVD, ALD oder dergleichen abgeschieden werden. Die zweite Abstandshalterschicht 82 kann aus einem Material, das eine andere Ätzrate als das Material der ersten Abstandshalterschicht 80 hat, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid oder dergleichen, hergestellt werden und kann durch CVD, ALD oder dergleichen abgeschieden werden.
  • Nach der Herstellung der ersten Abstandshalterschicht 80 und vor der Herstellung der zweiten Abstandshalterschicht 82 können Implantationen für leicht dotierte Source/Drain-Bereiche (LDD-Bereiche; nicht einzeln dargestellt) durchgeführt werden. Bei Ausführungsformen mit unterschiedlichen Vorrichtungsarten kann ähnlich wie bei den vorstehend in 4 erörterten Implantationen eine Maske, wie etwa ein Fotoresist, über dem n-Bereich 50N hergestellt werden, während der p-Bereich 50P freiliegt. Dotierungsstoffe einer entsprechenden Dotierungsart (z. B. p-leitend) können in die freiliegenden Finnen 66 und die Nanostrukturen 55 in dem p-Bereich 50P implantiert werden. Anschließend kann die Maske entfernt werden. Dann kann eine Maske, wie etwa ein Fotoresist, über dem p-Bereich 50P hergestellt werden, während der n-Bereich 50N freiliegt. Dotierungsstoffe einer entsprechenden Dotierungsart (z. B. n-leitend) können in die freiliegenden Finnen 66 und die Nanostrukturen 55 in dem n-Bereich 50N implantiert werden. Anschließend kann die Maske entfernt werden. Die n-Dotierungsstoffe können einige der vorstehend erörterten n-Dotierungsstoffe sein, und die p-Dotierungsstoffe können einige der vorstehend erörterten p-Dotierungsstoffe sein. Die leicht dotierten Source/Drain-Bereiche können eine Dotierungskonzentration von etwa 1 × 1015 Atomen/cm-3 bis etwa 1 × 1019 Atomen/cm-3 haben. Mit einem Temperprozess können Implantationsschäden behoben werden und die implantierten Dotierungsstoffe aktiviert werden.
  • In den 8A und 8B werden die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 (siehe 7A und 7B) geätzt, um erste Abstandshalter 81 und zweite Abstandshalter 83 herzustellen. Wie später näher dargelegt wird, funktionieren die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 so, dass sie später erzeugte Source/Drain-Bereiche selbstjustieren und Seitenwände der Finnen 66 und/oder der Nanostrukturen 55 während einer späteren Bearbeitung schützen. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 können mit einem geeigneten Ätzprozess, wie etwa einem isotropen Ätzprozess (z. B. einem Nassätzprozess), einem anisotropen Ätzprozess (z. B. einem Trockenätzprozess) oder dergleichen, geätzt werden. Bei einigen Ausführungsformen hat das Material der zweiten Abstandshalterschicht 82 eine andere Ätzrate als das Material der ersten Abstandshalterschicht 80, sodass die erste Abstandshalterschicht 80 als eine Ätzstoppschicht beim Strukturieren der zweiten Abstandshalterschicht 82 fungieren kann und die zweite Abstandshalterschicht 82 als eine Maske beim Strukturieren der ersten Abstandshalterschicht 80 fungieren kann. Zum Beispiel kann die zweite Abstandshalterschicht 82 mit einem anisotropen Ätzprozess geätzt werden, bei dem die erste Abstandshalterschicht 80 als eine Ätzstoppschicht fungiert. Verbliebene Teile der zweiten Abstandshalterschicht 82 bilden die zweiten Abstandshalter 83, wie in 8A gezeigt ist. Anschließend fungieren die zweiten Abstandshalter 83 als eine Maske während des Ätzens von freiliegenden Teilen der ersten Abstandshalterschicht 80, sodass die ersten Abstandshalter 81 entstehen, wie in den 8A und 8B gezeigt ist.
  • Wie in 8A gezeigt ist, sind die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 auf Seitenwänden der Finnen 66 und/oder der Nanostrukturen 55 angeordnet. Wie in 8B gezeigt ist, kann bei einigen Ausführungsformen die zweite Abstandshalterschicht 82 über der ersten Abstandshalterschicht 80 benachbart zu den Masken 78, den Dummy-Gates 76 und den Dummy-Gatedielektrika 71 entfernt werden, und nur die ersten Abstandshalter 81 sind auf den Seitenwänden der Masken 78, der Dummy-Gates 76 und der Dummy-Gatedielektrika 71 angeordnet. Bei anderen Ausführungsformen kann ein Teil der zweiten Abstandshalterschicht 82 über der ersten Abstandshalterschicht 80 benachbart zu den Masken 78, den Dummy-Gates 76 und den Dummy-Gatedielektrika 71 bestehen bleiben.
  • Es ist zu beachten, dass vorstehend ein Verfahren zum Herstellen von Abstandshaltern und LDD-Bereichen allgemein beschrieben worden ist. Es können auch andere Verfahren und Abläufe verwendet werden. Zum Beispiel können weniger oder weitere Abstandshalter verwendet werden, eine andere Reihenfolge von Schritten kann verwendet werden (z. B. können die ersten Abstandshalter 81 vor dem Abscheiden der zweiten Abstandshalterschicht 82 strukturiert werden), weitere Abstandshalter können hergestellt und entfernt werden, und/oder dergleichen. Außerdem können die n- und p-Vorrichtungen unter Verwendung von anderen Strukturen und Schritten hergestellt werden.
  • In den 9A und 9B werden erste Aussparungen 86 in den Nanostrukturen 55, den Finnen 66 und dem Substrat 50 erzeugt. Anschließend werden Source/Drain-Epitaxiebereiche in den ersten Aussparungen 86 erzeugt. Die ersten Aussparungen 86 können sich durch die ersten Nanostrukturen 52 und die zweiten Nanostrukturen 54 und in das Substrat 50 erstrecken. Wie in 9A gezeigt ist, können Oberseiten der STI-Bereiche 68 auf gleicher Höhe mit Unterseiten der ersten Aussparungen 86 sein. Bei verschiedenen Ausführungsformen können die Finnen 66 so geätzt werden, dass sich die Unterseiten der ersten Aussparungen 86 über oder unter den Oberseiten der STI-Bereiche 68 befinden, oder dergleichen. Die ersten Aussparungen 86 können durch Ätzen der Nanostrukturen 55, der Finnen 66 und des Substrats 50 mit anisotropen Ätzprozessen, wie etwa RIE, NBE oder dergleichen, erzeugt werden. Die ersten Abstandshalter 81, die zweiten Abstandshalter 83 und die Masken 78 maskieren Teile der Nanostrukturen 55, der Finnen 66 und des Substrats 50 während der Ätzprozesse, die zum Erzeugen der ersten Aussparungen 86 verwendet werden. Zum Ätzen jeder Schicht der Nanostrukturen 55 und/oder der Finnen 66 können nur ein
  • Ätzprozess oder aber mehrere Ätzprozesse verwendet werden. Zum Beenden der Ätzung der ersten Aussparungen 86 nach dem Erreichen einer gewünschten Tiefe können zeitgesteuerte Ätzprozesse verwendet werden.
  • In den 10A und 10B werden Teile von Seitenwänden der Schichten des Mehrschichtstapels 64, die aus den ersten Halbleitermaterialien hergestellt sind (z. B. die ersten Nanostrukturen 52), die von den ersten Aussparungen 86 freigelegt worden sind, geätzt, um Seitenwand-Aussparungen 88 in dem n-Bereich 50N zu erzeugen, und Teile von Seitenwänden der Schichten des Mehrschichtstapels 64, die aus den zweiten Halbleitermaterialien hergestellt sind (z. B. die zweiten Nanostrukturen 52), die von den ersten Aussparungen 86 freigelegt worden sind, werden geätzt, um Seitenwand-Aussparungen 88 in dem p-Bereich 50P zu erzeugen. Seitenwände der ersten Nanostrukturen 52 und der zweiten Nanostrukturen 54, die zu den Seitenwand-Aussparungen 88 benachbart sind, sind in 10B zwar als gerade Seitenwände dargestellt, aber sie können auch konkav oder konvex sein. Die Seitenwände können mit isotropen Ätzprozessen, wie etwa durch Nassätzung oder dergleichen, geätzt werden. Der p-Bereich 50P kann durch eine Maske (nicht einzeln dargestellt) geschützt werden, während Ätzmittel, die für die ersten Halbleitermaterialien selektiv sind, zum Ätzen der ersten Nanostrukturen 52 verwendet werden. Dadurch bleiben die zweiten Nanostrukturen 54 und das Substrat 50 im Vergleich zu den ersten Nanostrukturen 52 in dem n-Bereich 50N relativ ungeätzt. Ebenso kann der n-Bereich 50N durch eine Maske (nicht einzeln dargestellt) geschützt werden, während Ätzmittel, die für die zweiten Halbleitermaterialien selektiv sind, zum Ätzen der zweiten Nanostrukturen 54 verwendet werden. Dadurch bleiben die ersten Nanostrukturen 52 und das Substrat 50 im Vergleich zu den zweiten Nanostrukturen 54 in dem p-Bereich 50P relativ ungeätzt. Bei einer Ausführungsform, bei der die ersten Nanostrukturen 52 z. B. SiGe aufweisen und die zweiten Nanostrukturen 54 z. B. Si oder SiC aufweisen, kann ein Trockenätzprozess mit Tetramethylammoniumhydroxid (TMAH), Ammoniakhydrat (NH4OH) oder dergleichen zum Ätzen von Seitenwänden der ersten Nanostrukturen 52 in dem n-Bereich 50N verwendet werden. Ein Nass- oder Trockenätzprozess mit Fluorwasserstoff, einem anderen fluorbasierten Ätzmittel oder dergleichen kann zum Ätzen von Seitenwänden der zweiten Nanostrukturen 54 in dem p-Bereich 50P verwendet werden.
  • In den 11A bis 11C werden erste Innenabstandshalter 90 in den Seitenwand-Aussparungen 88 hergestellt. Die ersten Innenabstandshalter 90 können durch Abscheiden einer Innenabstandshalterschicht (nicht einzeln dargestellt) über den Strukturen hergestellt werden, die in den 10A und 10B gezeigt sind. Die Innenabstandshalterschicht kann mit einem konformen Abscheidungsverfahren, wie etwa CVD, ALD oder dergleichen, abgeschieden werden. Die Innenabstandshalterschicht kann ein Material wie Siliziumnitrid oder Siliziumoxidnitrid aufweisen, aber es können alle geeigneten Materialien verwendet werden, wie etwa Materialien mit einer niedrigen Dielektrizitätskonstante (Low-k-Materialien), die einen k-Wert von weniger als etwa 3,5 haben. Dann kann die Innenabstandshalterschicht mit einem Verfahren wie RIE, NBE oder dergleichen anisotrop geätzt werden, um die ersten Innenabstandshalter 90 herzustellen.
  • Es ist zwar gezeigt, dass äußere Seitenwände der ersten Innenabstandshalter 90 bündig mit Seitenwänden der zweiten Nanostrukturen 54 in dem n-Bereich 50N und bündig mit Seitenwänden der ersten Nanostrukturen 52 in dem p-Bereich 50P sind, aber die äußeren Seitenwände der ersten Innenabstandshalter 90 können sich auch über die Seitenwände der zweiten Nanostrukturen 54 und/oder der ersten Nanostrukturen 52 hinaus erstrecken oder aus diesen ausgespart werden. Die äußeren Seitenwände der ersten Innenabstandshalter 90 sind zwar in 11B als gerade Seitenwände dargestellt, aber sie können auch konkav oder konvex sein. Als ein Beispiel zeigt 11C eine Ausführungsform, bei der die Seitenwände der ersten Nanostrukturen 52 konkav sind, die äußeren Seitenwände der ersten Innenabstandshalter 90 konkav sind und die ersten Innenabstandshalter 90 aus Seitenwänden der zweiten Nanostrukturen 54 in dem n-Bereich 50N ausgespart sind. Außerdem sind in 11C die Seitenwände der zweiten Nanostrukturen 54 konkav, die äußeren Seitenwände der ersten Innenabstandshalter 90 sind konkav und die ersten Innenabstandshalter 90 sind aus Seitenwänden der ersten Nanostrukturen 52 in dem p-Bereich 50P ausgespart.
  • Die ersten Innenabstandshalter 90 fungieren als Isolationselemente zwischen später erzeugten Source/Drainbereichen (wie etwa Source/Drain-Epitaxiebereichen 92, die nachstehend unter Bezugnahme auf die 12A bis 12D erörtert werden) und Gatestrukturen (wie etwa Gatestrukturen, die dielektrische Gateschichten 100 und Gateelektroden 102 umfassen, die später unter Bezugnahme auf die 17A und 17B erörtert werden). Die ersten Innenabstandshalter 90 können auch eine Beschädigung der Source/Drain-Epitaxiebereiche 92 durch spätere Ätzprozesse vermeiden, wie etwa Ätzprozesse, die zum Herstellen der Gatestrukturen verwendet werden, die die dielektrischen Gateschichten 100 und die Gateelektroden 102 umfassen.
  • In den 12A bis 12D werden Source/Drain-Epitaxiebereiche 92 (die eine erste Halbleitermaterialschicht 92A, eine zweite Halbleitermaterialschicht 92B und eine dritte Halbleitermaterialschicht 92C aufweisen können) in den ersten Aussparungen 86 (die in den 11B und 11C gezeigt sind) erzeugt. Bei einigen Ausführungsformen können die Source/Drain-Epitaxiebereiche 92 eine mechanische Spannung auf die zweiten Nanostrukturen 54 in dem n-Bereich 50N und auf die ersten Nanostrukturen 52 in dem p-Bereich 50P aufbringen, wodurch die Leistung verbessert wird. Wie in 12B gezeigt ist, werden die Source/Drain-Epitaxiebereiche 92 in den ersten Aussparungen 86 so erzeugt, dass sich jedes Dummy-Gate 76 zwischen jeweiligen benachbarten Paaren der Source/Drain-Epitaxiebereiche 92 befindet. Bei einigen Ausführungsformen werden die ersten Abstandshalter 81 zum Trennen der Source/Drain-Epitaxiebereiche 92 von den Dummy-Gates 76 verwendet, und die ersten Innenabstandshalter 90 werden zum Trennen der Source/Drain-Epitaxiebereiche 92 von den Nanostrukturen 55 mit einem geeigneten seitlichen Abstand verwendet, um Kurzschlüsse zwischen den Source/Drain-Epitaxiebereichen 92 und später hergestellten Gatestrukturen (wie etwa den Gatestrukturen mit den dielektrischen Gateschichten 100 und den Gateelektroden 102, die später unter Bezugnahme auf die 17A und 17B erörtert werden) zu vermeiden.
  • Die Source/Drain-Epitaxiebereiche 92 in dem n-Bereich 50N, z. B. dem NMOS-Bereich, können durch Maskieren des p-Bereichs 50P, z. B. des PMOS-Bereichs, erzeugt werden. Dann werden die Source/Drain-Epitaxiebereiche 92 epitaxial in den ersten Aussparungen 86 in dem n-Bereich 50N aufgewachsen. Die Source/Drain-Epitaxiebereiche 92 können ein Material aufweisen, das für n-Nanostruktur-FETs geeignet ist. Zum Beispiel können bei Ausführungsformen, bei denen die zweiten Nanostrukturen 54 Silizium sind, die Source/Drain-Epitaxiebereiche 92 Materialien aufweisen, die eine Zugspannung auf die zweiten Nanostrukturen 54 aufbringen, wie etwa Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die Source/Drain-Epitaxiebereiche 92 können Oberflächen haben, die gegenüber jeweiligen Oberflächen der Nanostrukturen 55 erhaben sind, und sie können Abschrägungen haben.
  • Die Source/Drain-Epitaxiebereiche 92 in dem p-Bereich 50P, z. B. dem PMOS-Bereich, können durch Maskieren des n-Bereichs 50N, z. B. des NMOS-Bereichs, erzeugt werden. Dann werden die Source/Drain-Epitaxiebereiche 92 in den ersten Aussparungen 86 in dem p-Bereich 50P epitaxial aufgewachsen. Die Source/Drain-Epitaxiebereiche 92 können ein Material aufweisen, das für p-Nanostruktur-FETs geeignet ist. Zum Beispiel können bei Ausführungsformen, bei denen die ersten Nanostrukturen 52 Siliziumgermanium sind, die Source/Drain-Epitaxiebereiche 92 Materialien aufweisen, die eine Druckspannung auf die ersten Nanostrukturen 52 aufbringen, wie etwa Siliziumgermanium, Bor, bordotiertes Siliziumgermanium, Germanium, Germanium-Zinn oder dergleichen. Die Source/Drain-Epitaxiebereiche 92 können ebenfalls Oberflächen haben, die gegenüber jeweiligen Oberflächen der Nanostrukturen 55 erhaben sind, und sie können Abschrägungen haben.
  • Die Source/Drain-Epitaxiebereiche 92, die Nanostrukturen 55, die Finnen 66 und/oder das Substrat 50 können, ähnlich wie bei dem vorstehend erörterten Prozess zum Herstellen von leicht dotierten Source/Drain-Bereichen, mit Dotanden implantiert werden, um Source/Drain-Bereiche zu erzeugen, und sie können anschließend getempert werden. Die Source/Drain-Bereiche können eine Dotierungskonzentration von etwa 1 × 1019 Atomen/cm3 bis etwa 1 × 1021 Atomen/cm3 haben. Die n- und/oder p-Dotierungsstoffe für die Source/Drain-Bereiche können diejenigen sein, die vorstehend erörtert worden sind. Bei einigen Ausführungsformen können die Source/Drain-Epitaxiebereiche 92 während des Aufwachsens in situ dotiert werden.
  • Durch die Epitaxieprozesse, die zum Erzeugen der Source/Drain-Epitaxiebereiche 92 in dem n-Bereich 50N und dem p-Bereich 50P verwendet werden, haben Oberseiten der Source/Drain-Epitaxiebereiche 92 Abschrägungen, die sich seitlich nach außen über Seitenwände der Nanostrukturen 55 hinaus ausdehnen. Bei einigen Ausführungsformen bewirken diese Abschrägungen, dass benachbarte Source/Drain-Epitaxiebereiche 92 desselben Nanostruktur-FET miteinander verschmelzen, wie in 12A gezeigt ist. Bei anderen Ausführungsformen bleiben benachbarte Source/Drain-Epitaxiebereiche 92 nach der Beendigung des Epitaxieprozesses getrennt, wie in 12C gezeigt ist. Bei den Ausführungsformen, die in den 12A und 12C gezeigt sind, können die ersten Abstandshalter 81 so hergestellt werden, dass sie sich bis zu Oberseiten der STI-Bereiche 68 erstrecken, sodass das epitaxiale Aufwachsen blockiert wird. Bei einigen Ausführungsformen können die ersten Abstandshalter 81 Teile der Seitenwände der Nanostrukturen 55 bedecken, wodurch das epitaxiale Aufwachsen weiter blockiert wird. Bei einigen Ausführungsformen kann die Abstandshalter-Ätzung, die zum Herstellen der ersten Abstandshalter 81 verwendet wird, so angepasst werden, dass das Abstandshaltermaterial entfernt wird, sodass sich die Source/Drain-Epitaxiebereiche 92 bis zu den Oberflächen der STI-Bereiche 68 erstrecken können.
  • Die Source/Drain-Epitaxiebereiche 92 können eine oder mehrere Halbleitermaterialschichten aufweisen. Zum Beispiel können die Source/Drain-Epitaxiebereiche 92 eine erste Halbleitermaterialschicht 92A, eine zweite Halbleitermaterialschicht 92B und eine dritte Halbleitermaterialschicht 92C aufweisen. Es kann jede Anzahl von Halbleitermaterialschichten für die Source/Drain-Epitaxiebereiche 92 verwendet werden. Jede der ersten Halbleitermaterialschicht 92A, der zweiten Halbleitermaterialschicht 92B und der dritten Halbleitermaterialschicht 92C kann aus unterschiedlichen Halbleitermaterialien hergestellt werden und kann auf unterschiedliche Dotierungskonzentrationen dotiert werden. Bei einigen Ausführungsformen kann die erste Halbleitermaterialschicht 92A eine Dotierungskonzentration haben, die niedriger als die der zweiten Halbleitermaterialschicht 92B und höher als die der dritten Halbleitermaterialschicht 92C ist. Bei Ausführungsformen, bei denen die Source/Drain-Epitaxiebereiche 92 drei Halbleitermaterialschichten aufweisen, kann zunächst die erste Halbleitermaterialschicht 92A abgeschieden werden, dann kann die zweite Halbleitermaterialschicht 92B über der ersten Halbleitermaterialschicht 92A abgeschieden werden, und die dritte Halbleitermaterialschicht 92C kann über der zweiten Halbleitermaterialschicht 92B abgeschieden werden.
  • 12D zeigt eine Ausführungsform, bei der Seitenwände der ersten Nanostrukturen 52 in dem n-Bereich 50N und Seitenwände der zweiten Nanostrukturen 54 in dem p-Bereich 50P konkav sind, äußere Seitenwände der ersten Innenabstandshalter 90 konkav sind und die ersten Innenabstandshalter 90 aus Seitenwänden der zweiten Nanostrukturen 54 und der ersten Nanostrukturen 52 ausgespart sind. Wie in 12D gezeigt ist, können die Source/Drain-Epitaxiebereiche 92 in Kontakt mit den ersten Innenabstandshaltern 90 erzeugt werden, und sie können sich über die Seitenwände der zweiten Nanostrukturen 54 in dem n-Bereich 50N und über die Seitenwände der ersten Nanostrukturen 52 in dem p-Bereich 50P hinaus erstrecken.
  • In den 13A bis 13C werden eine Kontakt-Ätzstoppschicht (CESL) 94 und ein erstes Zwischenschichtdielektrikum (ILD) 96 über den Source/Drain-Epitaxiebereichen 92, den Dummy-Gatestrukturen, den ersten Abstandshaltern 81 und den STI-Bereichen 68 abgeschieden. Die CESL 94 kann ein dielektrisches Material aufweisen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid oder dergleichen, das eine andere Ätzrate als das Material des darüber befindlichen ersten ILD 96 hat. Die CESL 94 kann optional sein und kann bei einigen Ausführungsformen weggelassen werden. Das erste ILD 96 kann aus einem dielektrischen Material hergestellt werden und kann mit einem geeigneten Verfahren wie CVD, plasmaunterstützte CVD (PECVD) oder FCVD abgeschieden werden. Geeignete dielektrische Materialien können Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), undotiertes Silicatglas (USG) oder dergleichen sein. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren abgeschieden werden.
  • In den 14A und 14B kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um die Oberseite des ersten ILD 96 auf gleiche Höhe mit den Oberseiten der Dummy-Gates 76 oder der Masken 78 zu bringen. Mit dem Planarisierungsprozess können auch die Masken 78 auf den Dummy-Gates 76 sowie Teile der ersten Abstandshalter 81 entlang den Seitenwänden der Masken 78 entfernt werden. Nach dem Planarisierungsprozess sind die Oberseiten der Dummy-Gates 76, der ersten Abstandshalter 81, der CESL 94 und des ersten ILD 96 (innerhalb von Prozessschwankungen) auf gleicher Höhe. Dementsprechend werden die Oberseiten der Dummy-Gates 76 nicht von dem ersten ILD 96 und der CESL 94 bedeckt. Bei einigen Ausführungsformen können die Masken 78 bestehen bleiben, und in diesem Fall werden mit dem Planarisierungsprozess die Oberseiten des ersten ILD 96 und der CESL 94 auf gleiche Höhe mit den Oberseiten der Masken 78 und der ersten Abstandshalter 81 gebracht.
  • In den 15A und 15B werden die Dummy-Gates 76, die Dummy-Gatedielektrika 71 und, falls vorhanden, die Masken 78 entfernt, sodass zweite Aussparungen 98 entstehen. Bei einigen Ausführungsformen werden die Dummy-Gates 76 und die Gatedielektrika 71 mit einem oder mehreren Ätzprozessen entfernt, wie etwa mit anisotropen Trockenätzprozessen. Die Ätzprozesse können einen Trockenätzprozess unter Verwendung eines oder mehrerer Reaktionsgase umfassen, die die Dummy-Gates 76 selektiv (mit einer höheren Geschwindigkeit als das erste ILD 96, die CESL 94 oder die ersten Abstandshalter 81) ätzen. Jede der zweiten Aussparungen 98 legt Teile der Nanostrukturen 55, die in später fertiggestellten Nanostruktur-FETs als Kanalbereiche fungieren, frei und/oder überdeckt diese. Die Teile der Nanostrukturen 55, die als die Kanalbereiche fungieren, sind zwischen benachbarten Paaren der Source/Drain-Epitaxiebereiche 92 angeordnet. Während des Entfernens können die Gatedielektrika 71 als Ätzstoppschichten verwendet werden, wenn die Dummy-Gates 76 geätzt werden. Die Dummy-Gatedielektrika 71 können nach dem Entfernen der Dummy-Gates 76 entfernt werden.
  • In den 16A und 16B werden die ersten Nanostrukturen 52 in dem n-Bereich 50N und die zweiten Nanostrukturen 54 in dem p-Bereich 50P entfernt, sodass die zweiten Aussparungen 98 vergrößert werden. Die ersten Nanostrukturen 52 können durch Herstellen einer Maske (nicht einzeln dargestellt) über dem p-Bereich 50P und Durchführen eines isotropen Ätzprozesses, wie etwa einer Nassätzung oder dergleichen, unter Verwendung von Ätzmitteln entfernt werden, die für die Materialien der ersten Nanostrukturen 52 selektiv sind. Die zweiten Nanostrukturen 54, die Finnen 66, das Substrat 50, die STI-Bereiche 68, das erste ILD 96 und die CESL 94 bleiben im Vergleich zu den ersten Nanostrukturen 52 relativ ungeätzt. Bei Ausführungsformen, bei denen die ersten Nanostrukturen 52 z. B. SiGe aufweisen und die zweiten Nanostrukturen 54 z. B. Si oder SiC aufweisen, kann Tetramethylammoniumhydroxid (TMAH), Ammoniakhydrat (NH4OH) oder dergleichen zum Entfernen der ersten Nanostrukturen 52 in dem n-Bereich 50N verwendet werden.
  • Die zweiten Nanostrukturen 54 in dem p-Bereich 50P können durch Herstellen einer Maske (nicht einzeln dargestellt) über dem n-Bereich 50N und Durchführen eines isotropen Ätzprozesses, wie etwa einer Nassätzung oder dergleichen, unter Verwendung von Ätzmitteln entfernt werden, die für die Materialien der zweiten Nanostrukturen 54 selektiv sind. Die ersten Nanostrukturen 52, die Finnen 66, das Substrat 50, die STI-Bereiche 68, das erste ILD 96 und die CESL 94 bleiben im Vergleich zu den zweiten Nanostrukturen 54 relativ ungeätzt. Bei Ausführungsformen, bei denen die zweiten Nanostrukturen 54 z. B. SiGe aufweisen und die ersten Nanostrukturen 52 z. B. Si oder SiC aufweisen, kann Fluorwasserstoff, ein anderes fluorbasiertes Ätzmittel oder dergleichen zum Entfernen der zweiten Nanostrukturen 54 in dem p-Bereich 50P verwendet werden.
  • Bei anderen Ausführungsformen können die Kanalbereiche in dem n-Bereich 50N und dem p-Bereich 50P gleichzeitig erzeugt werden. Zum Beispiel können die ersten Nanostrukturen 52 in dem n-Bereich 50N und dem p-Bereich 50P entfernt werden, oder die zweiten Nanostrukturen 54 in dem n-Bereich 50N und dem p-Bereich 50P können entfernt werden. Bei diesen Ausführungsformen können die Kanalbereiche von n-Nanostruktur-FETs und p-Nanostruktur-FETs dieselbe Materialzusammensetzung haben, wie etwa Silizium, Siliziumgermanium oder dergleichen. Die 22A bis 22C zeigen eine Struktur, die aus den Ausführungsformen resultiert, bei denen die Kanalbereiche in dem p-Bereich 50P und dem n-Bereich 50N von den zweiten Nanostrukturen 54 bereitgestellt werden und zum Beispiel Silizium aufweisen.
  • In den 17A und 17B werden dielektrische Gateschichten 100 und Gateelektroden 102 für Ersatzgates hergestellt. Die dielektrischen Gateschichten 100 werden konform in den zweiten Aussparungen 98 abgeschieden. In dem n-Bereich 50N können die dielektrischen Gateschichten 100 auf Oberseiten und Seitenwänden der Finnen 66 und auf Oberseiten, Seitenwänden und Unterseiten der zweiten Nanostrukturen 54 hergestellt werden. In dem p-Bereich 5oP können die dielektrischen Gateschichten 100 auf den Oberseiten und Seitenwänden der Finnen 66, auf Oberseiten und Seitenwänden der ersten Nanostrukturen 52A und auf Oberseiten, Seitenwänden und Unterseiten der ersten Nanostrukturen 52B und 52C hergestellt werden. Die dielektrischen Gateschichten 100 können auch auf Oberseiten des ersten ILD 96, der CESL 94 und der STI-Bereiche 68; auf Oberseiten und Seitenwänden der ersten Abstandshalter 81; und auf Seitenwänden der ersten Innenabstandshalter 90 abgeschieden werden.
  • Bei einigen Ausführungsformen umfassen die dielektrischen Gateschichten 100 eine oder mehrere dielektrische Schichten, wie etwa ein Oxid, ein Metalloxid oder dergleichen oder Kombinationen davon. Zum Beispiel können die dielektrischen Gateschichten 100 eine Siliziumoxidschicht und eine Metalloxidschicht über der Siliziumoxidschicht aufweisen. Bei einigen Ausführungsformen weisen die dielektrischen Gateschichten 100 ein dielektrisches High-k-Material auf, und sie können einen k-Wert von mehr als etwa 7,0 haben. Die dielektrischen Gateschichten 100 können ein Metalloxid oder ein Silicat von Hafnium, Aluminium, Zirconium, Lanthan, Mangan, Barium, Titan oder Blei oder Kombinationen davon aufweisen. Die Strukturen der dielektrischen Gateschichten 100 können in dem n-Bereich 50N und dem p-Bereich 5oP gleich oder unterschiedlich sein. Als Herstellungsverfahren für die dielektrischen Gateschichten 100 können MBD, ALD, PECVD und dergleichen verwendet werden.
  • Über den dielektrischen Gateschichten 100 werden die Gateelektroden 102 abgeschieden und füllen die zweiten Aussparungen 98. Die Gateelektroden 102 können ein metallhaltiges Material wie Titannidrid, Titanoxid, Tantalnitrid, Tantalcarbid, Cobalt, Ruthenium, Aluminium, Wolfram, Kombinationen davon oder Multischichten davon aufweisen. In den 17A und 17B sind zwar einschichtige Gateelektroden 102 dargestellt, aber die Gateelektroden 102 können jede Anzahl von Belagschichten, jede Anzahl von Austrittsarbeits-Einstellschichten und ein Füllmaterial aufweisen. Es kann eine Kombination aus den Schichten, die die Gateelektroden 102 bilden, in dem n-Bereich 50N zwischen benachbarten der zweiten Nanostrukturen 54 und zwischen den zweiten Nanostrukturen 54A und den Finnen 66 abgeschieden werden. Außerdem kann eine Kombination aus den Schichten, die die Gateelektroden 102 bilden, in dem p-Bereich 50P zwischen benachbarten der ersten Nanostrukturen 52 abgeschieden werden.
  • Die dielektrischen Gateschichten 100 in dem n-Bereich 50N und dem p-Bereich 50P können gleichzeitig hergestellt werden, sodass sie in jedem Bereich aus denselben Materialien hergestellt werden. Bei einigen Ausführungsformen können die dielektrischen Gateschichten 100 in jedem Bereich mit unterschiedlichen Prozessen hergestellt werden, sodass sie unterschiedliche Materialien sein können und/oder unterschiedliche Anzahlen von Schichten haben können. Die Gateelektroden 102 in dem n-Bereich 50N und dem p-Bereich 50P können gleichzeitig hergestellt werden, sodass sie in jedem Bereich aus denselben Materialien hergestellt werden. Bei einigen Ausführungsformen können die Gateelektroden 102 in jedem Bereich mit unterschiedlichen Prozessen hergestellt werden, sodass sie unterschiedliche Materialien sein können und/oder unterschiedliche Anzahlen von Schichten haben können. Es können verschiedene Maskierungsschritte zum Maskieren und Freilassen entsprechender Bereiche verwendet werden, wenn unterschiedliche Prozesse zum Einsatz kommen.
  • Nach dem Füllen der zweiten Aussparungen 98 kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um die über den Oberseiten des ersten ILD 96, der CESL 94 und der ersten Abstandshalter 81 befindlichen überschüssigen Teile der dielektrischen Gateschichten 100 und des Materials der Gateelektroden 102 zu entfernen. Die verbliebenen Teile des Materials der Gateelektroden 102 und der dielektrischen Gateschichten 100 bilden Ersatzgatestrukturen der resultierenden Nanostruktur-FETs. Die Gateelektroden 102 und die dielektrischen Gateschichten 100 können kollektiv als „Gatestrukturen“ bezeichnet werden. Die Source/Drain-Epitaxiebereiche 92, die ersten Nanostrukturen 52 oder die zweiten Nanostrukturen 54 und die Gatestrukturen (die die dielektrischen Gateschichten 100 und die Gateelektroden 102 umfassen) können kollektiv als Transistorstrukturen 109 bezeichnet werden.
  • In den 18A und 18B werden die Gatestrukturen (die die dielektrischen Gateschichten 100 und die entsprechenden darüber befindlichen Gateelektroden 102 umfassen) ausgespart, sodass Aussparungen direkt über den Gatestrukturen und zwischen gegenüberliegenden Teilen der ersten Abstandshalter 81 entstehen. Über den ausgesparten Gatestrukturen kann eine Ätzstoppschicht 103 abgeschieden werden. Die Ätzstoppschicht 103 kann ein leitfähiges Material wie Wolfram, Ruthenium, Cobalt, Kupfer, Molybdän, Nickel, eine Kombination davon oder dergleichen aufweisen. Die Ätzstoppschicht 103 kann eine andere Ätzrate als eine später hergestellte Gatemaske haben. Die Ätzstoppschicht 103 kann durch ALD, CVD, PVD oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen wird die Ätzstoppschicht 103 aus Wolfram, wie etwa fluorfreiem Wolfram (FFW), hergestellt und wird mit einem selektiven Abscheidungsverfahren, wie etwa einem selektiven CVD-Verfahren, abgeschieden. Da die Ätzstoppschicht 103 aus einem leitfähigen Material hergestellt wird, kann sie zum Stoppen der Ätzung verwendet werden und kann außerdem zum Anpassen eines Kontaktwiderstands mit den Gatestrukturen dienen. Bei einigen Ausführungsformen kann die Ätzstoppschicht 103 ein dielektrisches Material wie Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid oder dergleichen aufweisen.
  • Über der Ätzstoppschicht 103 wird eine Gatemaske 104, die eine oder mehrere Schichten aus dielektrischem Material wie Siliziumnitrid, Siliziumoxidnitrid oder dergleichen aufweist, so abgeschieden, dass sie den Rest der Aussparung füllt. An die Abscheidung der Gatemaske 104 kann sich ein Planarisierungsprozess zum Entfernen von überschüssigen Teilen des dielektrischen Materials anschließen, wie etwa von Teilen der Gatemaske 104, die sich über dem ersten ILD 96, der CESL 94 und den ersten Abstandshaltern 81 erstrecken. Später hergestellte Gatekontakte (wie etwa Gatekontakte 118 und Kuppenkontakte 120, die später unter Bezugnahme auf die 21A bis 22C erörtert werden) durchdringen die Gatemaske 104, um Oberseiten der abgeschiedenen Ätzstoppschicht 103 zu kontaktieren.
  • In den 19A bis 19C werden Silizidbereiche 106 und erste Source/Drainkontakte 108 durch das erste ILD 96 und die CESL 94 hergestellt. Das erste ILD 96 und die CESL 94 können geätzt werden, um Aussparungen zu erzeugen, die die Oberflächen der Source/Drain-Epitaxiebereiche 92 freilegen. Die Aussparungen können mit anisotropen Ätzprozessen wie RIE, NBE oder dergleichen erzeugt werden. Bei einigen Ausführungsformen können die Aussparungen mit einem ersten Ätzprozess durch das erste ILD 96 geätzt werden und dann mit einem zweiten Ätzprozess durch die CESL 94 geätzt werden. Über dem ersten ILD 96 kann eine Maske, wie etwa ein Fotoresist, hergestellt und anschließend strukturiert werden, um Teile des ersten ILD 96, der CESL 94, der ersten Abstandshalter 81 und der Gatemaske 104 gegen den ersten und den zweiten Ätzprozess zu schützen. Bei einigen Ausführungsformen können die Ätzprozesse zu einer Überätzung führen, und daher können sich die Aussparungen in die Source/Drain-Epitaxiebereiche 92 erstrecken. Unterseiten der Aussparungen können auf gleicher Höhe mit den Oberseiten der Source/Drain-Epitaxiebereiche 92 (z. B. auf gleicher Höhe mit diesen oder mit demselben Abstand von dem Substrat 50) oder niedriger als die Oberseiten der Source/Drain-Epitaxiebereiche 92 sein (z. B. näher an dem Substrat 50).
  • Nachdem die Aussparungen erzeugt worden sind, können die Silizidbereiche 106 über den Source/Drain-Epitaxiebereichen 92 erzeugt werden. Bei einigen Ausführungsformen werden die Silizidbereiche 106 wie folgt hergestellt. Zunächst wird ein Metall (nicht einzeln dargestellt) abgeschieden, das mit den Halbleitermaterialien der darunter befindlichen Source/Drain-Epitaxiebereiche 92 (z. B. Silizium, Siliziumgermanium, Germanium oder dergleichen) zu Silizid- oder Germanidbereichen reagieren kann, wie etwa Nickel, Cobalt, Titan, Tantal, Platin, Wolfram, ein anderes Edelmetall, ein anderes feuerfestes Metall, ein Seltenerdmetall oder eine Legierung davon. Anschließend kann ein thermischer Temperprozess durchgeführt werden, um die Silizidbereiche 106 zu erzeugen. Dann werden nicht-umgesetzte Teile des abgeschiedenen Metalls mit einem Ätzprozess entfernt. Obwohl die Silizidbereiche 106 als Silizidbereiche bezeichnet werden, können sie auch durch Germanidbereiche, Siliziumgermanidbereiche (d. h., Bereiche, die Silizid und Germanid aufweisen) oder dergleichen ersetzt werden. Bei einer Ausführungsform weisen die Silizidbereiche 106 TiSi auf, und sie haben eine Dicke von etwa 2 nm bis etwa 10 nm.
  • Dann werden über den Silizidbereichen 106 erste Source/Drainkontakte 108 so hergestellt, dass sie die Aussparungen füllen. Die ersten Source/Drainkontakte 108 können jeweils eine oder mehrere Schichten, wie etwa Sperrschichten, Diffusionsschichten und Füllmaterialien, aufweisen. Bei einigen Ausführungsformen können die ersten Source/Drainkontakte 108 zum Beispiel jeweils eine Sperrschicht und ein leitfähiges Material über der Sperrschicht aufweisen. Das leitfähige Material jedes der ersten Source/Drainkontakte 108 kann über die Silizidbereiche 106 mit den darunter befindlichen Source/Drain-Epitaxiebereichen 92 elektrisch verbunden werden. Die Sperrschicht kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitfähige Material kann Cobalt (Co), Ruthenium (Ru), Titan (Ti), Wolfram (W), Kupfer (Cu), einer Kupferlegierung, Silber (Ag), Gold (Au), Aluminium (Al), Nickel (Ni) oder dergleichen sein. Nachdem die ersten Source/Drainkontakte 108 hergestellt worden sind, kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um überschüssiges Material von den Oberflächen des ersten ILD 96, der CESL 94, der ersten Abstandshalter 81 und der Gatemaske 104 zu entfernen.
  • In den 20A bis 20C werden eine zweite CESL 112 und ein zweites ILD 114 entsprechend über den Strukturen hergestellt, die in den 19A bis 19C gezeigt sind. Die zweite CESL 112 kann ein dielektrisches Material wie Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid oder dergleichen aufweisen, das eine andere Ätzrate als das Material des darüber befindlichen zweiten ILD 114 hat. Die zweite CESL 112 kann mit einem konformen Abscheidungsverfahren wie ALD, CVD oder dergleichen abgeschieden werden. Das zweite ILD 114 kann aus einem dielektrischen Material hergestellt werden und kann mit einem geeigneten Verfahren, wie etwa CVD, PECVD oder FCVD, abgeschieden werden. Geeignete dielektrische Materialien sind PSG, BSG, BPSG, USG oder dergleichen. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren abgeschieden werden.
  • In den 21A bis 21C werden zweite Source/Drainkontakte 116, Gatekontakte 118 und/oder Kuppenkontakte 120 (die jeweils auch als Kontaktstifte bezeichnet werden können) so hergestellt, dass sie sich durch das zweite ILD 114 und die zweite CESL 112 erstrecken. Durch das zweite ILD 114 und die zweite CESL 112 werden Öffnungen für die zweiten Source/Drainkontakte 116 erzeugt. Durch das zweite ILD 114, die zweite CESL 112 und die Gatemaske 104 werden Öffnungen für die Gatekontakte 118 erzeugt. Durch das zweite ILD 114, die zweite CESL 112 und die Gatemaske 104 werden Öffnungen für die Kuppenkontakte 120 erzeugt. Die Öffnungen können mit geeigneten Fotolithografie- und Ätzverfahren erzeugt werden. In den Öffnungen wird ein Belag hergestellt, wie etwa eine Diffusionssperrschicht, eine Haftschicht oder dergleichen. Der Belag kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Cobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie etwa eine CMP, kann durchgeführt werden, um überschüssiges Material von einer Oberfläche des zweiten ILD 114 zu entfernen. Der verbliebene Belag und das leitfähige Material bilden die zweiten Source/Drainkontakte 116, die Gatekontakte 118 und die Kuppenkontakte 120 in den Öffnungen. Die Kuppenkontakte 120 sind zum Herstellen von Schaltungen in verschiedenen Bereichen, wie etwa in einer SRAM-Zelle, zweckmäßig.
  • Die zweiten Source/Drainkontakte 116 werden über die ersten Source/Drainkontakte 108 und die Silizidbereiche 106 mit den Source/Drain-Epitaxiebereichen 92 elektrisch verbunden. Die Gatekontakte 118 werden über die Ätzstoppschicht 103 mit den Gateelektroden 102 elektrisch verbunden. Die Kuppenkontakte 120 werden über die ersten Source/Drainkontakte 108 und die Silizidbereiche 106 mit den Source/Drain-Epitaxiebereichen 92 elektrisch verbunden und werden über die Ätzstoppschicht 103 mit den Gateelektroden 102 elektrisch verbunden. Die zweiten Source/Drainkontakte 116, die Gatekontakte 118 und die Kuppenkontakte 120 können in unterschiedlichen Prozessen oder in demselben Prozess hergestellt werden. Es ist zwar gezeigt, dass jeder der zweiten Source/Drainkontakte 116 und der Gatekontakte 118 in denselben Querschnitten hergestellt wird, aber es versteht sich, dass sie jeweils in unterschiedlichen Querschnitten hergestellt werden können, wodurch ein Kurzschließen der Kontakte vermieden werden kann.
  • Die 22Abis 22C zeigen Schnittansichten einer Vorrichtung gemäß einigen alternativen Ausführungsformen. 22A zeigt den Referenzquerschnitt A - A', der in 1 dargestellt ist. 22B zeigt den Referenzquerschnitt B - B`, der in 1 dargestellt ist. 22C zeigt den Referenzquerschnitt C - C', der in 1 dargestellt ist. In den 22A bis 22C bezeichnen ähnliche Bezugszahlen ähnliche Elemente, die mit ähnlichen Prozessen wie die Struktur der 21A bis 21C hergestellt werden. In den 22A bis 22C weisen jedoch Kanalbereiche in dem n-Bereich 50N und dem p-Bereich 50P das gleiche Material auf. Zum Beispiel stellen die zweiten Nanostrukturen 54, die Silizium aufweisen, Kanalbereiche für p-Nanostruktur-FETs in dem p-Bereich 50P und für n-Nanostruktur-FETs in dem n-Bereich 50N bereit. Die Struktur der 22A bis 22C kann zum Beispiel wie folgt hergestellt werden: gleichzeitiges Entfernen der ersten Nanostrukturen 52 aus dem p-Bereich 50P und dem n-Bereich 50N; Abscheiden der dielektrischen Gateschichten 100 über den Oberseiten und den Seitenflächen der Finnen 66 und über den Oberseiten, Seitenflächen und Unterseiten der zweiten Nanostrukturen 54 in dem p-Bereich 50P und dem n-Bereich 50N; und Abscheiden von Gateelektroden 102P (z. B. Gateelektroden, die für p-Nanostruktur-FETs geeignet sind) über den dielektrischen Gateschichten 100 in dem p-Bereich 50P und Abscheiden von Gateelektroden 102N (z. B. Gateelektroden, die für n-Nanostruktur-FETs geeignet sind) über den dielektrischen Gateschichten 100 in dem n-Bereich 50N. Materialien für die Source/Drain-Epitaxiebereiche 92 in dem n-Bereich 50N können von Materialien für die Source/Drain-Epitaxiebereiche 92 in dem p-Bereich 50P verschieden sein, wie vorstehend dargelegt worden ist.
  • In den 23A und 23B werden eine erste dielektrische Schicht 122 und erste leitfähige Strukturelemente 124 über dem zweiten ILD 114, den zweiten Source/Drainkontakten 116, den Gatekontakten 118 und den Kuppenkontakten 120 hergestellt. Die erste dielektrische Schicht 122 kann ein dielektrisches Material aufweisen, wie etwa ein dielektrisches Low-k-Material, ein dielektrisches Extrem-low-k-Material (ELK-Material) oder dergleichen. Bei einigen Ausführungsformen kann die erste dielektrische Schicht 122 Siliziumcarbonitrid (SiCN), Siliziumoxidcarbid (SiOC), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder Multischichten oder dergleichen aufweisen. Die erste dielektrische Schicht 122 kann mit einem geeigneten Verfahren wie CVD, ALD, PVD, PECVD oder dergleichen abgeschieden werden.
  • Die ersten leitfähigen Strukturelemente 124 können leitfähige Leitungen sein. Die ersten leitfähigen Strukturelemente 124 können mit einem geeigneten Verfahren wie Damascene-Prozess, Dual-Damascene-Prozess oder dergleichen hergestellt werden. Bei einigen Ausführungsformen können die ersten leitfähigen Strukturelemente 124 mit einem Damascene-Prozess hergestellt werden, bei dem die erste dielektrische Schicht 122 mit einer Kombination aus Fotolithografie- und Ätzverfahren strukturiert wird, um Gräben zu erzeugen, die der gewünschten Struktur der ersten leitfähigen Strukturelemente 124 entsprechen. In den Gräben können eine optionale Diffusionssperrschicht, eine optionale Belagschicht und/oder eine optionale Haftschicht hergestellt werden, und dann können die Gräben mit einem leitfähigen Material gefüllt werden. Geeignete Materialien für die Diffusionssperrschicht sind Titan, Titannidrid, Titanoxid, Tantal, Tantalnitrid, Tantaloxid, Kombinationen davon oder dergleichen. Geeignete Materialien für die Belagschicht sind Cobalt, Ruthenium, Kombinationen davon oder dergleichen. Geeignete Materialien für das leitfähige Material sind Kupfer, Silber, Gold, Wolfram, Aluminium, Cobalt, Ruthenium, Molybdän, Kombinationen davon oder dergleichen. Bei einer Ausführungsform können die ersten leitfähigen Strukturelemente 124 durch Abscheiden einer Seedschicht aus Kupfer oder einer Kupferlegierung und Füllen der Gräben durch Elektroplattierung hergestellt werden. Ein CMP-Prozess oder dergleichen kann zum Entfernen von überschüssigem leitfähigem Material von einer Oberfläche der ersten dielektrischen Schicht 122 und zum Planarisieren von Oberflächen der ersten dielektrischen Schicht 122 und der ersten leitfähigen Strukturelemente 124 zur späteren Bearbeitung verwendet werden. Die ersten leitfähigen Strukturelemente 124 können über die zweiten Source/Drainkontakte 116, die Gatekontakte 118 und die Kuppenkontakte 120 mit den Gatestrukturen und/oder den Source/Drain-Epitaxiebereichen 92 elektrisch verbunden werden.
  • In den 24A und 24B wird ein Opfermaterial 126 über den ersten leitfähigen Strukturelementen 124 abgeschieden. Bei einigen Ausführungsformen kann das Opfermaterial 126 ein organisches Polymer sein, wie etwa ein Polyimid (PI), ein Polyamid, ein Organosilan, ein Organophosphonat oder dergleichen. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyimid ist, kann das Opfermaterial 126 Pyromellitsäuredianhydrit (PMDA, C10H2O6), 1,6-Diaminohexan (Hexamethylendiamin, Hexan-1,6-diamin, C6H16N2), eine Kombination davon oder dergleichen sein. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyamid ist, kann das Opfermaterial 126 Ethylendiamin (Ethan-1,2-diamin, C2H8N2), Adipoylchlorid (Hexandioyldichlorid, C6H8Cl2O2), eine Kombination davon oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 Dodecylsilan (Cl2H28Si), Octadecylphosphonsäure (ODPA, C18H39O3P) oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 8 bis 20 Kohlenstoffatome haben.
  • Das Opfermaterial 126 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem das Opfermaterial 126 selektiv auf den Oberflächen der ersten leitfähigen Strukturelemente 124 abgeschieden wird, ohne auf der dielektrischen Oberfläche der ersten dielektrischen Schicht 122 abgeschieden zu werden. Das Opfermaterial 126 kann durch CVD, PECVD, ALD, plasmaunterstützte ALD (PEALD) oder dergleichen abgeschieden werden. Es kann mit einer Dicke T1 von etwa 25 Å bis etwa 45 Å abgeschieden werden. Wie in den 24A und 24B gezeigt ist, kann das Opfermaterial 126 selektiv auf den ersten leitfähigen Strukturelementen 124 abgeschieden werden, aber es kann sich seitlich so ausdehnen, dass es sich zumindest teilweise entlang der Oberfläche der ersten dielektrischen Schicht 122 erstreckt. Das Opfermaterial 126 kann sich mit einer Strecke D1 von etwa 0 Å bis etwa 25 Å seitlich über Seitenwände der ersten leitfähigen Strukturelemente 124 hinaus erstrecken. Das Opfermaterial 126 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Das Opfermaterial 126 kann bei einer Temperatur von etwa 40°C bis etwa 300°C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden. Wenn das Opfermaterial 126 mit einer Dicke bereitgestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass nicht ausreichend verhindert wird, dass später abgeschiedene dielektrische Materialien (wie etwa eine zweite dielektrische Schicht 128, die nachstehend unter Bezugnahme auf die 25A und 25B erörtert wird, und eine dritte dielektrische Schicht 130, die nachstehend unter Bezugnahme auf die 27A und 27B erörtert wird) auf den ersten leitfähigen Strukturelementen 124 abgeschieden werden. Dies kann dazu führen, dass die später abgeschiedenen dielektrischen Materialien über den ersten leitfähigen Strukturelementen 124 abgeschieden werden und dass der Kontaktwiderstand zwischen den ersten leitfähigen Strukturelementen 124 und später hergestellten leitfähigen Strukturelementen (wie etwa zweiten leitfähigen Strukturelementen 136, die später unter Bezugnahme auf die 28A bis 28D erörtert werden) zunimmt. Wenn das Opfermaterial 126 mit einer Dicke bereitgestellt wird, die größer als der festgelegte Bereich ist, kann dies dazu führen, dass sich das Opfermaterial 126 zu weit über der ersten dielektrischen Schicht 122 erstreckt, was dazu führen kann, dass die erste dielektrische Schicht 122 beschädigt wird, nachdem das Opfermaterial 126 später entfernt wird.
  • In den 25A und 25B wird eine zweite dielektrische Schicht 128 über der ersten dielektrischen Schicht 122 benachbart zu dem Opfermaterial 126 abgeschieden. Bei einigen Ausführungsformen kann die zweite dielektrische Schicht 128 ein dielektrisches Material, wie etwa ein Oxid oder ein Nitrid, sein. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Oxid ist, kann sie Aluminiumoxid (Al2O3), Siliziumdioxid (SiO2), Siliziumoxidcarbid (SiOC), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder dergleichen aufweisen. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Nitrid ist, kann sie Siliziumnitrid (SiN), Siliziumcarbonitrid (SiCN), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder dergleichen aufweisen.
  • Die zweite dielektrische Schicht 128 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem sie selektiv auf der dielektrischen Oberfläche der ersten dielektrischen Schicht 122 abgeschieden wird, ohne auf den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 oder auf der dielektrischen Oberfläche des Opfermaterials 126 abgeschieden zu werden. Wie in den 25A und 25B gezeigt ist, kann die zweite dielektrische Schicht 128 selektiv auf der ersten dielektrischen Schicht 122 benachbart zu dem Opfermaterial 126 abgeschieden werden. Die zweite dielektrische Schicht 128 kann durch PECVD, ALD, PEALD oder dergleichen abgeschieden werden. Sie kann mit einer Dicke T2 von etwa 20 Å bis etwa 30 Å abgeschieden werden. Wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass ein Material mit einem höheren k-Wert, das über der zweiten dielektrischen Schicht 128 abgeschieden wird (wie etwa die dritte dielektrische Schicht 130, die später unter Bezugnahme auf die 27A und 27B erörtert wird), mit einer größeren Dicke abgeschieden wird, wodurch die Kapazität unerwünscht erhöht wird. Das Material mit einem höheren k-Wert kann auch eine Ätzstoppschicht sein, und wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die größer als der festgelegte Bereich ist, kann dies dazu führen, dass das Material mit dem höheren k-Wert mit einer kleineren Dicke abgeschieden wird, sodass es nicht ausreichend als eine Ätzstoppschicht funktioniert. Die zweite dielektrische Schicht 128 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Die zweite dielektrische Schicht 128 kann bei einer Temperatur von etwa 40°C bis etwa 300°C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden.
  • In den 26A bis 26D wird das Opfermaterial 126 getrimmt. Das Opfermaterial 126 kann mit einem Plasmaprozess zum Beispiel unter Verwendung eines Sauerstoffplasmas getrimmt werden. Das Sauerstoffplasma kann Sauerstoffradikale (O·) und Sauerstoffionen (O-) enthalten. Das Sauerstoffplasma kann durch Einleiten eines Gases, wie etwa Sauerstoff (O2), eines Gemisches aus Sauerstoff und Stickstoff (N2), Distickstoffmonoxid (N2O), Stickstoffmonoxid (NO), Distickstoffdioxid (N2O2), Kombinationen davon oder dergleichen, in eine Plasmaerzeugungskammer erzeugt werden. Das Sauerstoffplasma kann mit dem Opfermaterial 126 gemäß der folgenden Reaktionsgleichung reagieren, um das Opfermaterial 126 zu trimmen: C x H y o * + o C O 2 + H 2 O .
    Figure DE102022108252A1_0001
  • Das Sauerstoffplasma kann das Opfermaterial 126 in Abhängigkeit von der Schichtart und der Dichte des Opfermaterials 126 in unterschiedlichem Umfang durchdringen. Das Sauerstoffplasma trimmt dadurch das Opfermaterial 126 in unterschiedlichem Umfang in Abhängigkeit von dem Aufbau des Opfermaterials 126. Zum Beispiel kann bei Ausführungsformen, bei denen das Opfermaterial 126 Polyethylen ist, das Sauerstoffplasma mit einer Tiefe von etwa 10 Å bis etwa 40 Å in das Opfermaterial 126 eindringen. Bei Ausführungsformen, bei denen das Opfermaterial 126 Aluminiumoxid ist, kann das Sauerstoffplasma mit einer Tiefe von etwa 10 Å bis 30 Å in das Opfermaterial 126 eindringen. Das Opfermaterial 126 kann in Abhängigkeit von seiner Zusammensetzung und der Dauer, der es dem Sauerstoffplasma ausgesetzt wird, mit einer Tiefe von etwa 5 Å bis etwa 30 Å getrimmt werden. Wie in den 26A und 26B gezeigt ist, können die Seitenflächen und die Oberseite des Opfermaterials 126 zumindest teilweise dem Sauerstoffplasma ausgesetzt werden, sodass das Opfermaterial 126 von den Seitenflächen und der Oberseite her getrimmt wird. Nach dem Plasmatrimmen kann das Opfermaterial 126 eine Dicke T3 von etwa 20 Å bis etwa 40 Å haben. Seitenwände des Opfermaterials 126 können zu Seitenwänden der ersten leitfähigen Strukturelemente 124 ausgerichtet werden, wie in den 26A und 26B gezeigt ist, sie können sich seitlich über die Seitenwände der ersten leitfähigen Strukturelemente 124 hinaus erstrecken, oder sie können seitlich von den Seitenwänden der ersten leitfähigen Strukturelemente 124 rückgeätzt werden. Außerdem können Teile der ersten dielektrischen Schicht 122 zwischen dem Opfermaterial 126 und der zweiten dielektrischen Schicht 128 nach dem Trimmen des Opfermaterials 126 freiliegen.
  • Bei einigen Ausführungsformen kann das Durchführen des Plasmatrimmprozesses an dem Opfermaterial 126 dazu führen, dass das Opfermaterial 126 eine umgekehrt konische Form hat. Wie in den 26C und 26D gezeigt ist, kann zum Beispiel eine Breite des Opfermaterials 126 mit zunehmendem Abstand von dem Substrat 50 kleiner werden. Die konische Form kann durch das Plasma, das Material aus oberen Eckbereichen des Opfermaterials 126 aus mehreren Richtungen entfernt, sowie von der zweiten dielektrischen Schicht 128 bewirkt werden, die den Zugang des Plasmas zu unteren Teilen des Opfermaterials 126 blockiert.
  • In den 27A und 27B wird eine dritte dielektrische Schicht 130 über der ersten dielektrischen Schicht 122 und der zweiten dielektrischen Schicht 128 benachbart zu dem Opfermaterial 126 abgeschieden. Bei einigen Ausführungsformen kann die dritte dielektrische Schicht 130 ein dielektrisches Material, wie etwa ein Oxid oder ein Nitrid, sein. Bei Ausführungsformen, bei denen die dritte dielektrische Schicht 130 ein Oxid ist, kann sie Aluminiumoxid (Al2O3), Siliziumdioxid (SiO2), Siliziumoxidcarbid (SiOC), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder dergleichen aufweisen. Bei Ausführungsformen, bei denen die dritte dielektrische Schicht 130 ein Nitrid ist, kann sie Siliziumnitrid (SiN), Siliziumcarbonitrid (SiCN), Bornitrid (BN), Siliziumbornitrid (SiBN), Kombinationen davon oder dergleichen aufweisen. Die dritte dielektrische Schicht 130 kann ein Material mit einem höheren k-Wert als die zweite dielektrische Schicht 128 aufweisen. Zum Beispiel kann die zweite dielektrische Schicht 130 einen k-Wert von etwa 3 bis etwa 7 haben, während die dritte dielektrische Schicht 130 einen k-Wert von etwa 3 bis etwa 14 haben kann.
  • Die dritte dielektrische Schicht 130 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem sie selektiv auf der dielektrischen Oberfläche der zweiten dielektrischen Schicht 128 abgeschieden wird, ohne dass sie auf der dielektrischen Oberfläche des Opfermaterials 126 abgeschieden wird. Wie in den 27A und 27B gezeigt ist, kann die dritte dielektrische Schicht 130 selektiv auf der zweiten dielektrischen Schicht 128 benachbart zu dem Opfermaterial 126 abgeschieden werden. Die dritte dielektrische Schicht 130 kann durch PECVD, ALD, PEALD oder dergleichen abgeschieden werden. Sie kann mit einer Dicke T4 von etwa 5 Å bis etwa 15 Å abgeschieden werden. Die dritte dielektrische Schicht 130 fungiert als eine Ätzstoppschicht in einem nachfolgenden Ätzprozess zum Erzeugen von Öffnungen für Metalldurchkontaktierungen (wie etwa die zweiten leitfähigen Strukturelemente 136, die nachstehend unter Bezugnahme auf die 28A und 28B erörtert werden). Wenn die dritte dielektrische Schicht 130 mit einer Dicke hergestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass sie nicht mehr in der Lage ist, ausreichend als eine Ätzstoppschicht zu funktionieren. Wenn die dritte dielektrische Schicht 130 hingegen mit einer Dicke hergestellt wird, die größer als der festgelegte Bereich ist, kann sich die dritte dielektrische Schicht 130 auf das Opfermaterial 126 erstrecken, wodurch die Ätzung des Opfermaterials 126 verhindert werden kann. Da die dritte dielektrische Schicht 130 einen relativ hohen k-Wert hat, kann durch Herstellen der dritten dielektrischen Schicht 130 mit einer Dicke, die größer als der festgelegte Bereich ist, die Kapazität unerwünscht zunehmen, wodurch die Vorrichtungsleistung verringert wird. Die dritte dielektrische Schicht 130 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Die dritte dielektrische Schicht 130 kann bei einer Temperatur von etwa 40°C bis etwa 300°C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden.
  • In den 28A bis 28D werden eine zweite Ätzstoppschicht 132 und eine vierte dielektrische Schicht 134 über der dritten dielektrischen Schicht 130 und dem Opfermaterial 126 hergestellt. Die zweite Ätzstoppschicht 132 kann ein dielektrisches Material, wie etwa Siliziumnitrid (SiN), Siliziumoxid (SiO2), Siliziumoxidnitrid (SiON) oder dergleichen, aufweisen, das eine andere Ätzrate als das Material der darüber befindlichen vierten dielektrischen Schicht 134 hat. Bei einigen Ausführungsformen kann die zweite Ätzstoppschicht 132 Aluminiumoxid (Al2O3), Siliziumcarbonitrid (SiCN), Siliziumoxidcarbid (SiOC), Bornitrid (BN), Siliziumbornitrid (SiBN), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder Multischichten davon oder dergleichen aufweisen. Die zweite Ätzstoppschicht 132 ist optional und kann bei einigen Ausführungsformen weggelassen werden. Die vierte dielektrische Schicht 134 kann ein dielektrisches Material aufweisen, wie etwa ein dielektrisches Low-k-Material, ein dielektrisches Extrem-low-k-Material (ELK-Material) oder dergleichen. Die vierte dielektrische Schicht 134 kann mit einem geeigneten Verfahren wie CVD, ALD, PVD, PECVD oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen kann die vierte dielektrische Schicht 134 Siliziumcarbonitrid (SiCN), Siliziumoxidcarbid (SiOC), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder Multischichten davon oder dergleichen aufweisen.
  • Außerdem werden in den 28A bis 28D die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 durchgeätzt, um Teile des Opfermaterials 126 freizulegen; die freigelegten Teile des Opfermaterials 126 werden entfernt; und zweite leitfähige Strukturelemente 136 werden so hergestellt, dass sie sich bis zu den ersten leitfähigen Strukturelementen 124 erstrecken. Durch die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 werden Öffnungen für die zweiten leitfähigen Strukturelemente 136 so erzeugt, dass sie auf der dritten dielektrischen Schicht 130 und dem Opfermaterial 126 enden. Die Öffnungen können mit geeigneten Fotolithografie- und Ätzverfahren erzeugt werden. Wie in den 28A bis 28D gezeigt ist, können die Öffnungen mit Breiten erzeugt werden, die größer als die Breite des Opfermaterials 126 sind, und die Öffnungen können eine konische Form haben, die in einer Richtung zu dem Substrat 50 schmaler wird.
  • Anschließend kann das Opfermaterial 126 entfernt werden. Das Opfermaterial 126 kann mit einem Ätzprozess, wie etwa einer Nass- oder einer Trockenätzung, entfernt werden. Bei einigen Ausführungsformen kann das Opfermaterial 126 mit einem Plasmabehandlungsprozess entfernt werden. Der Prozess, der zum Entfernen des Opfermaterials 126 verwendet wird, kann für das Opfermaterial 126 selektiv sein, sodass die vierte dielektrische Schicht 134, die zweite Ätzstoppschicht 132, die dritte dielektrische Schicht 130 und die ersten leitfähigen Strukturelemente 124 relativ ungeätzt bleiben. Wie in den 28B und 28D gezeigt ist, können nur Teile des Opfermaterials 126 von den Öffnungen durch die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 freigelegt werden, sodass Teile des Opfermaterials 126 bestehen bleiben. Außerdem kann der zum Entfernen des Opfermaterials 126 verwendete Prozess anisotrop sein, sodass nur Teile des Opfermaterials 126 entfernt werden, die von den Öffnungen durch die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 freigelegt worden sind.
  • Bei einigen Ausführungsformen kann das Opfermaterial 126 mit Prozessen entfernt werden, die denen gleichen oder ähneln, die zum Trimmen des Opfermaterials 126 verwendet werden und vorstehend unter Bezugnahme auf die 26A bis 26D erörtert worden sind. Zum Beispiel kann das Opfermaterial 126 mit einem Plasmaprozess unter Verwendung eines Sauerstoffplasmas entfernt werden. Das Sauerstoffplasma kann Sauerstoffradikale (O·) und Sauerstoffionen (O-) enthalten. Das Sauerstoffplasma kann durch Einleiten eines Gases, wie etwa Sauerstoff (O2), eines Gemisches aus Sauerstoff und Stickstoff (N2), Distickstoffmonoxid (N2O), Stickstoffmonoxid (NO), Distickstoffdioxid (N2O2), Kombinationen davon oder dergleichen, in eine Plasmaerzeugungskammer erzeugt werden. Das Sauerstoffplasma kann mit dem Opfermaterial 126 gemäß der folgenden Reaktionsgleichung reagieren, um das Opfermaterial 126 zu entfernen: C x H y o * + o C O 2 + H 2 O .
    Figure DE102022108252A1_0002
  • Nachdem das Opfermaterial 126 entfernt worden ist, werden die zweiten leitfähigen Strukturelemente 136 in den Öffnungen hergestellt, die durch Ätzen der vierten dielektrischen Schicht 134 und der zweiten Ätzstoppschicht 132 und Entfernen des Opfermaterials 126 erzeugt worden sind. Die zweiten leitfähigen Strukturelemente 136 können leitfähige Durchkontaktierungen sein. Die zweiten leitfähigen Strukturelemente 136 können eine optionale Diffusionssperrschicht, eine optionale Belagschicht und/oder eine optionale Haftschicht aufweisen, die in den Öffnungen abgeschieden werden können, und dann können die Öffnungen mit einem leitfähigen Material gefüllt werden. Geeignete Materialien für die Diffusionssperrschicht sind Titan, Titannidrid, Titanoxid, Tantal, Tantalnitrid, Tantaloxid, Kombinationen davon oder dergleichen. Geeignete Materialien für die Belagschicht sind Cobalt, Ruthenium, Kombinationen davon oder dergleichen. Geeignete Materialien für das leitfähige Material sind Kupfer, Silber, Gold, Wolfram, Aluminium, Cobalt, Ruthenium, Molybdän, Kombinationen davon oder dergleichen. Bei einer Ausführungsform können die zweiten leitfähigen Strukturelemente 136 durch Abscheiden einer Seedschicht aus Kupfer oder einer Kupferlegierung in den Öffnungen und Füllen der Öffnungen durch Elektroplattierung hergestellt werden. Ein CMP-Prozess oder dergleichen kann zum Entfernen von überschüssigem leitfähigem Material von einer Oberfläche der vierten dielektrischen Schicht 134 und zum Planarisieren von Oberflächen der vierten dielektrischen Schicht 134 und der zweiten leitfähigen Strukturelemente 136 zur späteren Bearbeitung verwendet werden. Die zweiten leitfähigen Strukturelemente 136 können über die ersten leitfähigen Strukturelemente 124, die zweiten Source/Drainkontakte 116, die Gatekontakte 118 und die Kuppenkontakte 120 mit den Gatestrukturen und/oder den Source/Drain-Epitaxiebereichen 92 elektrisch verbunden werden.
  • Die 28C und 28D zeigen die Ausführungsform der 26C und 26D nach dem Herstellen der zweiten Ätzstoppschicht 132, der vierten dielektrischen Schicht 134 und der zweiten leitfähigen Strukturelemente 136. Wie in den 28C und 28D gezeigt ist, können Teile der zweiten leitfähigen Strukturelemente 136, die sich durch die zweite Ätzstoppschicht 132 und die vierte dielektrische Schicht 134 erstrecken, eine konische Form haben (bei der die Breite der zweiten leitfähigen Strukturelemente 136 in einer Richtung zu dem Substrat 50 abnimmt), und Teile der zweiten leitfähigen Strukturelemente 136, die sich durch die dritte dielektrische Schicht 130 erstrecken, können eine umgekehrt konische Form haben (bei der die Breite der zweiten leitfähigen Strukturelemente 136 in einer Richtung zu dem Substrat 50 zunimmt).
  • Durch Herstellen des Opfermaterials 126, der zweiten dielektrischen Schicht 128 und der dritten dielektrischen Schicht 130 in der selbstjustierten Weise, wie vorstehend dargelegt worden ist, und durch Ersetzen des Opfermaterials 126 durch die zweiten leitfähigen Strukturelemente 136 wird die Justierung der zweiten leitfähigen Strukturelemente 136 in Bezug auf die ersten leitfähigen Strukturelemente 124 verbessert. Dies trägt zum Verringern der RC-Verzögerung und der Elektromigrationsprobleme in den fertiggestellten Vorrichtungen bei. Außerdem wird durch Entfernen des Opfermaterials 126 mit den vorstehenden beschriebenen hochselektiven Prozessen eine Beschädigung der dritten dielektrischen Schicht 130, der zweiten dielektrischen Schicht 128 und der ersten dielektrischen Schicht 122 vermieden, wodurch der zeitabhängige dielektrische Durchschlag (TDDB) und die Spannungsmigration (SM) reduziert werden. Durch Herstellen der zweiten dielektrischen Schicht 128 aus einem Material mit einem niedrigeren k-Wert und der dritten dielektrischen Schicht 130 aus einem Material mit guten Ätzstoppeigenschaften wird die parasitäre Kapazität der Struktur, die die zweite dielektrische Schicht 128 und die dritte dielektrische Schicht 130 umfasst, verringert, während die dritte dielektrische Schicht 130 immer nach als eine wirksame Ätzstoppschicht funktioniert. Daher können die vorstehend beschriebenen Verfahren zum Verbessern der Vorrichtungsleistung und zum Reduzieren von Vorrichtungsdefekten verwendet werden.
  • Die 29A bis 33B zeigen eine Ausführungsform, bei der die zweite dielektrische Schicht 128 hergestellt wird, bevor das Opfermaterial 126 abgeschieden wird. In den 29A und 29B wird die zweite dielektrische Schicht 128 über der ersten dielektrischen Schicht 122 abgeschieden. Bei einigen Ausführungsformen kann die zweite dielektrische Schicht 128 ein dielektrisches Material, wie etwa ein Oxid oder ein Nitrid, sein. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Oxid ist, kann sie Aluminiumoxid (Al2O3), Siliziumdioxid (SiO2), Siliziumoxidcarbid (SiOC), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder dergleichen aufweisen. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Nitrid ist, kann sie Siliziumnitrid (SiN), Siliziumcarbonitrid (SiCN), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder dergleichen aufweisen.
  • Die zweite dielektrische Schicht 128 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem sie selektiv auf der dielektrischen Oberfläche der ersten dielektrischen Schicht 122 abgeschieden wird, ohne dass sie auf den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 abgeschieden wird. Wie in den 29A und 29B gezeigt ist, kann die zweite dielektrische Schicht 128 selektiv auf der ersten dielektrischen Schicht 122 abgeschieden werden, ohne dass sie auf den ersten leitfähigen Strukturelementen 124 abgeschieden wird. Die zweite dielektrische Schicht 128 kann mit der Dicke T2 von etwa 20 Å bis etwa 30 Å abgeschieden werden. Wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass ein Material mit einem höheren k-Wert, das über der zweiten dielektrischen Schicht 128 abgeschieden wird (wie etwa die dritte dielektrische Schicht 130, die später unter Bezugnahme auf die 32A und 32B erörtert wird), mit einer größeren Dicke abgeschieden wird, wodurch die Kapazität unerwünscht erhöht wird. Das Material mit einem höheren k-Wert kann auch eine Ätzstoppschicht sein, und wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die größer als der festgelegte Bereich ist, kann dies dazu führen, dass das Material mit dem höheren k-Wert mit einer kleineren Dicke abgeschieden wird, sodass es nicht ausreichend als eine Ätzstoppschicht funktioniert. Die zweite dielektrische Schicht 128 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Die zweite dielektrische Schicht 128 kann bei einer Temperatur von etwa 40°C bis etwa 300°C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden.
  • In den 30A und 30B wird das Opfermaterial 126 über den ersten leitfähigen Strukturelementen 124 benachbart zu der zweiten dielektrischen Schicht 128 abgeschieden. Bei einigen Ausführungsformen kann das Opfermaterial 126 ein organisches Polymer sein, wie etwa ein Polyimid (PI), ein Polyamid, ein Organosilan, ein Organophosphonat oder dergleichen. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyimid ist, kann das Opfermaterial 126 Pyromellitsäuredianhydrit (PMDA, C10H2O6), 1,6-Diaminohexan (Hexamethylendiamin, Hexan-1,6-diamin, C6H16N2), eine Kombination davon oder dergleichen sein. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyamid ist, kann das Opfermaterial 126 Ethylendiamin (Ethan-1,2-diamin, C2H8N2), Adipoylchlorid (Hexandioyldichlorid, C6H8Cl2O2), eine Kombination davon oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 Dodecylsilan (C12H28Si), Octadecylphosphonsäure (ODPA, C18H39O3P) oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 8 bis 20 Kohlenstoffatome haben.
  • Das Opfermaterial 126 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem das Opfermaterial 126 selektiv auf den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 abgeschieden wird, ohne dass es auf den dielektrischen Oberflächen der ersten dielektrischen Schicht 122 und der zweiten dielektrischen Schicht 128 abgeschieden wird. Das Opfermaterial 126 kann mit der Dicke T1 von etwa 25 Å bis etwa 45 Å abgeschieden werden. Wie in den 30A und 30B gezeigt ist, kann das Opfermaterial 126 selektiv auf den ersten leitfähigen Strukturelementen 124 abgeschieden werden, ohne auf der ersten dielektrischen Schicht 122 oder der zweiten dielektrischen Schicht 128 abgeschieden zu werden. Seitenwände des Opfermaterials 126 können zu Seitenwänden der ersten leitfähigen Strukturelemente 124 ausgerichtet werden. Bei einigen Ausführungsformen kann sich die zweite dielektrische Schicht 128 zumindest teilweise auf die ersten leitfähigen Strukturelemente 124 erstrecken, sodass sich die Seitenwände des Opfermaterials 126 seitlich innerhalb der Seitenwände der ersten leitfähigen Strukturelemente 124 befinden. Das Opfermaterial 126 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Das Opfermaterial 126 kann bei einer Temperatur von etwa 40°C bis etwa 300°C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden. Wenn das Opfermaterial 126 mit einer Dicke bereitgestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass sich ein später abgeschiedenes dielektrisches Material (wie etwa die dritte dielektrische Schicht 130, die nachstehend unter Bezugnahme auf die 32A und 32B erörtert wird) über dem Opfermaterial 126 erstreckt, und dies kann zu einem erhöhten Kontaktwiderstand zwischen den ersten leitfähigen Strukturelementen 124 und später hergestellten leitfähigen Strukturelementen (wie etwa den zweiten leitfähigen Strukturelementen 136, die nachstehend unter Bezugnahme auf die 33A und 33B erörtert werden) führen. Wenn das Opfermaterial 126 hingegen mit einer Dicke bereitgestellt wird, die größer als der festgelegte Bereich ist, kann dies dazu führen, dass sich das Opfermaterial 126 über der zweiten dielektrischen Schicht 128 erstreckt, was dazu führen kann, dass die zweite dielektrische Schicht 128 beschädigt wird, nachdem das Opfermaterial 126 später entfernt wird.
  • In den 31A und 31B wird das Opfermaterial 126 getrimmt. Das Opfermaterial 126 kann mit einem Plasmaprozess zum Beispiel unter Verwendung eines Sauerstoffplasmas getrimmt werden. Das Sauerstoffplasma kann Sauerstoffradikale (O·) und Sauerstoffionen (O-) enthalten. Das Sauerstoffplasma kann durch Einleiten eines Gases, wie etwa Sauerstoff (O2), eines Gemisches aus Sauerstoff und Stickstoff (N2), Distickstoffmonoxid (N2O), Stickstoffmonoxid (NO), Distickstoffdioxid (N2O2), Kombinationen davon oder dergleichen, in eine Plasmaerzeugungskammer erzeugt werden. Das Sauerstoffplasma kann mit dem Opfermaterial 126 gemäß der folgenden Reaktionsgleichung reagieren, um das Opfermaterial 126 zu trimmen: C x H y o * + o C O 2 + H 2 O .
    Figure DE102022108252A1_0003
  • Das Sauerstoffplasma kann das Opfermaterial 126 in Abhängigkeit von der Schichtart und der Dichte des Opfermaterials 126 in unterschiedlichem Umfang durchdringen. Dadurch trimmt das Sauerstoffplasma das Opfermaterial 126 in unterschiedlichem Umfang in Abhängigkeit von dem Aufbau des Opfermaterials 126. Zum Beispiel kann bei Ausführungsformen, bei denen das Opfermaterial 126 Polyethylen ist, das Sauerstoffplasma mit einer Tiefe von etwa 10 Å bis etwa 40 Å in das Opfermaterial 126 eindringen. Bei Ausführungsformen, bei denen das Opfermaterial 126 Aluminiumoxid ist, kann das Sauerstoffplasma mit einer Tiefe von etwa 10 Å bis etwa 30 Å in das Opfermaterial 126 eindringen. Das Opfermaterial 126 kann in Abhängigkeit von seiner Zusammensetzung und der Dauer, der es dem Sauerstoffplasma ausgesetzt wird, mit einer Tiefe von etwa 5 Å bis etwa 30 Å getrimmt werden. Wie in den 26A und 26B gezeigt ist, können die Seitenflächen und die Oberseite des Opfermaterials 126 zumindest teilweise dem Sauerstoffplasma ausgesetzt werden, sodass das Opfermaterial 126 von den Seitenflächen und der Oberseite her getrimmt wird. Nach dem Plasmatrimmen kann das Opfermaterial 126 die Dicke T3 von etwa 20 Å bis etwa 40 Å haben. Seitenwände des Opfermaterials 126 können von Seitenwänden der zweiten dielektrischen Schicht 128 getrennt sein und können sich seitlich innerhalb der Seitenwände der ersten leitfähigen Strukturelemente 124 befinden, wie in den 31A und 31B gezeigt ist. Außerdem können Teile der ersten leitfähigen Strukturelemente 124 zwischen dem Opfermaterial 126 und der zweiten dielektrischen Schicht 128 nach dem Trimmen des Opfermaterials 126 freiliegen.
  • In den 32A und 32B wird eine dritte dielektrische Schicht 130 über den ersten leitfähigen Strukturelementen 124 und der zweiten dielektrischen Schicht 128 benachbart zu dem Opfermaterial 126 abgeschieden. Bei einigen Ausführungsformen kann die dritte dielektrische Schicht 130 ein dielektrisches Material, wie etwa ein Oxid oder ein Nitrid, sein. Bei Ausführungsformen, bei denen die dritte dielektrische Schicht 130 ein Oxid ist, kann sie Aluminiumoxid (Al2O3), Siliziumdioxid (SiO2), Siliziumoxidcarbid (SiOC), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder dergleichen aufweisen. Bei Ausführungsformen, bei denen die dritte dielektrische Schicht 130 ein Nitrid ist, kann sie Siliziumnitrid (SiN), Siliziumcarbonitrid (SiCN), Bornitrid (BN), Siliziumbornitrid (SiBN), Kombinationen davon oder dergleichen aufweisen. Die dritte dielektrische Schicht 130 kann ein Material mit einem höheren k-Wert als die zweite dielektrische Schicht 128 aufweisen. Zum Beispiel kann die zweite dielektrische Schicht 128 einen k-Wert von etwa 3 bis etwa 7 haben, während die dritte dielektrische Schicht 130 einen k-Wert von etwa 3 bis etwa 14 haben kann.
  • Die dritte dielektrische Schicht 130 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem sie selektiv auf der dielektrischen Oberfläche der zweiten dielektrischen Schicht 128 und den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 abgeschieden wird, ohne dass sie auf der dielektrischen Oberfläche des Opfermaterials 126 abgeschieden wird. Wie in den 31A und 31B gezeigt ist, kann die dritte dielektrische Schicht 130 selektiv auf der zweiten dielektrischen Schicht 128 benachbart zu dem Opfermaterial 126 abgeschieden werden. Die dritte dielektrische Schicht 130 kann mit der Dicke T4 von etwa 5 Å bis etwa 15 Å abgeschieden werden. Die dritte dielektrische Schicht 130 fungiert als eine Ätzstoppschicht in einem nachfolgenden Ätzprozess zum Erzeugen von Öffnungen für Metalldurchkontaktierungen (wie etwa für die zweiten leitfähigen Strukturelemente 136, die nachstehend unter Bezugnahme auf die 32A und 32B erörtert werden). Wenn die dritte dielektrische Schicht 130 mit einer Dicke hergestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass sie nicht mehr in der Lage ist, ausreichend als eine Ätzstoppschicht zu funktionieren. Wenn die dritte dielektrische Schicht 130 hingegen mit einer Dicke hergestellt wird, die größer als der festgelegte Bereich ist, kann sich die dritte dielektrische Schicht 130 auf das Opfermaterial 126 erstrecken, wodurch die Ätzung des Opfermaterials 126 verhindert werden kann. Da die dritte dielektrische Schicht 130 einen relativ hohen k-Wert hat, kann durch Herstellen der dritten dielektrischen Schicht 130 mit einer Dicke, die größer als der festgelegte Bereich ist, die Kapazität unerwünscht zunehmen, wodurch die Vorrichtungsleistung verringert wird. Die dritte dielektrische Schicht 130 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Die dritte dielektrische Schicht 130 kann bei einer Temperatur von etwa 40 °C bis etwa 300 °C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden.
  • In den 33A und 33B werden die zweite Ätzstoppschicht 132 und die vierte dielektrische Schicht 134 über der dritten dielektrischen Schicht 130 und dem Opfermaterial 126 hergestellt. Die zweite Ätzstoppschicht 132 kann ein dielektrisches Material, wie etwa Siliziumnitrid (SiN), Siliziumoxid (SiO2), Siliziumoxidnitrid (SiON) oder dergleichen, aufweisen, das eine andere Ätzrate als das Material der darüber befindlichen vierten dielektrischen Schicht 134 hat. Bei einigen Ausführungsformen kann die zweite Ätzstoppschicht 132 Aluminiumoxid (Al2O3), Siliziumcarbonitrid (SiCN), Siliziumoxidcarbid (SiOC), Bornitrid (BN), Siliziumbornitrid (SiBN), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder Multischichten davon oder dergleichen aufweisen. Die zweite Ätzstoppschicht 132 ist optional und kann bei einigen Ausführungsformen weggelassen werden. Die vierte dielektrische Schicht 134 kann ein dielektrisches Material aufweisen, wie etwa ein dielektrisches Low-k-Material, ein dielektrisches Extrem-low-k-Material (ELK-Material) oder dergleichen. Die vierte dielektrische Schicht 134 kann mit einem geeigneten Verfahren wie CVD, ALD, PVD, PECVD oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen kann die vierte dielektrische Schicht 134 Siliziumcarbonitrid (SiCN), Siliziumoxidcarbid (SiOC), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder Multischichten davon oder dergleichen aufweisen.
  • Außerdem werden in den 33A und 33B die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 durchgeätzt, um das Opfermaterial 126 freizulegen; das Opfermaterial 126 wird entfernt; und die zweiten leitfähigen Strukturelemente 136 werden so hergestellt, dass sie sich bis zu den ersten leitfähigen Strukturelementen 124 erstrecken. Durch die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 werden Öffnungen für die zweiten leitfähigen Strukturelemente 136 so erzeugt, dass sie auf der dritten dielektrischen Schicht 130 und dem Opfermaterial 126 enden. Die Öffnungen können mit geeigneten Fotolithografie- und Ätzverfahren erzeugt werden. Wie in den 33A und 33B gezeigt ist, können die Öffnungen mit Breiten erzeugt werden, die größer als die Breite des Opfermaterials 126 sind, und die Öffnungen können eine konische Form haben, die in einer Richtung zu dem Substrat 50 schmaler wird.
  • Anschließend kann das Opfermaterial 126 entfernt werden. Das Opfermaterial 126 kann mit einem Ätzprozess, wie etwa einer Nass- oder einer Trockenätzung, entfernt werden. Bei einigen Ausführungsformen kann das Opfermaterial 126 mit einem Plasmabehandlungsprozess entfernt werden. Der Prozess, der zum Entfernen des Opfermaterials 126 verwendet wird, kann für das Opfermaterial 126 selektiv sein, sodass die vierte dielektrische Schicht 134, die zweite Ätzstoppschicht 132, die dritte dielektrische Schicht 130 und die ersten leitfähigen Strukturelemente 124 relativ ungeätzt bleiben. Wie in 33B gezeigt ist, können nur Teile des Opfermaterials 126 von den Öffnungen durch die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 freigelegt werden, sodass Teile des Opfermaterials 126 bestehen bleiben. Außerdem kann der zum Entfernen des Opfermaterials 126 verwendete Prozess anisotrop sein, sodass nur Teile des Opfermaterials 126 entfernt werden, die von den Öffnungen durch die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 freigelegt worden sind.
  • Bei einigen Ausführungsformen kann das Opfermaterial 126 mit Prozessen entfernt werden, die denen gleichen oder ähneln, die zum Trimmen des Opfermaterials 126 verwendet werden und vorstehend unter Bezugnahme auf die 31A und 31B erörtert worden sind. Zum Beispiel kann das Opfermaterial 126 mit einem Plasmaprozess unter Verwendung eines Sauerstoffplasmas entfernt werden. Das Sauerstoffplasma kann Sauerstoffradikale (O·) und Sauerstoffionen (O-) enthalten. Das Sauerstoffplasma kann durch Einleiten eines Gases, wie etwa Sauerstoff (O2), eines Gemisches aus Sauerstoff und Stickstoff (N2), Distickstoffmonoxid (N2O), Stickstoffmonoxid (NO), Distickstoffdioxid (N2O2), Kombinationen davon oder dergleichen, in eine Plasmaerzeugungskammer erzeugt werden. Das Sauerstoffplasma kann mit dem Opfermaterial 126 gemäß der folgenden Reaktionsgleichung reagieren, um das Opfermaterial 126 zu entfernen: C x H y o * + o C O 2 + H 2 O .
    Figure DE102022108252A1_0004
  • Nachdem das Opfermaterial 126 entfernt worden ist, werden die zweiten leitfähigen Strukturelemente 136 in den Öffnungen hergestellt, die durch Ätzen der vierten dielektrischen Schicht 134 und der zweiten Ätzstoppschicht 132 und Entfernen des Opfermaterials 126 erzeugt worden sind. Die zweiten leitfähigen Strukturelemente 136 können leitfähige Durchkontaktierungen sein. Die zweiten leitfähigen Strukturelemente 136 können eine optionale Diffusionssperrschicht, eine optionale Belagschicht und/oder eine optionale Haftschicht aufweisen, die in den Öffnungen abgeschieden werden können, und dann können die Öffnungen mit einem leitfähigen Material gefüllt werden. Geeignete Materialien für die Diffusionssperrschicht sind Titan, Titannidrid, Titanoxid, Tantal, Tantalnitrid, Tantaloxid, Kombinationen davon oder dergleichen. Geeignete Materialien für die Belagschicht sind Cobalt, Ruthenium, Kombinationen davon oder dergleichen. Geeignete Materialien für das leitfähige Material sind Kupfer, Silber, Gold, Wolfram, Aluminium, Cobalt, Ruthenium, Molybdän, Kombinationen davon oder dergleichen. Bei einer Ausführungsform können die zweiten leitfähigen Strukturelemente 136 durch Abscheiden einer Seedschicht aus Kupfer oder einer Kupferlegierung in den Öffnungen und Füllen der Öffnungen durch Elektroplattierung hergestellt werden. Ein CMP-Prozess oder dergleichen kann zum Entfernen von überschüssigem leitfähigem Material von einer Oberfläche der vierten dielektrischen Schicht 134 und zum Planarisieren von Oberflächen der vierten dielektrischen Schicht 134 und der zweiten leitfähigen Strukturelemente 136 zur späteren Bearbeitung verwendet werden. Die zweiten leitfähigen Strukturelemente 136 können über die ersten leitfähigen Strukturelemente 124, die zweiten Source/Drainkontakte 116, die Gatekontakte 118 und die Kuppenkontakte 120 mit den Gatestrukturen und/oder den Source/Drain-Epitaxiebereichen 92 elektrisch verbunden werden.
  • Durch Herstellen der zweiten dielektrischen Schicht 128, des Opfermaterials 126 und der dritten dielektrischen Schicht 130 in der selbstjustierten Weise, wie vorstehend dargelegt worden ist, und durch Ersetzen des Opfermaterials 126 durch die zweiten leitfähigen Strukturelemente 136 wird die Justierung der zweiten leitfähigen Strukturelemente 136 in Bezug auf die ersten leitfähigen Strukturelemente 124 verbessert. Dies trägt zum Verringern der RC-Verzögerung und der Elektromigrationsprobleme in den fertiggestellten Vorrichtungen bei. Außerdem wird durch Entfernen des Opfermaterials 126 mit den vorstehenden beschriebenen hochselektiven Prozessen eine Beschädigung der dritten dielektrischen Schicht 130, der zweiten dielektrischen Schicht 128 und der ersten dielektrischen Schicht 122 vermieden, wodurch der zeitabhängige dielektrische Durchschlag und die Spannungsmigration reduziert werden. Durch Herstellen der zweiten dielektrischen Schicht 128 aus einem Material mit einem niedrigeren k-Wert und der dritten dielektrischen Schicht 130 aus einem Material mit guten Ätzstoppeigenschaften wird die parasitäre Kapazität der Struktur, die die zweite dielektrische Schicht 128 und die dritte dielektrische Schicht 130 umfasst, verringert, während die dritte dielektrische Schicht 130 immer nach als eine wirksame Ätzstoppschicht funktioniert. Daher können die vorstehend beschriebenen Verfahren zum Verbessern der Vorrichtungsleistung und zum Reduzieren von Vorrichtungsdefekten verwendet werden.
  • Die 34A bis 41B zeigen Ausführungsformen, bei denen das Opfermaterial 126 und die zweite dielektrische Schicht 128 hergestellt werden, bevor die dritte dielektrische Schicht 130 selektiv abgeschieden wird. In den 34A und 34B wird das Opfermaterial 126 über den ersten leitfähigen Strukturelementen 124 abgeschieden. Bei einigen Ausführungsformen kann das Opfermaterial 126 ein organisches Polymer sein, wie etwa ein Polyimid (PI), ein Polyamid, ein Organosilan, ein Organophosphonat oder dergleichen. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyimid ist, kann das Opfermaterial 126 Pyromellitsäuredianhydrit (PMDA, C10H2O6), 1,6-Diaminohexan (Hexamethylendiamin, Hexan-1,6-diamin, C6H16N2), eine Kombination davon oder dergleichen sein. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyamid ist, kann das Opfermaterial 126 Ethylendiamin (Ethan-1,2-diamin, C2H8N2), Adipoylchlorid (Hexandioyldichlorid, C6H8Cl2O2), eine Kombination davon oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 Dodecylsilan (C12H28Si), Octadecylphosphonsäure (ODPA, C18H39O3P) oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 8 bis 20 Kohlenstoffatome haben.
  • Das Opfermaterial 126 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem es selektiv auf den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 abgeschieden wird, ohne dass es auf der dielektrischen Oberfläche der ersten dielektrischen Schicht 122 abgeschieden wird. Das Opfermaterial 126 kann mit der Dicke T5 von etwa 25 Å bis etwa 45 Å abgeschieden werden. Wie in den 34A und 34B gezeigt ist, kann das Opfermaterial 126 selektiv auf den ersten leitfähigen Strukturelementen 124 abgeschieden werden, sodass Seitenflächen des Opfermaterials 126 zu den Seitenflächen der ersten leitfähigen Strukturelemente 124 ausgerichtet werden. Das Opfermaterial 126 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Das Opfermaterial 126 kann bei einer Temperatur von etwa 40 °C bis etwa 300 °C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden. Wenn das Opfermaterial 126 mit einer Dicke bereitgestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass nicht ausreichend verhindert wird, dass später abgeschiedene dielektrische Materialien (wie etwa die zweite dielektrische Schicht 128, die nachstehend unter Bezugnahme auf die 35A und 35B erörtert wird, und die dritte dielektrische Schicht 130, die nachstehend unter Bezugnahme auf die 36A und 36B erörtert wird) auf den ersten leitfähigen Strukturelementen 124 abgeschieden werden. Dies kann dazu führen, dass die später abgeschiedenen dielektrischen Materialien über den ersten leitfähigen Strukturelementen 124 abgeschieden werden und dass der Kontaktwiderstand zwischen den ersten leitfähigen Strukturelementen 124 und später hergestellten leitfähigen Strukturelementen (wie etwa den zweiten leitfähigen Strukturelementen 136, die später unter Bezugnahme auf die 39A und 39B erörtert werden) zunimmt. Wenn das Opfermaterial 126 hingegen mit einer Dicke bereitgestellt wird, die größer als der festgelegte Bereich ist, kann sich das Opfermaterial 126 über der ersten dielektrischen Schicht 122 erstrecken, was dazu führen kann, dass die erste dielektrische Schicht 122 beschädigt wird, nachdem das Opfermaterial 126 später entfernt wird.
  • In den 35A und 35B wird die zweite dielektrische Schicht 128 über der ersten dielektrischen Schicht 122 benachbart zu dem Opfermaterial 126 abgeschieden. Bei einigen Ausführungsformen kann die zweite dielektrische Schicht 128 ein dielektrisches Material, wie etwa ein Oxid oder ein Nitrid, sein. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Oxid ist, kann sie Aluminiumoxid (Al2O3), Siliziumdioxid (SiO2), Siliziumoxidcarbid (SiOC), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder dergleichen aufweisen. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Nitrid ist, kann sie Siliziumnitrid (SiN), Siliziumcarbonitrid (SiCN), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder dergleichen aufweisen.
  • Die zweite dielektrische Schicht 128 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem sie selektiv auf der dielektrischen Oberfläche der ersten dielektrischen Schicht 122 abgeschieden wird, ohne dass sie auf den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 oder auf der dielektrischen Oberfläche des Opfermaterials 126 abgeschieden wird. Wie in den 35A und 35B gezeigt ist, kann die zweite dielektrische Schicht 128 selektiv auf der ersten dielektrischen Schicht 122 benachbart zu dem Opfermaterial 126 abgeschieden werden. Die zweite dielektrische Schicht 128 kann mit einer Dicke T6 von etwa 10 Å bis etwa 30 Å abgeschieden werden. Die zweite dielektrische Schicht 128 ist zwar als eine Schicht mit einer Oberseite dargestellt, die auf gleicher Höhe mit der Oberseite des Opfermaterials 126 ist, aber die Oberseite der zweiten dielektrischen Schicht 128 kann sich auch über oder unter der Oberseite des Opfermaterials 126 befinden. Wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass ein Material mit einem höheren k-Wert, das über der zweiten dielektrischen Schicht 128 hergestellt wird (wie etwa die dritte dielektrische Schicht 130, die unter Bezugnahme auf die 27A und 27B erörtert wird), mit einer größeren Dicke abgeschieden wird, wodurch die Kapazität unerwünscht erhöht wird. Das Material mit einem höheren k-Wert kann auch eine Ätzstoppschicht sein, und wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die größer als der festgelegte Bereich ist, kann dies dazu führen, dass das Material mit dem höheren k-Wert mit einer kleineren Dicke abgeschieden wird, sodass es nicht ausreichend als eine Ätzstoppschicht funktioniert. Die zweite dielektrische Schicht 128 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Die zweite dielektrische Schicht 128 kann bei einer Temperatur von etwa 40 °C bis etwa 300 °C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden.
  • In den 36A und 36B wird die dritte dielektrische Schicht 130 über der zweiten dielektrischen Schicht 128 abgeschieden. Bei einigen Ausführungsformen kann die dritte dielektrische Schicht 130 ein dielektrisches Material, wie etwa ein Oxid oder ein Nitrid, sein. Bei Ausführungsformen, bei denen die dritte dielektrische Schicht 130 ein Oxid ist, kann sie Aluminiumoxid (Al2O3), Siliziumdioxid (SiO2), Siliziumoxidcarbid (SiOC), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder dergleichen aufweisen. Bei Ausführungsformen, bei denen die dritte dielektrische Schicht 130 ein Nitrid ist, kann sie Siliziumnitrid (SiN), Siliziumcarbonitrid (SiCN), Bornitrid (BN), Siliziumbornitrid (SiBN), Kombinationen davon oder dergleichen aufweisen. Die dritte dielektrische Schicht 130 kann ein Material mit einem höheren k-Wert als die zweite dielektrische Schicht 128 aufweisen. Zum Beispiel kann die zweite dielektrische Schicht 128 einen k-Wert von etwa 3 bis etwa 7 haben, während die dritte dielektrische Schicht 130 einen k-Wert von etwa 3 bis etwa 14 haben kann.
  • Die dritte dielektrische Schicht 130 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem sie selektiv auf der dielektrischen Oberfläche der zweiten dielektrischen Schicht 128 abgeschieden wird, ohne dass sie auf der dielektrischen Oberfläche des Opfermaterials 126 abgeschieden wird. Wie in den 36A und 36B gezeigt ist, kann die dritte dielektrische Schicht 130 selektiv auf der zweiten dielektrischen Schicht 128 benachbart zu dem Opfermaterial 126 abgeschieden werden, ohne dass sie auf dem Opfermaterial 126 abgeschieden wird. Die dritte dielektrische Schicht 130 kann mit der Dicke T7 von etwa 10 Å bis etwa 30 Å abgeschieden werden. Die dritte dielektrische Schicht 130 fungiert als eine Ätzstoppschicht in einem nachfolgenden Ätzprozess zum Erzeugen von Öffnungen für Metalldurchkontaktierungen (wie etwa die zweiten leitfähigen Strukturelemente 136, die nachstehend unter Bezugnahme auf die 39A und 39B erörtert werden). Wenn die dritte dielektrische Schicht 130 mit einer Dicke hergestellt wird, die kleiner als der festgelegte Bereich ist, ist sie möglicherweise nicht mehr in der Lage, ausreichend als eine Ätzstoppschicht zu funktionieren. Wenn die dritte dielektrische Schicht 130 hingegen mit einer Dicke hergestellt wird, die größer als der festgelegte Bereich ist, kann sich die dritte dielektrische Schicht 130 auf das Opfermaterial 126 erstrecken, wodurch die Ätzung des Opfermaterials 126 verhindert werden kann. Da die dritte dielektrische Schicht 130 einen relativ hohen k-Wert hat, kann durch Herstellen der dritten dielektrischen Schicht 130 mit einer Dicke, die größer als der festgelegte Bereich ist, die Kapazität unerwünscht zunehmen, wodurch die Vorrichtungsleistung verringert wird. Die dritte dielektrische Schicht 130 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Die dritte dielektrische Schicht 130 kann bei einer Temperatur von etwa 40 °C bis etwa 300 °C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden.
  • In den 37A und 37B wird das Opfermaterial 126 entfernt, sodass die Oberflächen der ersten leitfähigen Strukturelemente 124 freigelegt werden. Das Opfermaterial 126 kann mit einem Ätzprozess, wie etwa einer Nass- oder einer Trockenätzung, entfernt werden. Bei einigen Ausführungsformen kann das Opfermaterial 126 mit einem Plasmabehandlungsprozess entfernt werden. Der Prozess, der zum Entfernen des Opfermaterials 126 verwendet wird, kann für das Opfermaterial 126 selektiv sein, sodass die dritte dielektrische Schicht 130, die zweite dielektrische Schicht 128 und die ersten leitfähigen Strukturelemente 124 relativ ungeätzt bleiben. Bei einigen Ausführungsformen kann das Opfermaterial 126 mit einem Plasmaprozess unter Verwendung eines Sauerstoffplasmas entfernt werden. Das Sauerstoffplasma kann Sauerstoffradikale (O·) und Sauerstoffionen (O-) enthalten. Das Sauerstoffplasma kann durch Einleiten eines Gases, wie etwa Sauerstoff (O2), eines Gemisches aus Sauerstoff und Stickstoff (N2), Distickstoffmonoxid (N2O), Stickstoffmonoxid (NO), Distickstoffdioxid (N2O2), Kombinationen davon oder dergleichen, in eine Plasmaerzeugungskammer erzeugt werden. Das Sauerstoffplasma kann mit dem Opfermaterial 126 gemäß der folgenden Reaktionsgleichung reagieren, um das Opfermaterial 126 zu entfernen: C x H y o * + o C O 2 + H 2 O .
    Figure DE102022108252A1_0005
  • Nachdem das Opfermaterial 126 entfernt worden ist, können die Seitenflächen der dritten dielektrischen Schicht 130 und der zweiten dielektrischen Schicht 128 zu der Seitenfläche der ersten dielektrischen Schicht 122 ausgerichtet sein.
  • In den 38A und 38B werden die zweite Ätzstoppschicht 132 und die vierte dielektrische Schicht 134 über der dritten dielektrischen Schicht 130, der zweiten dielektrischen Schicht 128 und den ersten leitfähigen Strukturelementen 124 hergestellt. Die zweite Ätzstoppschicht 132 kann ein dielektrisches Material, wie etwa Siliziumnitrid (SiN), Siliziumoxid (SiO2), Siliziumoxidnitrid (SiON) oder dergleichen, aufweisen, das eine andere Ätzrate als das Material der darüber befindlichen vierten dielektrischen Schicht 134 hat. Bei einigen Ausführungsformen kann die zweite Ätzstoppschicht 132 Aluminiumoxid (Al2O3), Siliziumcarbonitrid (SiCN), Siliziumoxidcarbid (SiOC), Bornitrid (BN), Siliziumbornitrid (SiBN), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder Multischichten davon oder dergleichen aufweisen. Die vierte dielektrische Schicht 134 kann ein dielektrisches Material aufweisen, wie etwa ein dielektrisches Low-k-Material, ein dielektrisches Extrem-low-k-Material (ELK-Material) oder dergleichen. Die vierte dielektrische Schicht 134 kann mit einem geeigneten Verfahren wie CVD, ALD, PVD, PECVD oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen kann die vierte dielektrische Schicht 134 Siliziumcarbonitrid (SiCN), Siliziumoxidcarbid (SiOC), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder Multischichten davon oder dergleichen aufweisen.
  • In den 39A und 39B werden die zweiten leitfähigen Strukturelemente 136 so hergestellt, dass sie sich durch die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 bis zu den ersten leitfähigen Strukturelementen 124 erstrecken. Bei einigen Ausführungsformen werden die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 durchgeätzt, um die ersten leitfähigen Strukturelemente 124 freizulegen. Die vierte dielektrische Schicht 134 und die zweite Ätzstoppschicht 132 können durchgeätzt werden, um Öffnungen zu erzeugen, die auf den ersten leitfähigen Strukturelementen 124 enden. Bei einigen Ausführungsformen kann auch die dritte dielektrische Schicht 130 geätzt werden, sodass die Öffnungen auf der zweiten dielektrischen Schicht 128 enden. Die Öffnungen können mit geeigneten Fotolithografie- und Ätzverfahren erzeugt werden. Die Ätzverfahren, die zum Erzeugen der Öffnungen verwendet werden, können für Materialien der vierten dielektrischen Schicht 134, der zweiten Ätzstoppschicht 132 und der dritten dielektrischen Schicht 130 selektiv sein, sodass die zweite dielektrische Schicht 128 und die ersten leitfähigen Strukturelemente 124 nach dem Erzeugen der Öffnungen relativ ungeätzt bleiben. Wie in den 39A und 39B gezeigt ist, können die Öffnungen mit Breiten erzeugt werden, die größer als die Breite des Opfermaterials 126 sind, und die Öffnungen können eine konische Form haben, die in einer Richtung zu dem Substrat 50 schmaler wird. Bei einigen Ausführungsformen können Teile der Öffnungen, wie etwa obere Teile, gerade vertikale Seitenflächen haben, während andere Teile der Öffnungen, wie etwa untere Teile, konische Seitenflächen haben können. Die Öffnungen können sich entlang den Seitenflächen der vierten dielektrischen Schicht 134, der zweiten Ätzstoppschicht 132, der dritten dielektrischen Schicht 130 und der zweiten dielektrischen Schicht 128 erstrecken. Da die Ätzprozesse, die zum Erzeugen der Öffnungen verwendet werden, für die Materialien der vierten dielektrischen Schicht 134, der zweiten Ätzstoppschicht 132 und der dritten dielektrischen Schicht 130 selektiv sind, wird eine Beschädigung der zweiten dielektrischen Schicht 128 und der ersten dielektrischen Schicht 122 durch die Ätzprozesse vermieden.
  • Nachdem die Öffnungen erzeugt worden sind, werden die zweiten leitfähigen Strukturelemente 136 in den Öffnungen hergestellt. Die zweiten leitfähigen Strukturelemente 136 können leitfähige Durchkontaktierungen sein. Die zweiten leitfähigen Strukturelemente 136 können eine optionale Diffusionssperrschicht, eine optionale Belagschicht und/oder eine optionale Haftschicht aufweisen, die in den Öffnungen abgeschieden werden können, und dann können die Öffnungen mit einem leitfähigen Material gefüllt werden. Geeignete Materialien für die Diffusionssperrschicht sind Titan, Titannidrid, Titanoxid, Tantal, Tantalnitrid, Tantaloxid, Kombinationen davon oder dergleichen. Geeignete Materialien für die Belagschicht sind Cobalt, Ruthenium, Kombinationen davon oder dergleichen. Geeignete Materialien für das leitfähige Material sind Kupfer, Silber, Gold, Wolfram, Aluminium, Cobalt, Ruthenium, Molybdän, Kombinationen davon oder dergleichen. Bei einer Ausführungsform können die zweiten leitfähigen Strukturelemente 136 durch Abscheiden einer Seedschicht aus Kupfer oder einer Kupferlegierung in den Öffnungen und Füllen der Öffnungen durch Elektroplattierung hergestellt werden. Ein CMP-Prozess oder dergleichen kann zum Entfernen von überschüssigem leitfähigem Material von einer Oberfläche der vierten dielektrischen Schicht 134 und zum Planarisieren der Oberflächen der vierten dielektrischen Schicht 134 und der zweiten leitfähigen Strukturelemente 136 zur späteren Bearbeitung verwendet werden. Die zweiten leitfähigen Strukturelemente 136 können über die ersten leitfähigen Strukturelemente 124, die zweiten Source/Drainkontakte 116, die Gatekontakte 118 und die Kuppenkontakte 120 mit den Gatestrukturen und/oder den Source/Drain-Epitaxiebereichen 92 elektrisch verbunden werden.
  • Durch Herstellen des Opfermaterials 126, der zweiten dielektrischen Schicht 128 und der dritten dielektrischen Schicht 130 in der selbstjustierten Weise, wie vorstehend dargelegt worden ist, und durch Ersetzen des Opfermaterials 126 durch die zweiten leitfähigen Strukturelemente 136 wird die Justierung der zweiten leitfähigen Strukturelemente 136 in Bezug auf die ersten leitfähigen Strukturelemente 124 verbessert. Dies trägt zum Verringern der RC-Verzögerung und der Elektromigrationsprobleme in den fertiggestellten Vorrichtungen bei. Außerdem wird durch Entfernen des Opfermaterials 126 mit den vorstehenden beschriebenen hochselektiven Prozessen eine Beschädigung der zweiten dielektrischen Schicht 128 und der ersten dielektrischen Schicht 122 vermieden, wodurch der zeitabhängige dielektrische Durchschlag und die Spannungsmigration reduziert werden. Durch Herstellen der zweiten dielektrischen Schicht 128 aus einem Material mit einem niedrigeren k-Wert und der dritten dielektrischen Schicht 130 aus einem Material mit guten Ätzstoppeigenschaften wird die parasitäre Kapazität der Struktur, die die zweite dielektrische Schicht 128 und die dritte dielektrische Schicht 130 umfasst, verringert, während die dritte dielektrische Schicht 130 immer nach als eine wirksame Ätzstoppschicht funktioniert. Daher können die vorstehend beschriebenen Verfahren zum Verbessern der Vorrichtungsleistung und zum Reduzieren von Vorrichtungsdefekten verwendet werden.
  • Die 40A bis 41B zeigen eine Ausführungsform, bei der die zweite dielektrische Schicht 128 hergestellt wird, bevor das Opfermaterial 126 abgeschieden wird. In den 40A und 40B wird die zweite dielektrische Schicht 128 über der ersten dielektrischen Schicht 122 abgeschieden. Bei einigen Ausführungsformen kann die zweite dielektrische Schicht 128 ein dielektrisches Material, wie etwa ein Oxid oder ein Nitrid, sein. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Oxid ist, kann sie Aluminiumoxid (Al2O3), Siliziumdioxid (SiO2), Siliziumoxidcarbid (SiOC), Yttriumoxid (Y2O3), Zirconiumoxid (ZrO2), Kombinationen davon oder dergleichen aufweisen. Bei Ausführungsformen, bei denen die zweite dielektrische Schicht 128 ein Nitrid ist, kann sie Siliziumnitrid (SiN), Siliziumcarbonitrid (SiCN), Siliziumbornitrid (SiBN), Siliziumborcarbonitrid (SiBCN), Bornitrid (BN), Kombinationen davon oder dergleichen aufweisen.
  • Die zweite dielektrische Schicht 128 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem sie selektiv auf der dielektrischen Oberfläche der ersten dielektrischen Schicht 122 abgeschieden wird, ohne dass sie auf den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 abgeschieden wird. Wie in den 40A und 40B gezeigt ist, kann die zweite dielektrische Schicht 128 selektiv auf der ersten dielektrischen Schicht 122 abgeschieden werden, ohne dass sie auf den ersten leitfähigen Strukturelementen 124 abgeschieden wird. Die zweite dielektrische Schicht 128 kann mit der Dicke T6 von etwa 10 Å bis etwa 30 Å abgeschieden werden. Wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass ein Material mit einem höheren k-Wert, das über der zweiten dielektrischen Schicht 128 hergestellt wird (wie etwa die dritte dielektrische Schicht 130, die vorstehend unter Bezugnahme auf die 37A und 37B erörtert worden ist), mit einer größeren Dicke abgeschieden wird, wodurch die Kapazität unerwünscht erhöht wird. Das Material mit einem höheren k-Wert kann auch eine Ätzstoppschicht sein, und wenn die zweite dielektrische Schicht 128 mit einer Dicke hergestellt wird, die größer als der festgelegte Bereich ist, kann dies dazu führen, dass das Material mit dem höheren k-Wert mit einer kleineren Dicke abgeschieden wird, sodass es nicht ausreichend als eine Ätzstoppschicht funktioniert. Die zweite dielektrische Schicht 128 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Die zweite dielektrische Schicht 128 kann bei einer Temperatur von etwa 40 °C bis etwa 300 °C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden. Wie in den 40A und 40B gezeigt ist, können Seitenflächen der zweiten dielektrischen Schicht 128 zu Seitenflächen der ersten dielektrischen Schicht 122 ausgerichtet sein.
  • In den 41A und 41B wird das Opfermaterial 126 über den ersten leitfähigen Strukturelementen 124 benachbart zu der zweiten dielektrischen Schicht 128 abgeschieden. Bei einigen Ausführungsformen kann das Opfermaterial 126 ein organisches Polymer sein, wie etwa ein Polyimid (PI), ein Polyamid, ein Organosilan, ein Organophosphonat oder dergleichen. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyimid ist, kann das Opfermaterial 126 Pyromellitsäuredianhydrit (PMDA, C10H2O6), 1,6-Diaminohexan (Hexamethylendiamin, Hexan-1,6-diamin, C6H16N2), eine Kombination davon oder dergleichen sein. Bei Ausführungsformen, bei denen das Opfermaterial 126 ein Polyamid ist, kann das Opfermaterial 126 Ethylendiamin (Ethan-1,2-diamin, C2H8N2), Adipoylchlorid (Hexandioyldichlorid, C6H8Cl2O2), eine Kombination davon oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 Dodecylsilan (C12H28Si), Octadecylphosphonsäure (ODPA, C18H39O3P) oder dergleichen sein. Bei einigen Ausführungsformen kann das Opfermaterial 126 8 bis 20 Kohlenstoffatome haben.
  • Das Opfermaterial 126 kann mit einem Abscheidungsprozess abgeschieden werden, bei dem das Opfermaterial 126 selektiv auf den metallischen Oberflächen der ersten leitfähigen Strukturelemente 124 abgeschieden wird, ohne dass es auf den dielektrischen Oberflächen der ersten dielektrischen Schicht 122 und der zweiten dielektrischen Schicht 128 abgeschieden wird. Das Opfermaterial 126 kann mit der Dicke T5 von etwa 25 Å bis etwa 45 Å abgeschieden werden. Wie in den 41A und 41B gezeigt ist, kann das Opfermaterial 126 selektiv auf den ersten leitfähigen Strukturelementen 124 abgeschieden werden, ohne dass es auf der ersten dielektrischen Schicht 122 oder der zweiten dielektrischen Schicht 128 abgeschieden wird. Seitenwände des Opfermaterials 126 können zu Seitenwänden der ersten leitfähigen Strukturelemente 124 ausgerichtet werden. Das Opfermaterial 126 kann in einem Nass- oder einem Trockenabscheidungsprozess abgeschieden werden. Das Opfermaterial 126 kann bei einer Temperatur von etwa 40 °C bis etwa 300 °C und bei einem Trockendruck von etwa 1 Torr bis etwa 10 Torr für eine Dauer von etwa 30 s bis etwa 30 min abgeschieden werden. Wenn das Opfermaterial 126 mit einer Dicke bereitgestellt wird, die kleiner als der festgelegte Bereich ist, kann dies dazu führen, dass sich ein später abgeschiedenes dielektrisches Material (wie etwa die dritte dielektrische Schicht 130, die vorstehend unter Bezugnahme auf die 36A und 36B erörtert worden ist) über dem Opfermaterial 126 erstreckt, und der Kontaktwiderstand zwischen den ersten leitfähigen Strukturelementen 124 und später hergestellten leitfähigen Strukturelementen (wie etwa den zweiten leitfähigen Strukturelementen 136, die vorstehend unter Bezugnahme auf die 39A und 39B erörtert worden sind) kann zunehmen. Wenn das Opfermaterial 126 hingegen mit einer Dicke bereitgestellt wird, die größer als der festgelegte Bereich ist, kann sich das Opfermaterial 126 über der zweiten dielektrischen Schicht 128 erstrecken, was dazu führen kann, dass die zweite dielektrische Schicht 128 beschädigt wird, nachdem später das Opfermaterial 126 entfernt wird. Die Schritte, die in den 36A bis 39B dargestellt sind, können dann an der Struktur der 41A und 41B durchgeführt werden, um eine Struktur herzustellen, die der in den 39A und 39B gezeigten Struktur gleicht oder ähnelt.
  • Durch Herstellen der zweiten dielektrischen Schicht 128, des Opfermaterials 126 und der dritten dielektrischen Schicht 130 in der selbstjustierten Weise, wie vorstehend dargelegt worden ist, und durch Ersetzen des Opfermaterials 126 durch die zweiten leitfähigen Strukturelemente 136 wird die Justierung der zweiten leitfähigen Strukturelemente 136 in Bezug auf die ersten leitfähigen Strukturelemente 124 verbessert. Dies trägt zum Verringern der RC-Verzögerung und der Elektromigrationsprobleme in den fertiggestellten Vorrichtungen bei. Außerdem wird durch Entfernen des Opfermaterials 126 mit den vorstehenden beschriebenen hochselektiven Prozessen eine Beschädigung der zweiten dielektrischen Schicht 128 und der ersten dielektrischen Schicht 122 vermieden, wodurch der zeitabhängige dielektrische Durchschlag und die Spannungsmigration reduziert werden. Durch Herstellen der zweiten dielektrischen Schicht 128 aus einem Material mit einem niedrigeren k-Wert und der dritten dielektrischen Schicht 130 aus einem Material mit guten Ätzstoppeigenschaften wird die parasitäre Kapazität der Struktur, die die zweite dielektrische Schicht 128 und die dritte dielektrische Schicht 130 umfasst, verringert, während die dritte dielektrische Schicht 130 immer nach als eine wirksame Ätzstoppschicht funktioniert. Daher können die vorstehend beschriebenen Verfahren zum Verbessern der Vorrichtungsleistung und zum Reduzieren von Vorrichtungsdefekten verwendet werden.
  • Ausführungsformen können Vorzüge erzielen. Zum Beispiel wird durch Herstellen des Opfermaterials 126, der zweiten dielektrischen Schicht 128 und der dritten dielektrischen Schicht 130 in der selbstjustierten Weise, wie vorstehend dargelegt worden ist, und durch Ersetzen des Opfermaterials 126 durch die zweiten leitfähigen Strukturelemente 136 die Justierung der zweiten leitfähigen Strukturelemente 136 in Bezug auf die ersten leitfähigen Strukturelemente 124 verbessert. Dies trägt zum Verringern der RC-Verzögerung und der Elektromigrationsprobleme in den fertiggestellten Vorrichtungen bei. Außerdem wird durch Entfernen des Opfermaterials 126 mit den vorstehenden beschriebenen hochselektiven Prozessen eine Beschädigung der dritten dielektrischen Schicht 130 und der zweiten dielektrischen Schicht 128 vermieden, wodurch der zeitabhängige dielektrische Durchschlag (TDDB) und die Spannungsmigration (SM) reduziert werden. Durch Herstellen der zweiten dielektrischen Schicht 128 aus einem Material mit einem niedrigeren k-Wert und der dritten dielektrischen Schicht 130 aus einem Material mit guten Ätzstoppeigenschaften wird die parasitäre Kapazität der Struktur, die die zweite dielektrische Schicht 128 und die dritte dielektrische Schicht 130 umfasst, verringert, während die dritte dielektrische Schicht 130 immer noch als eine wirksame Ätzstoppschicht funktioniert. Daher können die vorstehend beschriebenen Verfahren zum Verbessern der Vorrichtungsleistung und zum Reduzieren von Vorrichtungsdefekten verwendet werden.
  • Gemäß einer Ausführungsform umfasst ein Verfahren die folgenden Schritte: Herstellen einer Metallleitung, die sich durch eine erste dielektrische Schicht erstreckt, wobei die Metallleitung mit einem Transistor elektrisch verbunden wird; selektives Abscheiden eines Opfermaterials über der Metallleitung; selektives Abscheiden eines ersten dielektrischen Materials über der ersten dielektrischen Schicht und benachbart zu dem Opfermaterial; selektives Abscheiden eines zweiten dielektrischen Materials über dem ersten dielektrischen Material; Entfernen des Opfermaterials, um eine erste Aussparung zu erzeugen, die die Metallleitung freilegt; und Herstellen einer Metalldurchkontaktierung in der ersten Aussparung und in elektrischer Verbindung mit der Metallleitung. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Rückätzen des Opfermaterials nach dem selektiven Abscheiden des ersten dielektrischen Materials, wobei das zweite dielektrische Material entlang einer Oberseite und einer Seitenfläche des ersten dielektrischen Materials abgeschieden wird. Bei einer Ausführungsform wird das Opfermaterial unter Verwendung eines Sauerstoffplasmas rückgeätzt. Bei einer Ausführungsform wird das Opfermaterial zumindest teilweise auf der ersten dielektrischen Schicht abgeschieden, und Teile des Opfermaterials, die sich auf der ersten dielektrischen Schicht erstrecken, werden durch Rückätzen des Opfermaterials entfernt. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Abscheiden einer zweiten dielektrischen Schicht über dem zweiten dielektrischen Material und dem Opfermaterial; und ein Ätzen der zweiten dielektrischen Schicht vor dem Entfernen des Opfermaterials. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Abscheiden einer zweiten dielektrischen Schicht über dem zweiten dielektrischen Material, dem ersten dielektrischen Material und der Metallleitung nach dem Entfernen des Opfermaterials; und ein Ätzen der zweiten dielektrischen Schicht vor dem Herstellen der Metalldurchkontaktierung. Bei einer Ausführungsform wird das Opfermaterial unter Verwendung eines Sauerstoffplasmas entfernt. Bei einer Ausführungsform hat das erste dielektrische Material eine erste Dielektrizitätskonstante, die niedriger als eine zweite Dielektrizitätskonstante des zweiten dielektrischen Materials ist.
  • Gemäß einer weiteren Ausführungsform umfasst ein Verfahren Folgendes: Herstellen eines ersten leitfähigen Strukturelements in einer ersten dielektrischen Schicht, wobei das erste leitfähige Strukturelement mit einem Transistor elektrisch verbunden wird; selektives Abscheiden eines ersten dielektrischen Materials über der ersten dielektrischen Schicht; selektives Abscheiden eines Opfermaterials über dem ersten leitfähigen Strukturelement und benachbart zu dem ersten dielektrischen Material; selektives Abscheiden eines zweiten dielektrischen Materials über dem ersten dielektrischen Material, wobei eine erste Dielektrizitätskonstante des ersten dielektrischen Materials niedriger als eine zweite Dielektrizitätskonstante des zweiten dielektrischen Materials ist; Abscheiden einer zweiten dielektrischen Schicht über dem zweiten dielektrischen Material, dem ersten dielektrischen Material und dem ersten leitfähigen Strukturelement; Ätzen der zweiten dielektrischen Schicht, um eine erste Aussparung zu erzeugen; und Herstellen eines zweiten leitfähigen Strukturelements in der ersten Aussparung und in elektrischer Verbindung mit dem Transistor. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Rückätzen des ersten dielektrischen Materials vor dem selektiven Abscheiden des zweiten dielektrischen Materials. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Entfernen des Opfermaterials nach dem Ätzen der zweiten dielektrischen Schicht zum Erzeugen der ersten Aussparung. Bei einer Ausführungsform ist nach dem selektiven Abscheiden des zweiten dielektrischen Materials eine erste Oberseite des Opfermaterials auf gleicher Höhe mit einer zweiten Oberseite des zweiten dielektrischen Materials. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Entfernen des Opfermaterials vor dem Abscheiden der zweiten dielektrischen Schicht. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Entfernen des Opfermaterials unter Verwendung eines Sauerstoffplasmas.
  • Gemäß einer noch weiteren Ausführungsform weist eine Halbleitervorrichtung Folgendes auf: eine erste dielektrische Schicht über einem Halbleitersubstrat; eine Metallleitung, die sich durch die erste dielektrische Schicht erstreckt und mit einem Transistor elektrisch verbunden ist; eine zweite dielektrische Schicht, die sich entlang einer ersten Oberseite der ersten dielektrischen Schicht erstreckt; eine dritte dielektrische Schicht, die sich entlang gegenüberliegenden Seitenwänden und einer zweiten Oberseite der zweiten dielektrischen Schicht erstreckt; eine vierte dielektrische Schicht, die sich entlang einer dritten Oberseite der dritten dielektrischen Schicht erstreckt; und eine Metalldurchkontaktierung, die sich durch die vierte dielektrische Schicht und die dritte dielektrische Schicht erstreckt, wobei die Metalldurchkontaktierung mit der Metallleitung elektrisch verbunden ist, sich die Metalldurchkontaktierung entlang der dritten Oberseite der dritten dielektrischen Schicht erstreckt und die dritte dielektrische Schicht die zweite dielektrische Schicht von der Metalldurchkontaktierung trennt. Bei einer Ausführungsform hat die zweite dielektrische Schicht eine erste Dielektrizitätskonstante, die niedriger als eine zweite Dielektrizitätskonstante der dritten dielektrischen Schicht ist. Bei einer Ausführungsform hat die zweite dielektrische Schicht eine erste Dicke von 20 Å bis 30 Å, und die dritte dielektrische Schicht hat eine Dicke von 5 Å bis 15 Å. Bei einer Ausführungsform hat ein erster Teil der Metalldurchkontaktierung, der sich durch die vierte dielektrische Schicht erstreckt, eine konische Form, und ein zweiter Teil der Metalldurchkontaktierung, der sich durch die dritte dielektrische Schicht erstreckt, hat eine umgekehrt konische Form. Bei einer Ausführungsform hat ein erster Teil der Metalldurchkontaktierung, der sich durch die vierte dielektrische Schicht erstreckt, eine konische Form, und ein zweiter Teil der Metalldurchkontaktierung, der sich durch die dritte dielektrische Schicht erstreckt, hat vertikale Seitenwände, die sich in einer Richtung senkrecht zu einer Hauptfläche des Halbleitersubstrats erstrecken. Bei einer Ausführungsform weist die Halbleitervorrichtung weiterhin ein Opfermaterial auf, das sich durch die dritte dielektrische Schicht erstreckt, wobei das Opfermaterial ein Polymer umfasst.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/254780 [0001]

Claims (20)

  1. Verfahren mit den folgenden Schritten: Herstellen einer Metallleitung, die sich durch eine erste dielektrische Schicht erstreckt, wobei die Metallleitung mit einem Transistor elektrisch verbunden wird; selektives Abscheiden eines Opfermaterials über der Metallleitung; selektives Abscheiden eines ersten dielektrischen Materials über der ersten dielektrischen Schicht und benachbart zu dem Opfermaterial; selektives Abscheiden eines zweiten dielektrischen Materials über dem ersten dielektrischen Material; Entfernen des Opfermaterials, um eine erste Aussparung zu erzeugen, die die Metallleitung freilegt; und Herstellen einer Metalldurchkontaktierung in der ersten Aussparung und in elektrischer Verbindung mit der Metallleitung.
  2. Verfahren nach Anspruch 1, das weiterhin ein Rückätzen des Opfermaterials nach dem selektiven Abscheiden des ersten dielektrischen Materials umfasst, wobei das zweite dielektrische Material entlang einer Oberseite und einer Seitenfläche des ersten dielektrischen Materials abgeschieden wird.
  3. Verfahren nach Anspruch 2, wobei das Opfermaterial unter Verwendung eines Sauerstoffplasmas rückgeätzt wird.
  4. Verfahren nach Anspruch 2, wobei das Opfermaterial zumindest teilweise auf der ersten dielektrischen Schicht abgeschieden wird, und Teile des Opfermaterials, die sich auf der ersten dielektrischen Schicht erstrecken, durch Rückätzen des Opfermaterials entfernt werden.
  5. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin Folgendes umfasst: Abscheiden einer zweiten dielektrischen Schicht über dem zweiten dielektrischen Material und dem Opfermaterial; und Ätzen der zweiten dielektrischen Schicht vor dem Entfernen des Opfermaterials.
  6. Verfahren nach einem der Ansprüche 1 bis 4, das weiterhin Folgendes umfasst: Abscheiden einer zweiten dielektrischen Schicht über dem zweiten dielektrischen Material, dem ersten dielektrischen Material und der Metallleitung nach dem Entfernen des Opfermaterials; und Ätzen der zweiten dielektrischen Schicht vor dem Herstellen der Metalldurchkontaktierung.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Opfermaterial unter Verwendung eines Sauerstoffplasmas entfernt wird.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das erste dielektrische Material eine erste Dielektrizitätskonstante hat, die niedriger als eine zweite Dielektrizitätskonstante des zweiten dielektrischen Materials ist.
  9. Verfahren mit den folgenden Schritten: Herstellen eines ersten leitfähigen Strukturelements in einer ersten dielektrischen Schicht, wobei das erste leitfähige Strukturelement mit einem Transistor elektrisch verbunden wird; selektives Abscheiden eines ersten dielektrischen Materials über der ersten dielektrischen Schicht; selektives Abscheiden eines Opfermaterials über dem ersten leitfähigen Strukturelement und benachbart zu dem ersten dielektrischen Material; selektives Abscheiden eines zweiten dielektrischen Materials über dem ersten dielektrischen Material, wobei eine erste Dielektrizitätskonstante des ersten dielektrischen Materials niedriger als eine zweite Dielektrizitätskonstante des zweiten dielektrischen Materials ist; Abscheiden einer zweiten dielektrischen Schicht über dem zweiten dielektrischen Material, dem ersten dielektrischen Material und dem ersten leitfähigen Strukturelement; Ätzen der zweiten dielektrischen Schicht, um eine erste Aussparung zu erzeugen; und Herstellen eines zweiten leitfähigen Strukturelements in der ersten Aussparung und in elektrischer Verbindung mit dem Transistor.
  10. Verfahren nach Anspruch 9, das weiterhin ein Rückätzen des ersten dielektrischen Materials vor dem selektiven Abscheiden des zweiten dielektrischen Materials umfasst.
  11. Verfahren nach Anspruch 10, das weiterhin ein Entfernen des Opfermaterials nach dem Ätzen der zweiten dielektrischen Schicht zum Erzeugen der ersten Aussparung umfasst.
  12. Verfahren nach Anspruch 10 oder 11, wobei nach dem selektiven Abscheiden des zweiten dielektrischen Materials eine erste Oberseite des Opfermaterials auf gleicher Höhe mit einer zweiten Oberseite des zweiten dielektrischen Materials ist.
  13. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin ein Entfernen des Opfermaterials vor dem Abscheiden der zweiten dielektrischen Schicht umfasst.
  14. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin ein Entfernen des Opfermaterials unter Verwendung eines Sauerstoffplasmas umfasst.
  15. Halbleitervorrichtung mit: einer ersten dielektrischen Schicht über einem Halbleitersubstrat; einer Metallleitung, die sich durch die erste dielektrische Schicht erstreckt und mit einem Transistor elektrisch verbunden ist; einer zweiten dielektrischen Schicht, die sich entlang einer ersten Oberseite der ersten dielektrischen Schicht erstreckt; einer dritten dielektrischen Schicht, die sich entlang gegenüberliegenden Seitenwänden und einer zweiten Oberseite der zweiten dielektrischen Schicht erstreckt; einer vierten dielektrischen Schicht, die sich entlang einer dritten Oberseite der dritten dielektrischen Schicht erstreckt; und einer Metalldurchkontaktierung, die sich durch die vierte und die dritte dielektrische Schicht erstreckt, wobei die Metalldurchkontaktierung mit der Metallleitung elektrisch verbunden ist, sich die Metalldurchkontaktierung entlang der dritten Oberseite der dritten dielektrischen Schicht erstreckt und die dritte dielektrische Schicht die zweite dielektrische Schicht von der Metalldurchkontaktierung trennt.
  16. Halbleitervorrichtung nach Anspruch 15, wobei die zweite dielektrische Schicht eine erste Dielektrizitätskonstante hat, die niedriger als eine zweite Dielektrizitätskonstante der dritten dielektrischen Schicht ist.
  17. Halbleitervorrichtung nach Anspruch 15 oder 16, wobei die zweite dielektrische Schicht eine erste Dicke von 20 Å bis 30 Å hat, und die dritte dielektrische Schicht eine Dicke von 5 Å bis 15 Å hat.
  18. Halbleitervorrichtung nach einem der Ansprüche 15 bis 17, wobei ein erster Teil der Metalldurchkontaktierung, der sich durch die vierte dielektrische Schicht erstreckt, eine konische Form hat, und ein zweiter Teil der Metalldurchkontaktierung, der sich durch die dritte dielektrische Schicht erstreckt, eine umgekehrt konische Form hat.
  19. Halbleitervorrichtung nach einem der Ansprüche 15 bis 17, wobei ein erster Teil der Metalldurchkontaktierung, der sich durch die vierte dielektrische Schicht erstreckt, eine konische Form hat, und ein zweiter Teil der Metalldurchkontaktierung, der sich durch die dritte dielektrische Schicht erstreckt, vertikale Seitenwände hat, die sich in einer Richtung senkrecht zu einer Hauptfläche des Halbleitersubstrats erstrecken.
  20. Halbleitervorrichtung nach einem der Ansprüche 15 bis 19, die weiterhin ein Opfermaterial aufweist, das sich durch die dritte dielektrische Schicht erstreckt, wobei das Opfermaterial ein Polymer umfasst.
DE102022108252.0A 2021-10-12 2022-04-06 Halbleitervorrichtung und Verfahren Pending DE102022108252A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163254780P 2021-10-12 2021-10-12
US63/254,780 2021-10-12
US17/710,457 US20230121210A1 (en) 2021-10-12 2022-03-31 Semiconductor Device and Method
US17/710,457 2022-03-31

Publications (1)

Publication Number Publication Date
DE102022108252A1 true DE102022108252A1 (de) 2023-04-13

Family

ID=85705517

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022108252.0A Pending DE102022108252A1 (de) 2021-10-12 2022-04-06 Halbleitervorrichtung und Verfahren

Country Status (5)

Country Link
US (1) US20230121210A1 (de)
KR (1) KR20230052196A (de)
CN (1) CN115995425A (de)
DE (1) DE102022108252A1 (de)
TW (1) TWI820902B (de)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201214627A (en) * 2010-09-10 2012-04-01 Soitec Silicon On Insulator Methods of forming through wafer interconnects in semiconductor structures using sacrificial material and semiconductor structures formes by such methods
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10177006B2 (en) * 2016-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process for making multi-gate transistors and resulting structures
US10490650B2 (en) * 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same

Also Published As

Publication number Publication date
CN115995425A (zh) 2023-04-21
TW202331790A (zh) 2023-08-01
KR20230052196A (ko) 2023-04-19
TWI820902B (zh) 2023-11-01
US20230121210A1 (en) 2023-04-20

Similar Documents

Publication Publication Date Title
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102020113628A1 (de) Halbleitervorrichtung und verfahren
DE102020129746A1 (de) Source/drain-kontakte und verfahren zu ihrer bildung
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102021100720A1 (de) Kontakte für halbleitervorrichtungen und verfahren zu deren herstellung
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021102596B4 (de) Halbleitervorrichtung und verfahren
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102022100092A1 (de) Gatestrukturen in transistorvorrichtungen und verfahren zu deren herstellung
DE102022100025A1 (de) Leitfähiges strukturelement einer halbleitervorrichtung und verfahren zu seiner bildung
DE102021118124A1 (de) Transistorisolationsbereiche und Verfahren zum Bilden derselben
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102020133689A1 (de) Ionenimplantation für nano-fet
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102021113537A1 (de) Transistor-gate-kontakte und verfahren zu deren bildung
DE102021112360A1 (de) Halbleitervorrichtung und verfahren
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102021108858A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102022108252A1 (de) Halbleitervorrichtung und Verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed