DE102021100042A1 - Middle-of-line-verbindungsstruktur und herstellungsverfahren - Google Patents

Middle-of-line-verbindungsstruktur und herstellungsverfahren Download PDF

Info

Publication number
DE102021100042A1
DE102021100042A1 DE102021100042.4A DE102021100042A DE102021100042A1 DE 102021100042 A1 DE102021100042 A1 DE 102021100042A1 DE 102021100042 A DE102021100042 A DE 102021100042A DE 102021100042 A1 DE102021100042 A1 DE 102021100042A1
Authority
DE
Germany
Prior art keywords
layer
source
drain
gate electrode
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102021100042.4A
Other languages
English (en)
Other versions
DE102021100042B4 (de
Inventor
Yu-Lien Huang
Ching-Feng Fu
Huan-Just Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021100042A1 publication Critical patent/DE102021100042A1/de
Application granted granted Critical
Publication of DE102021100042B4 publication Critical patent/DE102021100042B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Bei einigen Ausführungsformen betrifft die vorliegende Offenbarung eine integrierte Schaltkreisvorrichtung. Eine Transistorstruktur ist über einem Substrat angeordnet und weist ein Paar von Source/Drain-Bereichen und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen auf. Eine untere Zwischenschichtdielektrikum(ILD)-Schicht (ILD: interlayer dielectric) ist über dem Paar von Source/Drain-Bereichen angeordnet und umschließt die Gateelektrode. Die Gateelektrode ist gegenüber der Oberseite der unteren ILD-Schicht ausgespart. Eine Gate-Verkappungsschicht ist auf der Gateelektrode angeordnet. Die Gate-Verkappungsschicht hat eine Oberseite, die ausgerichtet zu oder koplanar mit der Oberseite der unteren ILD-Schicht ist.

Description

  • VERWEIS AUF VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patenanmeldung Nr. 63/081,423 , eingereicht am 22. September 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Bei der Herstellung von integrierten Schaltkreisen (ICs) werden Vorrichtungen auf einem Wafer hergestellt und durch leitfähige Verbindungsschichten verbunden. Diese leitfähigen Verbindungsschichten können in sogenannten MOL-Prozessen (MOL: middle of the line) oder BEOL-Prozessen (BEOL: back end of line) hergestellt werden. MOL- und BEOL-Prozesse ähneln sich insofern, als dass mit beiden Öffnungen in einer dielektrischen Schicht (z. B. Kontaktlöcher, Gräben oder Durchkontaktierungslöcher in einer dielektrischen Schicht) hergestellt und diese Öffnungen dann mit einem leitfähigen Material gefüllt werden. MOL unterscheidet sich von BEOL insofern, als dass MOL typischerweise früher im Herstellungsprozess erfolgt und den Prozess der Herstellung von Kontakten direkt auf oder nahe bei Vorrichtungsstrukturen, wie etwa einer Gateelektrode oder einem Source/Drain-Bereich betreffen kann; wohingegen BEOL typischerweise später im Herstellungsprozess erfolgt und den Prozess der Herstellung von aufeinanderfolgenden Metallisierungsschichten und Durchkontaktierungen oberhalb der durch MOL hergestellten Kontakte betreffen kann.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • Die 1A-1E zeigen verschiedene Schnittansichten von einigen zusätzlichen Ausführungsformen einer integrierten Schaltkreisvorrichtung mit einer Kontakt-Verkappungsschicht.
    • 2 zeigt eine perspektivische Darstellung von einigen Ausführungsformen eines integrierten Schaltkreises mit einer Kontakt-Verkappungsschicht.
    • Die 3A-3G zeigen eine Reihe von Schnittansichten und ein Ablaufdiagramm von einigen Ausführungsformen eines Verfahrens zur Herstellung einer integrierten Schaltkreisvorrichtung mit einer Kontakt-Verkappungsschicht.
    • Die 4-17 zeigen Schnittansichten von einigen Ausführungsformen eines Verfahrens zur Herstellung eines integrierten Schaltkreises mit einer Kontakt-Verkappungsschicht.
    • 18 zeigt ein Ablaufdiagramm von einigen Ausführungsformen eines Verfahrens zur Herstellung eines integrierten Schaltkreises mit einer Kontakt-Verkappungsschicht.
  • DETAILLIERTE BESCHREIBUNG
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden.
  • In einer MOL-Verbindungsstruktur (MOL: middle of the line) spielen sowohl Kontakte und Verbindungsdurchkontaktierungen als auch Metallleitungen eine wesentliche Rolle in Bezug auf die Leistungsfähigkeit von Transistoren und Schaltkreisen. Mit fortschreitender Skalierung schrumpfen die Abstände zwischen den verschiedenen Kontakten und Verbindungsstrukturen, und Leckströme zusammen mit parasitären Kapazitäten werden zu wichtigen Faktoren, die sich beschränkend auf die Leistungsfähigkeit von Vorrichtungen auswirken. Es ist wünschenswert, Leckströme zwischen Kontakten und anderen leitfähigen Strukturelementen zu verringern oder zu verhindern und gleichzeitig den Anstieg von Widerstand und Kapazität zu beschränken.
  • Dementsprechend betrifft die vorliegende Offenbarung eine integrierte Schaltkreisvorrichtung mit einer verbesserten MOL-Verbindungsstruktur und dazugehörige Herstellungsverfahren, um Kontakte zu schützen, den Kontaktwiderstand zu verringern und darüber hinaus die parasitäre Kapazität zu verbessern. Dadurch wird die Zuverlässigkeit der Vorrichtung verbessert und die Herstellungsprozesse werden vereinfacht. Bei einigen Ausführungsformen umfasst die integrierte Schaltkreisvorrichtung eine Transistorstruktur, die über einem Substrat angeordnet ist, und die ein Paar von Source/Drain-Bereichen, die auf einem Substrat angeordnet sind, und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen aufweist. Eine untere Zwischenschichtdielektrikum-Schicht (ILD: inter-layer dielectric - Zwischenschichtdielektrikum) ist über dem Paar von Source/Drain-Bereichen angeordnet und umschließt die Gateelektrode. Die Gateelektrode kann gegenüber der Oberseite der unteren ILD-Schicht ausgespart sein. Eine Gate-Verkappungsschicht kann auf der ausgesparten Gateelektrode angeordnet sein und kann eine Oberseite aufweisen, die ausgerichtet zu oder koplanar mit der Oberseite der unteren ILD-Schicht ist. Durch Aussparen der Gateelektrode und Implementieren der Gate-Verkappungsschicht wird die Gateelektrode isoliert und gegenüber benachbarten leitfähigen Strukturelementen geschützt, und folglich können Leckverlustprobleme reduziert oder eliminiert werden. Bei einigen Ausführungsformen weist die Gate-Verkappungsschicht ein Oxidmaterial oder ein dielektrisches Low-κ-Material auf, sodass die parasitäre Kapazität klein sein kann. Bei einigen anderen Ausführungsformen weist die integrierte Schaltkreisvorrichtung weiterhin einen unteren Source/Drain-Kontakt auf, der auf einem ersten Source/Drain-Bereich des Paares von Source/Drain-Bereichen angeordnet ist. Der untere Source/Drain-Kontakt kann auch gegenüber der Oberseite ausgespart sein. Eine Source/Drain-Verkappungsschicht kann auf dem ausgesparten unteren Source/Drain-Kontakt angeordnet sein, um den unteren Source/Drain-Kontakt gegenüber benachbarten leitfähigen Strukturelementen zu schützen und zu isolieren. Folglich können Leckverlustprobleme weiter reduziert oder eliminiert werden. Die Source/Drain-Verkappungsschicht kann dieselben dielektrischen Materialien wie die Gate-Verkappungsschicht oder andere dielektrische Materialien als die Gate-Verkappungsschicht aufweisen.
  • Die 1A-1E zeigen verschiedene Schnittansichten einer integrierten Schaltkreisvorrichtung gemäß einigen Ausführungsformen. Wie in den 1A-1E gezeigt ist, ist bei einigen Ausführungsformen eine Transistorstruktur 101 über einem Substrat 102 angeordnet. Bei verschiedenen Ausführungsformen kann das Substrat 102 eine beliebige Art von Halbleiterkörper (z. B. Silizium, SiGe, SOI, etc.), wie etwa einen Halbleiterwafer und/oder einen oder mehrere Dies auf einem Wafer, wie auch eine beliebige Art von dazugehörigen Halbleiterschichten, Epitaxieschichten oder dielektrischen Schichten aufweisen. Die Transistorstruktur 101 kann eine Logikvorrichtung sein, die eine Gateelektrode 104 aufweist, die durch eine dielektrische Gateschicht 105 von dem Substrat 102 getrennt ist. Ein Paar Source/Drain-Bereiche 103 ist in dem Substrat 102 auf gegenüberliegenden Seiten der Gateelektrode 104 angeordnet. Die Transistorstruktur 101 kann eine planare Einzel-Gate-Vorrichtung sowie eine Multi-Gate-Vorrichtung, wie etwa eine FinFET-Vorrichtung (FinFET: fin-like field-effect transistor - Finnen-Feldeffekttransistor), sein. Die Transistorstruktur 101 kann auch andere Vorrichtungen, wie etwa Gate-all-around-Vorrichtungen (GAA: gate all around), Omega-Gate-Vorrichtungen oder Pi-Gate-Vorrichtungen sowie verspannte Halbleitervorrichtungen, Silizium-auf-Isolator(SOI)-Vorrichtungen (SOI: silicon-on-insulator - Silizium-auf-Isolator), teilweise verarmte SOI-Vorrichtungen (PD-SOI: partially-depleted SOI (PD-SOI), vollständig verarmte SOI-Vorrichtungen (FD-SOI: fully-depleted SOI devices) oder andere geeignete Vorrichtungen, aufweisen.
  • Kontakte werden jeweils mit der Gateelektrode 104, den Source/Drain-Bereichen 103, Body-Kontaktbereichen oder anderen aktiven Bereichen der Transistorstruktur 101 verbunden. Bei einigen Ausführungsformen kann ein Kontakt eine untere Kontaktstruktur 142 aufweisen, die von einer unteren Zwischenschichtdielektrikum-Schicht 110 (ILD: inter-layer dielectric - Zwischenschichtdielektrikum) umschlossen ist, und/oder eine obere Kontaktstruktur 144 aufweisen, die von einer oberer Zwischenschichtdielektrikum-Schicht 128 (ILD: inter-layer dielectric - Zwischenschichtdielektrikum) umschlossen ist und über der unteren ILD-Schicht 110 angeordnet ist. Der Kontakt kann einen Metallkern 138 und eine Sperrschicht, die nicht in den Figuren dargestellt ist, aufweisen. Bei einigen Ausführungsformen weist der Metallkern 138 Wolfram, Cobalt, Ruthenium, Titannitrid, Tantalnitrid oder anderes geeignetes Metall auf oder ist daraus hergestellt. Die Sperrschicht fungiert als eine Klebstoff- und Sperrschicht, um den Metallkern zu bonden und die Bildung von Leerstellen zu verhindern und den Metallkern 138 daran zu hindern, zu der unteren ILD-Schicht 110 und/oder der oberen ILD-Schicht 128 zu diffundieren. Bei einigen Ausführungsformen liegt die Dicke der Sperrschicht in einem Bereich von etwa 2 nm bis etwa 10 nm. Eine untere Ätzstoppschicht 108 kann entlang von Seitenwänden der unteren ILD-Schicht 110 angeordnet sein und diese belegen.
  • Bei einigen Ausführungsformen kann eine obere Ätzstoppschicht 126 zwischen der oberen ILD-Schicht 128 und der unteren ILD-Schicht 110 angeordnet sein. Die untere Ätzstoppschicht 108 und die obere Ätzstoppschicht 126 können jeweils ein dielektrisches Material aufweisen, das sich von der unteren ILD-Schicht 110 und der oberen ILD-Schicht 128 unterscheidet. Zum Beispiel können die untere Ätzstoppschicht 108 und die obere Ätzstoppschicht 126 jeweils Siliziumnitrid oder Siliziumcarbid aufweisen und ihre Dicke kann in einem Bereich von etwa 3 nm bis 10 nm liegen.
  • Bei einigen Ausführungsformen ist die Gateelektrode 104 gegenüber der Oberseite der unteren ILD-Schicht 110 ausgespart. Eine Gate-Verkappungsschicht 116 ist auf der Gateelektrode 104 angeordnet. Die Gate-Verkappungsschicht 116 kann eine Oberseite aufweisen, die ausgerichtet zu oder koplanar mit der Oberseite der unteren ILD-Schicht 110 ist. Zum Beispiel kann die ausgesparte Gateelektrode 104 eine Dicke in einem Bereich von etwa 10 nm bis 20 nm aufweisen. Die Gate-Verkappungsschicht 116 kann eine Dicke in einem Bereich von etwa 20 nm bis 40 nm aufweisen. Bei einigen Ausführungsformen weist die Gate-Verkappungsschicht 116 Siliziumdioxid oder ein dielektrisches Low-κ-Material auf. Siliziumdioxid hat eine Dielektrizitätskonstante von etwa 3,9, und die Dielektrizitätskonstante des dielektrischen Low-κ-Material ist kleiner als 3. Zum Beispiel kann die Gate-Verkappungsschicht 116 Kohlenstoff-dotierte Oxid-Dielektrika mit Si, C, O und H (SiCOH), Siliziumoxidcarbonitrid (SiOCN) mit niedrigem Wasserstoffgehalt, Siliziumoxidcarbid (SiOC) oder anderes geeignetes dielektrisches Low-κ-Material aufweisen. Im Vergleich zur Verwendung eines Halbleiters oder eines dielektrischen Materials mit einer größeren Dielektrizitätskonstante, wie etwa Silizium mit einer Dielektrizitätskonstante von etwa 11,7 oder Siliziumnitrid mit einer Dielektrizitätskonstante von etwa 7-8, hilft eine relativ kleine Dielektrizitätskonstante der Gate-Verkappungsschicht 116 dabei, die parasitäre Kapazität der integrierten Schaltkreisvorrichtung zu verringern.
  • Bei einigen Ausführungsformen weist die untere Kontaktstruktur 142 einen unteren Source/Drain-Kontakt 120 auf, der auf einem Source/Drain-Bereich des Paares von Source/Drain-Bereichen 103 angeordnet ist. Bei einigen Ausführungsformen füllt der untere Source/Drain-Kontakt 120 einen Schlitz zwischen Seitenwänden der unteren Ätzstoppschicht 108 aus und ist in direktem Kontakt mit den Seitenwänden.
  • Bei einigen Ausführungsformen weist die obere Kontaktstruktur 144 einen oberen Source/Drain-Kontakt 137 auf, der sich durch die obere ILD-Schicht 128 erstreckt und auf einem Source/Drain-Bereich des Paares von Source/Drain-Bereichen 103 aufsetzt. Bei einigen alternativen Ausführungsformen kann der obere Source/Drain-Kontakt 137 auf dem unteren Source/Drain-Kontakt 120 angeordnet sein und durch den unteren Source/Drain-Kontakt 120 elektrisch mit einem Source/Drain-Bereich des Paares von Source/Drain-Bereichen 103 verbunden sein. Bei einigen Ausführungsformen weist die obere Kontaktstruktur 144 weiterhin einen Gateelektrodenkontakt 139 auf, der benachbart zu dem oberen Source/Drain-Kontakt 137 angeordnet ist und sich durch die obere ILD-Schicht 128 erstreckt. Der Gateelektrodenkontakt 139 kann sich durch die Gate-Verkappungsschicht 116 erstrecken und elektrisch mit der Gateelektrode 104 verbunden sein. Die Gateelektrode 104 kann einen Stapel von Metallschichten aufweisen, die auf einem Kerngatemetall angeordnete Austrittsarbeitsmetalle aufweisen. Der Gateelektrodenkontakt 139 kann dasselbe Material wie der obere Source/Drain-Kontakt 137 aufweisen oder ist aus demselben Material wie dieser hergestellt. Die obere Kontaktstruktur 144 kann auch einen Body-Kontakt 141 aufweisen, der einen ersten Teil 141a, der elektrisch mit einem der Source/Drain-Bereiche 103 durch den untere Source/Drain-Kontakt 120 verbunden ist, und einen zweiten Teil 141b, der elektrisch mit der Gateelektrode 104 verbunden ist, umfasst. Bei einigen Ausführungsformen weist der Body-Kontakt 141 dasselbe Material wie der obere Source/Drain-Kontakt 137 und der Gateelektrodenkontakt 139 oder ist aus demselben Material wie diese hergestellt.
  • Die obere Kontaktstruktur 144, wie etwa der obere Source/Drain-Kontakt 137, der Gateelektrodenkontakt 139 und der Body-Kontakt 141, kann jeweils auf einer ausgesparten Oberseite von darunter befindlichen leitfähigen Strukturelementen, wie etwa der Gateelektrode 104 und dem unteren Source/Drain-Kontakt 120 angeordnet sein, um das Aufsetzen zu verbessern und den Kontaktwiderstand zu verringern. Die obere Kontaktstruktur 144 kann jeweils eine Querabmessung aufweisen, die der der darunter befindlichen leitfähigen Strukturelemente nahekommt, um einen kleinen Widerstand zu erzielen. Zum Beispiel kann eine untere Querabmessung des oberen Source/Drain-Kontakts 137 im Wesentlichen gleich einer oberen Querabmessung des unteren Source/Drain-Kontakt 120 sein, wobei sie zum Beispiel etwa 3-5 nm größer oder kleiner als diese sein kann. Eine untere Querabmessung des Gateelektrodenkontakts 139 kann im Wesentlichen gleich einer oberen Querabmessung der Gateelektrode 104 sein, wobei sie etwa 3-5 nm größer oder kleiner als diese sein kann. Ein Neigungswinkel des oberen Source/Drain-Kontakts 137 und des Gateelektrodekontakts 139 kann etwa 86°-89° in Bezug auf eine Seitenfläche der integrierten Schaltkreisvorrichtung betragen. Dadurch können die untere Kontaktstruktur 142 und die obere Kontaktstruktur 144 bei beschränktem Platz wirksam isoliert angeordnet werden, während die Querabmessungen maximiert werden, so dass Probleme durch Leckverluste verhindert werden, während der Widerstand minimiert wird.
  • Wie in den 1A und 1C gezeigt ist, hat der untere Source/Drain-Kontakt 120 bei einigen Ausführungsformen eine Oberseite, die ausgerichtet zu oder koplanar mit der Oberseite der unteren Ätzstoppschicht 108 ist. Die obere Ätzstoppschicht126 kann direkt auf der Gate-Verkappungsschicht 116 und dem unteren Source/Drain-Kontakt 120 angeordnet sein.
  • Wie in den 1B, 1D und 1E gezeigt ist, ist der untere Source/Drain-Kontakt 120 bei einigen alternativen Ausführungsformen gegenüber der unteren Ätzstoppschicht 108 ausgespart, und eine Source/Drain-Verkappungsschicht 124 ist auf dem ausgesparten unteren Source/Drain-Kontakt 120 angeordnet. Die Source/Drain-Verkappungsschicht 124 kann eine Oberseite aufweisen, die ausgerichtet zu oder koplanar mit der Oberseite der Gate-Verkappungsschicht 116 ist, und sie kann weiterhin ausgerichtet zu oder koplanar mit der Oberseite der unteren Ätzstoppschicht 108 sein. Zum Beispiel kann der ausgesparte untere Source/Drain-Kontakt 120 eine Dicke in einem Bereich von etwa 30 nm bis 60 nm aufweisen. Die Source/Drain-Verkappungsschicht 124 kann eine Dicke in einem Bereich von etwa 5 nm bis 25 nm aufweisen. Bei einigen Ausführungsformen kann die Source/Drain-Verkappungsschicht 124 eine Seitenwandfläche, die direkten Kontakt mit dem oberen Source/Drain-Kontakt 137 hat, und eine Unterseite, die direkten Kontakt mit dem unteren Source/Drain-Kontakt 120 hat, aufweisen. Zum Beispiel kann die Source/Drain-Verkappungsschicht 124 Siliziumnitrid, Siliziumcarbid, eine Kombination davon oder dergleichen aufweisen oder daraus hergestellt sein. Bei einigen Ausführungsformen kann die Source/Drain-Verkappungsschicht 124 eine Dicke in einem Bereich von etwa 5 nm bis etwa 25 nm aufweisen. Die Höhen des ausgesparten unteren Source/Drain-Kontakts 120 und der ausgesparten Gateelektrode 104 und die Dicken der Gate-Verkappungsschicht 116 und der Source/Drain-Verkappungsschicht 124 können unterschiedlich sein. Wie zum Beispiel in den 1B und 1D gezeigt ist, kann der ausgesparte untere Source/Drain-Kontakt 120 höher sein als die Gateelektrode 104, und die Source/Drain-Verkappungsschicht 124 ist dünner als die Gate-Verkappungsschicht 116. Alternativ kann, wie in 1E gezeigt ist, der ausgesparte untere Source/Drain-Kontakt 120 niedriger sein als die Gateelektrode 104, und die Source/Drain-Verkappungsschicht 124 ist dicker als die Gate-Verkappungsschicht 116.
  • Bei einigen Ausführungsformen ist die obere Ätzstoppschicht126 über der Gate-Verkappungsschicht 116 und der Source/Drain-Verkappungsschicht 124 angeordnet. Eine Unterseite der oberen Ätzstoppschicht126 kann die Gate-Verkappungsschicht 116 und die Source/Drain-Verkappungsschicht 124. kontaktieren. Zum Beispiel kann die obere Ätzstoppschicht 126 Aluminiumoxid, Siliziumnitrid oder andere geeignete dielektrische Materialien aufweisen oder daraus hergestellt sein. Bei einigen Ausführungsformen kann die obere ILD-Schicht 128 Materialien wie etwa PECVD-Oxid (PECVD: plasma enhanced chemical vapour deposition - plasmaunterstützte chemische Aufdampfung), FCVD-Oxid (FCVD: flowable chemical vapor depositon fließfähige chemische Aufdampfung), Tetraethylorthosilicat(TEOS)-Oxid, undotiertes Silicatglas oder dotiertes Siliziumdioxid, wie etwa Borphosphorsilicatglas (BPSG), Kieselglas (FSG: fused silica glass), Phosphorsilicatglas (PSG), Bor-dotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien aufweisen, oder daraus hergestellt sein. In einigen Fällen kann die obere ILD-Schicht 128 dasselbe dielektrische Material wie die untere ILD-Schicht 110 aufweisen. Bei dieser Instanz kann die obere Ätzstoppschicht 126 dielektrische Nichtoxid-Materialien wie etwa Siliziumnitrid aufweisen. In einigen Beispielen hat die obere Ätzstoppschicht 126 eine Dicke von etwa 3 nm bis etwa 20 nm, und die obere ILD-Schicht 128 hat eine Dicke von etwa 5 nm bis etwa 40 nm.
  • Bei einigen Ausführungsformen ist ein Seitenwandabstandshalter 106 entlang der Gateelektrode 104 angeordnet. Wie in den 1A und 1B gezeigt ist, kann der Seitenwandabstandshalter eine Oberseite aufweisen, die ausgerichtet zu oder koplanar mit der Oberseite der Gateelektrode 104 ist. Die Gate-Verkappungsschicht 116 kann sowohl auf der Gateelektrode 104 als auch auf dem Seitenwandabstandshalter 106 angeordnet sein. Alternativ kann der Seitenwandabstandshalter 106 eine Oberseite aufweisen, die höher als die der Gateelektrode 104 ist. Wie in den 1C-1D gezeigt ist, kann die Oberseite des Seitenwandabstandshalters 106 ausgerichtet zu oder koplanar mit der Oberseite der unteren Ätzstoppschicht 108 sein. Die Gate-Verkappungsschicht 116 kann zwischen oberen Teilen des Seitenwandabstandshalters 106 angeordnet sein. Wie in 1E gezeigt ist, kann die Oberseite des Seitenwandabstandshalters 106 auch zwischen den Oberseiten der ausgesparten Gateelektrode 104 und der unteren Ätzstoppschicht 108 angeordnet sein. Die Gate-Verkappungsschicht 116 kann zwischen oberen Teilen des Seitenwandabstandshalters 106 angeordnet sein und sich über den Oberseiten des Seitenwandabstandshalters 106 erstrecken.
  • 2 zeigt eine perspektivische Darstellung einer integrierten Schaltkreisvorrichtung mit einer Kontakt-Verkappungsschicht gemäß einigen zusätzlichen Ausführungsformen. Bei einigen Ausführungsformen umfasst die integrierte Schaltkreisvorrichtung FinFET-Vorrichtungen, Nanodraht-Vorrichtungen oder andere Gate-all-around(GAA)-Vorrichtungen. Das Substrat 102 kann einen unteren Basisteil und eine Mehrzahl von oberen Säulen, die gegenüber dem unteren Basisteil erhaben sind, die sich entlang einer Kanal-Längsrichtung erstrecken und die parallel zueinander angeordnet sind, aufweisen. Epitaxiale Halbleiterschichten können auf der Mehrzahl von oberen Säulen des Substrats 102 angeordnet sein und können stark dotierte Teile auf gegenüberliegenden Seiten als die Source/Drain-Bereiche 103 und schwach dotierte oder nicht dotierte Teile zwischen den Source/Drain-Bereichen 103 als Kanalbereiche aufweisen. Eine leitfähige Schicht kann auf den Kanalbereichen als die Gateelektrode 104 angeordnet sein und von den Kanalbereichen durch ein Gatedielektrikum getrennt sein und so konfiguriert sein, dass sie den Stromfluss der Kanalbereiche steuert. Die Gateelektrode 104 kann sich entlang einer Kanal-Breitenrichtung erstrecken, die senkrecht zu der Kanal-Längsrichtung ist. Die Gateelektrode 104 kann sich so erstrecken, dass sie sich um Seitenwände der Kanalbereiche wickelt. Wie in den entsprechenden Figuren vorstehend erörtert worden ist, ist die Gate-Verkappungsschicht 116 bei einigen Ausführungsformen auf der Gateelektrode 104 angeordnet. Die Gate-Verkappungsschicht 116 kann eine Oberseite aufweisen, die ausgerichtet zu oder koplanar mit der Oberseite der unteren Ätzstoppschicht 108 ist. Bei einigen weiteren Ausführungsformen ist eine Source/Drain-Verkappungsschicht 124 auf dem unteren Source/Drain-Kontakt 120 angeordnet. Die Source/Drain-Verkappungsschicht 124 kann eine Oberseite aufweisen, die ausgerichtet zu oder koplanar mit der Oberseite der unteren Ätzstoppschicht 108 ist. Der obere Source/Drain-Kontakt 137 kann sich durch die obere ILD-Schicht 128 und die Source/Drain-Verkappungsschicht 124 erstrecken und auf dem unteren Source/Drain-Kontakt 120 aufsetzen. Der Gateelektrodenkontakt 139 kann sich durch die obere ILD-Schicht 128 und die Gate-Verkappungsschicht 116 erstrecken und auf der Gateelektrode 104 aufsetzen. Der Body-Kontakt 141 kann sich sowohl durch die Gate-Verkappungsschicht 116 als auch die Source/Drain-Verkappungsschicht 124 erstrecken und die Gateelektrode 104 und den unteren Source/Drain-Kontakt 120 elektrisch verbinden.
  • Die 3A-3G zeigen eine Reihe von Schnittansichten und ein Ablaufdiagramm von einigen Ausführungsformen eines Verfahrens zur Herstellung einer integrierten Schaltkreisvorrichtung mit einer Kontakt-Verkappungsschicht. Wie in 3A und bei Schritt 152 von 3G gezeigt ist, wird bei einigen Ausführungsformen eine erste untere Kontaktstruktur 142a über dem Substrat 102 hergestellt. Die erste untere Kontaktstruktur 142a kann ein Vorrichtungselement oder ein MOL-Kontaktelement, wie etwa die Gateelektrode 104 oder der untere Source/Drain-Kontakt 120 sein, wie in den vorstehenden Figuren gezeigt ist. Die untere Ätzstoppschicht 108 und die untere ILD-Schicht 110 können durch Belegen von Oberseiten des Substrats 102 und von Seitenwänden der ersten unteren Kontaktstruktur 142a vor oder nach Herstellung der ersten unteren Kontaktstruktur 142a hergestellt werden.
  • Wie in 3B und Schritt 154 von 3G gezeigt ist, ist die Gateelektrode 142a bei einigen Ausführungsformen ausgespart. Dann wird eine erste Kontakt-Vorläufer-Verkappungsschicht 114a' auf einer ausgesparten Oberseite der Gateelektrode 142a. hergestellt. Die Gateelektroden-Vorläufer-Verkappungsschicht 114a' kann ein Halbleiter- oder ein dielektrisches Material aufweisen, das sich von der unteren ILD-Schicht 110 unterscheidet. Die Gateelektroden-Vorläufer-Verkappungsschicht 114a' kann durch einen chemischen Aufdampf(CVD)-Prozess (CVD: chemical vapor deposition) oder Atomlagenabscheidungs(ALD)-Prozess (ALD: atomic layer deposition) hergestellt werden.
  • Wie in 3C und Schritt 156 von 3G gezeigt ist, wird bei einigen Ausführungsformen, eine zweite-untere Kontaktstruktur 142b benachbart zu der Gateelektrode 142a hergestellt, wobei die Gateelektroden-Vorläufer-Verkappungsschicht 114a' an Ort und Stelle ist. Bei einigen Ausführungsformen werden die untere ILD-Schicht 110 und ein seitlicher Teil der unteren Ätzstoppschicht 108 unter der unteren ILD-Schicht 110 teilweise oder vollständig entfernt, um eine Öffnung unter Verwendung der ersten Kontakt-Vorläufer-Verkappungsschicht 114a' als Maskierungs- und Schutzschicht herzustellen. Die untere ILD-Schicht 110 kann durch einen Ätzprozess entfernt werden, der selektiver gegenüber der unteren ILD-Schicht 110 als in Bezug auf die untere Ätzstoppschicht 108 und die erste Kontakt-Vorläufer-Verkappungsschicht 114a' ist. Die erste Kontakt-Vorläufer-Verkappungsschicht 114a' schützt die erste untere Kontaktstruktur 142a davor, während des Entfernens der unteren ILD-Schicht 110 freigelegt zu werden. Dann wird die zweite untere Kontaktstruktur 142b in die Öffnung zwischen vertikalen Teilen der unteren Ätzstoppschicht 108 gefüllt. Die zweite untere Kontaktstruktur 142b kann ein MOL-Kontaktelement oder ein Vorrichtungselement, wie etwa der untere Source/Drain-Kontakt 120 oder die Gateelektrode 104 der vorstehenden Figuren sein.
  • Wie in 3D und Schritt 158 von 3G gezeigt ist, wird bei einigen Ausführungsformen die erste Kontakt-Vorläufer-Verkappungsschicht 114a' durch eine erste Kontakt-Verkappungsschicht 114a ersetzt, die eine kleinere Dielektrizitätskonstante als die erste Kontakt-Vorläufer-Verkappungsschicht 114a' aufweist. Zum Beispiel kann die erste Kontakt-Verkappungsschicht 114a ein dielektrisches Low-κ-Material mit einer Dielektrizitätskonstante kleiner als 3,9 aufweisen oder daraus hergestellt sein. Dadurch kann die mit der ersten Kontakt-Verkappungsschicht 114a in Zusammenhang stehende parasitäre Kapazität im Vergleich zur Verwendung der ersten Kontakt-Vorläufer-Verkappungsschicht 114a' verringert werden.
  • Wie in 3E und Schritt 160 von 3G gezeigt ist, ist alternativ zu den Ausführungsformen, bei denen eine Oberseite der zweiten unteren Kontaktstruktur 142b ausgerichtet zu oder koplanar mit der Oberseite der unteren Ätzstoppschicht 108 ist, bei einigen anderen Ausführungsformen die zweite untere Kontaktstruktur 142b unter die Oberseite der unteren Ätzstoppschicht 108 ausgespart. Dann wird eine zweite Kontakt-Verkappungsschicht 114b auf einer ausgesparten Oberseite der zweiten unteren Kontaktstruktur 142b hergestellt. Die zweite Kontakt-Verkappungsschicht 114b schützt die darunter liegende zweite untere Kontaktstruktur 142b während den nachfolgenden Herstellungsschritten, so dass Leckströme verhindert werden, deren Ursache in der Herstellung einer oberen Kontaktstruktur, die auf der zweiten unteren Kontaktstruktur 142b aufsetzt, liegt. Zum Beispiel kann die zweite Kontakt-Verkappungsschicht 114b Siliziumnitrid, Siliziumcarbid, eine Kombination davon oder dergleichen aufweisen oder daraus hergestellt sein. Bei einigen alternativen Ausführungsformen kann die zweite Kontakt-Verkappungsschicht 114b durch ein dielektrisches Material mit einer kleineren Dielektrizitätskonstanten ersetzt werden, wie zum Beispiel einem dielektrischen Low-κ-Material mit einer Dielektrizitätskonstante kleiner als 3. Dadurch kann die mit der zweiten Kontakt-Verkappungsschicht 114b in Zusammenhang stehende parasitäre Kapazität verringert werden.
  • Wie in 3F und Schritt 162 von 3G gezeigt ist, kann bei einigen Ausführungsformen eine erste obere Kontaktstruktur 144a hergestellt werden, die sich durch die erste Kontakt-Verkappungsschicht 114a erstreckt und auf der ersten unteren Kontaktstruktur 142a aufsetzt. Eine zweite obere Kontaktstruktur 144b kann hergestellt werden, die sich durch die zweite Kontakt-Verkappungsschicht 114b erstreckt und auf der zweiten unteren Kontaktstruktur 142b aufsetzt.
  • Die 4-17 zeigen Schnittansichten 400-1700 von einigen Ausführungsformen eines Verfahrens zur Herstellung eines integrierten Schaltkreises mit einer Kontakt-Verkappungsschicht. Die 4-17 werden zwar für ein Verfahren beschrieben, aber es dürfte wohlverstanden sein, dass die in den 4-17 offenbarten Strukturen nicht auf das Verfahren beschränkt sind, sondern als Strukturen eigenständig und unabhängig von dem Verfahren verwendet werden können.
  • Wie in 4 und 5 gezeigt ist, wird eine Transistorstruktur 101 über einem Substrat 102 hergestellt und von einer unteren ILD-Schicht 110 umschlossen. Die Transistorstruktur 101 umfasst eine dielektrische Gateschicht 105 über dem Substrat 102, eine Gateelektrode 104 über der dielektrischen Gateschicht 105 und ein Paar von Source/Drain-Bereichen 103 in dem Substrat 102, die auf gegenüberliegenden Seiten der Gateelektrode 104 angeordnet sind (siehe 5). Die Gateelektrode 104 kann ein Polysilizium-Gate oder ein Metallgate sein. Die dielektrische Gateschicht 105 kann eine Siliziumdioxidschicht oder ein dielektrisches High-κ-Material mit einer Dielektrizitätskonstante größer als 7, wie etwa Hafniumdioxid, aufweisen oder daraus hergestellt sein.
  • Bei einigen Ausführungsformen kann die Transistorstruktur 101 durch einen Ersatzgateprozess hergestellt werden. Wie in 4 gezeigt ist, wird zuerst ein Dummy-Gate 404 über dem Substrat 102 hergestellt und strukturiert. Ein Seitenwandabstandshalter 106 wird entlang dem Dummy-Gate 404 hergestellt und belegt oder bedeckt Seitenwände des Dummy-Gates 404. Ein Paar von Source/Drain-Bereichen 103 wird auf gegenüberliegenden Seiten des Seitenwandabstandshalters 106 in dem Substrat 102 hergestellt. Bei verschiedenen Ausführungsformen weist der Seitenwandabstandshalter 106 Siliziumdioxid, Siliziumnitrid, Siliziumcarbid, Aluminiumoxid, Aluminiumnitrid, Kombinationen davon oder andere geeignete dielektrische Materialien auf. Bei einigen Ausführungsformen kann der Seitenwandabstandshalter 106 mehrere Schichten, wie etwa Hauptabstandshalterwände, Belagschichten und dergleichen, aufweisen. Zum Beispiel kann der Seitenwandabstandshalter 106 durch Abscheiden eines dielektrischen Materials über dem Dummy-Gate 404 und vertikales Zurückätzen des dielektrischen Materials, so dass eine Oberseite im Wesentlichen koplanar mit der Oberseite des Dummy-Gates 404 ist, hergestellt werden.
  • Wie in 5 gezeigt ist, wird die untere ILD-Schicht 110 hergestellt, indem eine dielektrische Schicht über der Transistorstruktur 101 abgeschieden wird und danach ein Planarisierungsprozess durchgeführt wird. Bei einigen Ausführungsformen wird eine untere Ätzstoppschicht 108 hergestellt, die die Oberseiten des Substrats belegt und sich entlang dem Seitenwandabstandhalter 106 nach oben erstreckt, bevor die untere ILD-Schicht 110 hergestellt wird. Die untere Ätzstoppschicht 108 kann durch einen Abscheidungsprozess, wie etwa einen Atomlagenabscheidungs(ALD)-Prozess (ALD: atomic layer deposition process) oder einen chemischen Aufdampfungs(CVD)-Prozess (CVD: chemical vapor deposition) hergestellt werden. Die untere ILD-Schicht 110 kann durch einen Prozess der chemischen Aufdampfung bei Unterdruck (SACVD: subatmospheric CVD), einen fließfähigen CVD-Prozess oder ein anderes geeignetes Abscheidungsverfahren hergestellt werden. Die untere Ätzstoppschicht 108 und die dielektrische Schicht können durch einen chemisch-mechanischen Planarisierungs(CMP)-Prozess (CMP: chemical mechanical planarization) planarisiert werden, um eine Oberseite zu erhalten, die im Wesentlichen koplanar mit der Oberseite des Seitenwandabstandshalters 106 ist. Zum Beispiel kann die dielektrische Schicht zur Herstellung der unteren ILD-Schicht 110 Materialien wie etwa Tetraethylorthosilicat(TEOS)-Oxid, undotiertes Silicatglas oder dotiertes Siliziumdioxid, wie etwa Borphosphorsilicatglas (BPSG), Kieselglas (FSG: fused silica glass), Phosphorsilicatglas (PSG), Bor-dotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien aufweisen. Eine bespielhafte Dicke der unteren ILD-Schicht 110 ist etwa 40 nm bis etwa 80 nm.
  • Für den Ersatzgateprozess kann das Dummy-Gate 404 in 4 entfernt und durch die aus Metall hergestellte Gateelektrode 104 ersetzt werden. Die dielektrische Gateschicht 105 kann auch nach dem Entfernen des Dummy-Gates 404 hergestellt werden. Zum Herstellen der Gateelektrode 104 können Stapel von Metallmaterialien in Gateöffnungen gefüllt werden und danach kann ein Planarisierungsprozess durchgeführt werden, um überschüssige Teile oberhalb der unteren ILD-Schicht 110 zu entfernen. Entsprechend den verschiedenen Vorrichtungen können die Stapel von Metallmaterialien Titannitrid, Tantalnitrid, Titanaluminium und Aluminium, etc. aufweisen oder daraus hergestellt sein. Für die Gateelektrode 104 können auch andere Materialien verwendet werden.
  • Wie in 6 gezeigt ist, ist die Gateelektrode 104 bei einigen Ausführungsformen ausgespart. Zum Beispiel wird zuerst ein Strukturierungsprozess durchgeführt, um eine Maskierungsschicht 112 über der unteren ILD-Schicht 110 herzustellen, wobei die Gateelektrode frei gelassen wird. Dann wird ein Ätzprozess an der Gateelektrode 104 durchgeführt, um die Oberseite der Gateelektrode 104 auf eine Position abzusenken, die niedriger als eine Oberseite der unteren ILD-Schicht ist. Alternativ ist der Ätzprozess hoch selektiv gegenüber der Gateelektrode 104 und eine Maskierungsschicht wird nicht benötigt. Bei einigen Ausführungsformen umfasst der Ätzprozess anisotropes Ätzen, wie etwa vertikales Trockenätzen, und die ausgesparte Oberseite der Gateelektrode 104 ist im Wesentlichen eben, wie das in den Figuren gezeigt ist. Bei einigen alternativen Ausführungsformen umfasst der Ätzprozess isotropes Ätzen, wie etwa Nassätzen, und die ausgesparte Oberseite der Gateelektrode 104 kann eine konkave Form aufweisen, obwohl das nicht in den Figuren dargestellt wird. Bei einigen Ausführungsformen kann der Seitenwandabstandshalter 106 zusammen mit der Gateelektrode 104 abgesenkt werden. Abhängig von der Selektivität des Ätzmittels kann der Seitenwandabstandhalter 106 so abgeändert werden, dass seine Oberseite niedriger oder höher als die Oberseite der Gateelektrode 104 oder auf gleicher Höhe mit dieser ist, wie das zum Beispiel in den 1A-1E dargestellt ist. Der Gateelektroden-Aussparungsprozess kann eine obere Seitenwand der unteren Ätzstoppschicht 108 freilegen. Der Ätzprozess steuert eine Dicke der Gateelektrode 104 und stimmt folglich eine effektive Austrittsarbeit der Gateelektrode 104 auf einen gewünschten Wert ab.
  • Wie in 7 gezeigt ist, wird bei einigen Ausführungsformen eine Gate-Vorläufer-Verkappungsschicht 116' auf der ausgesparten Gateelektrode 104 hergestellt und kann als Schutzschicht fungieren, um die Gateelektrode 104 vor den nachfolgenden Bearbeitungsschritten zu schützen. Bei einigen Ausführungsformen kann die Gate-Vorläufer-Verkappungsschicht 116' abgeschieden und dann planarisiert werden, so dass sie ausgerichtet zu oder koplanar mit den Oberseiten der unteren ILD-Schicht 110 und/oder der unteren Ätzstoppschicht 108 ist. Die Gate-Vorläufer-Verkappungsschicht 116' kann Silizium oder Siliziumnitrid oder Metalloxid aufweisen oder daraus hergestellt sein.
  • Wie in 8 gezeigt ist, wird eine Öffnung 118 durch die untere ILD-Schicht 110 und die untere Ätzstoppschicht 108 unter der unteren ILD-Schicht 110 hergestellt. In einigen Fällen bietet die Öffnung 118 Zugang zu Source/Drain-Bereichen 103 und/oder Body-Kontaktbereichen. Zum Beispiel kann die Öffnung 118 durch eine geeignete Kombination von lithografischen Strukturierungs- und Ätzprozessen (z. B. Nass- oder Trockenätzen) hergestellt werden.
  • Wie in 9 gezeigt ist, wird bei einigen Ausführungsformen ein unterer Source/Drain-Kontakt 120 in die Öffnung 118 gefüllt und auf den Source/Drain-Bereichen 103 hergestellt. Bei einigen Ausführungsformen kann der untere Source/Drain-Kontakt 120 selbstjustierend durch vollständiges Entfernen der unteren ILD-Schicht 110 hergestellt werden, und folglich kann der untere Source/Drain-Kontakt 120 direkten Kontakt zu Seitenwänden der unteren Ätzstoppschicht 108 haben. Die Gate-Vorläufer-Verkappungsschicht 116' bedeckt und schützt die Gateelektrode 104 während der Herstellung der Öffnung 118 und des unteren Source/Drain-Kontakts 120. Bei einigen Beispielen kann der untere Source/Drain-Kontakt 120 Cobalt oder anderes geeignetes Material, wie etwa W, Cu, Ru, Al, Rh, Mo, Ta, Ti aufweisen. Der untere Source/Drain-Kontakt 120 kann auch eine Klebstoff- oder Sperrschicht aufweisen, die das Bonden unterstützt und/oder hilft Diffusion zu verhindern. Nach dem Abscheiden des unteren Source/Drain-Kontakts 120 kann ein chemisch-mechanischer Planarisierungs(CMP)-Prozess (CMP: chemical mechanical planarization) durchgeführt werden, um überschüssiges Material des unteren Source/Drain-Kontakts 120 zu entfernen und die Oberseite des Werkstücks zu planarisieren. Vor der Herstellung des unteren Source/Drain-Kontakts 120 kann ein Metallisierungsprozess durchgeführt werden, um eine Halbleiter-Metall-Verbundschicht (wie etwa Silizid, Germanid, Germanosilizid) an einer Grenzfläche des unteren Source/Drain-Kontakts 120 und des freiliegenden Teils der Oberseite der Source/Drain-Bereiche 103 herzustellen und so einen niederohmigen Kontakt bereitzustellen.
  • Wie in 10 gezeigt ist, wird bei einigen Ausführungsformen die Gate-Vorläufer-Verkappungsschicht 116' entfernt und durch eine Gate-Verkappungsschicht 116 mit einer kleineren Dielektrizitätskonstante ersetzt, wodurch die parasitäre Kapazität verringert wird. Die Gate-Verkappungsschicht 116 kann Siliziumdioxid oder dielektrisches Low-κ-Material mit einer Dielektrizitätskonstante kleiner als 3,9 aufweisen. Zum Beispiel kann die Gate-Verkappungsschicht 116 Kohlenstoff-dotierte Oxid-Dielektrika aufweisen, die Si, C, O und H (SiCOH), Siliziumoxidcarbonitrid (SiOCN) mit niedrigem Wasserstoffgehalt, Siliziumoxidcarbid (SiOC) oder anderes geeignetes dielektrisches Low-κ-Material aufweisen.
  • Wie in 11 gezeigt ist, ist bei einigen Ausführungsformen der untere Source/Drain-Kontakt 120 ausgespart und folglich wird eine Öffnung 122 in einem oberen Teil der unteren Ätzstoppschicht 108 hergestellt. Ein Ätzprozess wird an dem unteren Source/Drain-Kontakt 120 durchgeführt, um eine Oberseite des unteren Source/Drain-Kontakts 120 auf eine Position abzusenken, die niedriger als eine Oberseite der unteren Ätzstoppschicht 108 ist. Bei einigen Ausführungsformen umfasst der Ätzprozess anisotropes Ätzen, wie etwa vertikales Trockenätzen, und die ausgesparte Oberseite des unteren Source/Drain-Kontakts 120 ist im Wesentlichen eben, wie in den Figuren gezeigt ist. Bei einigen alternativen Ausführungsformen umfasst der Ätzprozess isotropes Ätzen, wie etwa Nassätzen, und die ausgesparte Oberseite des unteren Source/Drain-Kontakts 120 kann eine konkave Form aufweisen, obwohl das in den Figuren nicht dargestellt ist.
  • Wie in 12 gezeigt ist, wird eine Source/Drain-Verkappungsschicht 124 hergestellt, um die Öffnung 122 in dem oberen Teil des unteren Source/Drain-Kontakts 120 zu füllen. Bei einigen Ausführungsformen kann die Source/Drain-Verkappungsschicht 124 durch Abscheiden eines dielektrischen Materials und einen anschließenden CMP-Prozess hergestellt werden. Die Source/Drain-Verkappungsschicht 124 kann eine Oberseite aufweisen, die ausgerichtet zu oder koplanar mit der Oberseite der Gate-Verkappungsschicht 116 und/oder der unteren Ätzstoppschicht 108 ist. Die Source/Drain-Verkappungsschicht 124 bietet dem unteren Source/Drain-Kontakt 120 Schutz und Isolation.
  • Wie in 13 gezeigt ist, wird eine obere Ätzstoppschicht 126 über der Gate-Verkappungsschicht 116 hergestellt, und eine obere ILD-Schicht 128 wird über der oberen Ätzstoppschicht 126 hergestellt. Zum Beispiel kann die obere Ätzstoppschicht 126 Aluminiumoxid, Siliziumnitrid oder Zirconium aufweisen oder daraus hergestellt sein. Auch andere geeignete dielektrische Materialien können für die obere Ätzstoppschicht 126 verwendet werden. Bei einigen Ausführungsformen kann die obere ILD-Schicht 128 Materialien, wie etwa Tetraethylorthosilicat(TEOS)-Oxid, undotiertes Silicatglas oder dotiertes Siliziumdioxid, wie etwa Borphosphorsilicatglas (BPSG), Kieselglas (FSG: fused silica glass), Phosphorsilicatglas (PSG), Bor-dotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien aufweisen. Folglich können in einigen Fällen die obere ILD-Schicht 128 und die untere ILD-Schicht 110 im Wesentlichen gleich sein. Bei verschiedenen Ausführungsformen können die obere Ätzstoppschicht 126 und die obere ILD-Schicht 128 durch einen Prozess der chemischen Aufdampfung bei Unterdruck (SACVD: subatmospheric CVD), einen fließfähigen CVD-Prozess, einen Atomlagenabscheidungs(ALD)-Prozess (ALD: atomic layer deposition), einen Prozess der plasmaunterstützten chemischen Aufdampfung (PECVD) oder ein anderes geeignetes Abscheidungsverfahren hergestellt werden. Bei einigen Beispielen hat die obere Ätzstoppschicht 126 eine Dicke von etwa 5 nm bis etwa 20 nm, und die obere ILD-Schicht 128 hat eine Dicke von etwa 20 nm bis etwa 40 nm.
  • Wie in den 14-16 gezeigt ist, wird eine Mehrzahl von Öffnungen 130, 132, 134, 136 hergestellt, die auf der Gate-Verkappungsschicht 116 oder der Source/Drain-Verkappungsschicht 124 aufsetzen und dann mit einem Kernmetallmaterial gefüllt werden. Bei einigen Ausführungsformen kann das Kernmetallmaterial Wolfram, Cobalt, Ruthenium, Titannitrid, Tantalnitrid oder anderes geeignetes Metall sein. Die Mehrzahl von Öffnungen 130, 132, 134, 136 können einzeln in beliebiger Reihenfolge oder in beliebiger Kombination hergestellt werden, und die Mehrzahl von Öffnungen 130, 132, 134, 136 können auch alle gleichzeitig hergestellt werden, bevor das Kernmetallmaterial eingefüllt wird. Die Höhen des ausgesparten unteren Source/Drain-Kontakts 120 und der ausgesparten Gateelektrode 104 und die Dicken der Gate-Verkappungsschicht 116 und der Source/Drain-Verkappungsschicht 124 können abhängig von nachfolgenden Herstellungsschritten unterschiedlich sein. Zum Beispiel kann der untere Source/Drain-Kontakt 120 weniger ausgespart als die Gateelektrode 104 sein, wie das in den Figuren dargestellt ist, und die Source/Drain-Verkappungsschicht 124 wird dünner als die Gate-Verkappungsschicht 116 hergestellt, so dass die Gateelektrode 104 besser geschützt werden kann, wenn die Öffnungen 134, 136 durch die Source/Drain-Verkappungsschicht 124 hergestellt werden. Alternativ kann der untere Source/Drain-Kontakt 120 mehr ausgespart und niedriger als die Gateelektrode 104 sein, obwohl das nicht in den Figuren dargestellt ist, wenn die Öffnungen 134, 136 zuerst hergestellt werden, so dass danach die Source/Drain-Bereiche 103 besser geschützt werden können, wenn die Öffnungen 130, 132 durch die Gate-Verkappungsschicht 116 hergestellt werden. Die Öffnungen 130, 132, 134, 136 können jeweils separat durch einen Mehrschritt-Ätzprozess hergestellt werden, um die Ätzselektivität zu verbessern und eine Überätzungssteuerung bereitzustellen.
  • Zum Beispiel können unter Bezugnahme auf 14 die Öffnungen 130, 132 als eine erste Struktur durch Durchführen eines ersten Ätzens mit einer hohen Ätzrate an der oberen ILD-Schicht 128 und Stoppen auf der oberen Ätzstoppschicht 126 hergestellt werden. Dann wird ein zweites Ätzen durchgeführt, um die obere Ätzstoppschicht 126 und die Gate-Verkappungsschicht 116 langsam zu ätzen und so die Gateelektrode 104 mit angemessener Überätzung freizulegen. Alternativ kann die Öffnung 130 durch Durchführen eines ersten Ätzens mit einer hohen Ätzrate an der oberen ILD-Schicht 128 und der oberen Ätzstoppschicht 126 und Stoppen auf der Gate-Verkappungsschicht 116 hergestellt werden. Dann wird ein zweites Ätzen durchgeführt, um durch die Gate-Verkappungsschicht 116 durchzuätzen und so die Gateelektrode 104 freizulegen. Die Öffnungen 130, 132 können auch durch einen geeigneten kombinierten Prozess von lithografischer Strukturierung und Ätzung (z. B. Nass- oder Trockenätzen) hergestellt werden.
  • Unter Bezugnahme auf 15 können in ähnlicher Weise die Öffnungen 134, 136 als eine zweite Struktur durch Durchführen eines ersten Ätzens mit einer hohen Ätzrate an der oberen ILD-Schicht 128 und Stoppen auf der oberen Ätzstoppschicht 126 hergestellt werden. Dann wird ein zweites Ätzen durchgeführt, um die obere Ätzstoppschicht126 und die Source/Drain-Verkappungsschicht 124 langsam zu ätzen und so den unteren Source/Drain-Kontakt 120 mit angemessener Überätzung freizulegen. Die Öffnungen 134, 136 können auch durch einen geeigneten kombinierten Prozess von lithografischer Strukturierung und Ätzung (z. B. Nass- oder Trockenätzen) hergestellt werden.
  • Wie in 16 gezeigt ist, wird eine dritte Struktur durch Vereinen der Öffnungen 132 und 136 hergestellt, indem die obere ILD-Schicht 128 und die obere Ätzstoppschicht 126 dazwischen durchgeätzt werden. Bei einigen Ausführungsformen kann der Strukturierungsprozess (z. B. zur Herstellung der Mehrzahl von Öffnungen) einen Mehrschritt-Ätzprozess umfassen, um die obere ILD-Schicht 128 und die obere Ätzstoppschicht126 separat zu ätzen, um die Ätzselektivität zu verbessern und eine Überätzungssteuerung bereitzustellen.
  • Wie in 17 gezeigt ist, werden eine oder mehrere Metallschichten in den Öffnungen 130, 132, 134, 136 hergestellt. In einigen Fällen stellt der Body-Kontakt 141 einen direkten Kontakt zwischen der Gateelektrode 104 und einer benachbarten Source, einem benachbarten Drain und/oder benachbarten Body-Bereich bereit. Der obere Source/Drain-Kontakt 137 stellt einen Zugang zu den Source/Drain-Bereichen in dem Substrat 102 durch den unteren Source/Drain-Kontakt 120 bereit, und der Gateelektrodenkontakt 139 stellt einen Zugang zu der Gateelektrode 104 bereit. Wie vorstehend erörtert wurde, isoliert und schützt die Source/Drain-Verkappungsschicht 124 den unteren Source/Drain-Kontakt 120. Die Gate-Verkappungsschicht 116 isoliert und schützt die Gateelektrode 104. Durch Anordnen der Gate-Verkappungsschicht 116, der oberen Ätzstoppschicht 126, der Source/Drain-Verkappungsschicht 124 auf dem unteren Source/Drain-Kontakt 120, und der Gate-Verkappungsschicht 116 auf der Gateelektrode 104, wie offenbart ist, kann der Herstellungsprozess des oberen Source/Drain-Kontakts 137 zusammen mit der Herstellung des Gateelektrodenkontakts 139 und des Bodykontakts 141 erfolgen. Bei einigen Ausführungsformen umfasst die Herstellung der Metallschichten das Herstellen eines Metallkerns 138 und einer Sperrschicht, die nicht in den Figuren dargestellt sind, durch Abscheidungsprozesse.
  • 18 zeigt ein Ablaufdiagramm von einigen Ausführungsformen des Verfahrens 1800 zur Herstellung eines integrierten Chips mit einer Verbindungsstruktur mit einer sich vermischenden Sperrschicht.
  • Das beschriebene Verfahren 1800 wird nachstehend zwar als eine Reihe von Schritten oder Ereignissen dargestellt und beschrieben, aber es dürfte wohlverstanden sein, dass die dargestellte Reihenfolge dieser Schritte oder Ereignisse nicht in einem beschränkenden Sinn ausgelegt werden darf. Zum Beispiel können einige Schritte in anderen Reihenfolgen und/oder gleichzeitig mit anderen Schritten oder Ereignissen als denen ausgeführt werden, die hier dargestellt und/oder beschrieben werden. Darüber hinaus sind möglicherweise nicht alle dargestellten Schritte erforderlich, um hier einen oder mehrere Aspekte oder Ausführungsformen der Beschreibung zu implementieren. Außerdem können ein oder mehrere der hier beschriebenen Schritte in nur einem Schritt oder in mehreren getrennten Schritten und/oder Phasen ausgeführt werden.
  • In 1802 wird eine Transistorstruktur hergestellt und von einer unteren ILD-Schicht umschlossen. Die Transistorstruktur umfasst eine Gateelektrode, die über einem Substrat hergestellt wird, und ein Paar von Source/Drain-Bereichen, die auf gegenüberliegenden Seiten der Gateelektrode angeordnet werden. Ein Seitenwandabstandhalter wird entlang der Gateelektrode hergestellt und belegt oder bedeckt Seitenwände der Gateelektrode. Bei einigen Ausführungsformen wird eine erste Ätzstoppschicht hergestellt, die eine Oberseite der Source/Drain-Bereiche bedeckt und sich entlang der Gateelektrode erstreckt. Die Gateelektrode kann durch einen Ersatzgateprozess hergestellt werden, bei dem ein Gatevorläufer entfernt wird und durch ein dielektrisches High-κ-Material und ein Metallgatematerial ersetzt wird. Die zeigen Schnittansichten 400-500 von einigen Ausführungsformen entsprechend dem Schritt 1802.
  • In 1804 wird bei einigen Ausführungsformen die Gateelektrode ausgespart, und eine Gate-Vorläufer-Verkappungsschicht wird auf der ausgesparten Oberseite der Gateelektrode hergestellt. Bei einigen Ausführungsformen weist die Gate-Vorläufer-Verkappungsschicht Silizium, Siliziumnitrid oder Metalloxid auf oder ist daraus hergestellt. Die 6-7 zeigen Schnittansichten 600-700 von einigen Ausführungsformen gemäß Schritt 1804.
  • In 1806 wird ein unterer Source/Drain-Kontakt hergestellt, der auf einem Source/Drain-Bereich der Transistorstruktur in dem Substrat aufsetzt. Bei einigen Ausführungsformen wird eine Öffnung durch teilweises oder vollständiges Entfernen der unteren ILD-Schicht hergestellt, wobei die Gate-Vorläufer-Verkappungsschicht an Ort und Stelle ist. Ein leitfähiges Material wird dann als der untere Source/Drain-Kontakt in die Öffnung gefüllt. Die Gate-Vorläufer-Verkappungsschicht schützt die darunter befindliche Gateelektrode davor, während der Herstellung des unteren Source/Drain-Kontakts freigelegt zu werden. Die 8-9 zeigen Schnittansichten 900-1000 von einigen Ausführungsformen gemäß Schritt 1806.
  • In 1808 wird bei einigen Ausführungsformen die Gate-Vorläufer-Verkappungsschicht durch eine Gate-Verkappungsschicht mit einer kleineren Dielektrizitätskonstante ersetzt. Bei einigen Ausführungsformen weist die Gate-Verkappungsschicht Siliziumdioxid oder ein dielektrisches Low-κ-Material mit einer Dielektrizitätskonstante kleiner als 3,9 auf oder ist daraus hergestellt. Folglich wird die mit der Gate-Verkappungsschicht in Zusammenhang stehende parasitäre Kapazität verringert und die Leistungsfähigkeit der Vorrichtung kann dadurch verbessert werden. 10 zeigt eine Schnittansicht 1000 von einigen Ausführungsformen gemäß Schritt 1808.
  • In 1810 kann bei einigen Ausführungsformen der untere Source/Drain-Kontakt ausgespart werden und eine Source/Drain-Verkappungsschicht kann auf dem unteren Source/Drain-Kontakt hergestellt werden, um eine Öffnung in einem oberen Teil der unteren Ätzstoppschicht zu füllen. Bei einigen Ausführungsformen kann die Source/Drain-Verkappungsschicht durch Abscheiden eines dielektrischen Materials und einem anschließenden CMP-Prozess hergestellt werden. Die 11-12 zeigen Schnittansichten 1100-1200 von einigen Ausführungsformen gemäß Schritt 1810.
  • In 1812 wird eine obere ILD-Schicht über der Gate-Verkappungsschicht und der Source/Drain-Verkappungsschicht hergestellt. Eine obere Ätzstoppschicht kann vor dem Herstellen der oberen ILD-Schicht hergestellt werden. 13 zeigt eine Schnittansicht 1300 von einigen Ausführungsformen gemäß Schritt 1812.
  • In 1814 wird eine Mehrzahl von Öffnungen durch die obere ILD-Schicht und die obere Ätzstoppschicht und weiter durch die Gate-Verkappungsschicht und die Source/Drain-Verkappungsschicht hergestellt, um die Gateelektrode und den unteren Source/Drain-Kontakt freizulegen. Die Mehrzahl von Öffnungen wird dann mit einem Metallmaterial gefüllt, um eine Mehrzahl von Kontakten für Gateelektrode, Source/Drain-Bereiche, Body-Kontaktbereiche und/oder andere Vorrichtungselemente herzustellen. Bei einigen Ausführungsformen weist das Metallmaterial Wolfram, Cobalt, Ruthenium, Titannitrid, Tantalnitrid oder andere geeignete Metalle auf. Die 14-17 zeigen Schnittansichten 1400-1700 von einigen Ausführungsformen gemäß Schritt 1814.
  • Die vorliegende Offenbarung betrifft somit eine neue integrierte Schaltkreisvorrichtung, die eine Gate-Verkappungsschicht auf einer ausgesparten Gateelektrode, eine Source/Drain-Verkappungsschicht auf einem ausgesparten Source/Drain-Kontakt oder beides aufweist, um vor Leckstrom zu schützen oder diesen zu verhindern. Die Gate-Verkappungsschicht und/oder die Source/Drain-Verkappungsschicht kann dielektrische Materialien mit relativ kleiner Dielektrizitätskonstante (z. B. dielektrisches Low-κ-Material mit einer Dielektrizitätskonstanten kleiner als 3,9) aufweisen, so dass parasitäre Kapazität minimiert werden kann.
  • Bei einigen Ausführungsformen betrifft die vorliegende Offenbarung dementsprechend eine integrierte Schaltkreisvorrichtung. Eine Transistorstruktur ist über einem Substrat angeordnet und weist ein Paar von Source/Drain-Bereichen und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen auf. Eine untere Zwischenschichtdielektrikum(ILD)-Schicht (ILD: interlayer dielectric) ist über dem Paar von Source/Drain-Bereichen angeordnet und umschließt die Gateelektrode. Die Gateelektrode ist gegenüber der Oberseite der unteren ILD-Schicht ausgespart. Eine Gate-Verkappungsschicht ist auf der Gateelektrode angeordnet. Die Oberseite der Gate-Verkappungsschicht ist ausgerichtet zu oder koplanar mit der Oberseite der unteren ILD-Schicht.
  • Bei anderen Ausführungsformen betrifft die vorliegende Offenbarung eine integrierte Schaltkreisvorrichtung. Eine Transistorstruktur ist über einem Substrat angeordnet und weist ein Paar von Source/Drain-Bereichen und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen auf. Eine Gate-Verkappungsschicht ist auf der Gateelektrode angeordnet. Eine untere Ätzstoppschicht belegt Seitenwände der Gateelektrode und der Gate-Verkappungsschicht. Ein unterer Source/Drain-Kontakt ist auf einer Seite der unteren Ätzstoppschicht gegenüber der Gateelektrode angeordnet und setzt auf einem ersten Source/Drain-Bereich des Paares von Source/Drain-Bereichen auf.
  • Bei noch anderen Ausführungsformen betrifft die vorliegende Offenbarung ein Verfahren zur Herstellung einer integrierten Schaltkreisvorrichtung. Das Verfahren umfasst das Herstellen eine Transistorstruktur, die über einem Substrat angeordnet ist und ein Paar von Source/Drain-Bereichen und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen aufweist und das Herstellen einer unteren Ätzstoppschicht und einer unteren Zwischenschichtdielektrikum(ILD)-Schicht (ILD: interlayer dielectric) über dem Paar von Source/Drain-Bereichen, die die Gateelektrode umschließt. Das Verfahren umfasst weiterhin das Aussparen der Gateelektrode und das Herstellen einer Gate-Vorläufer-Verkappungsschicht auf der ausgesparten Gateelektrode und Herstellen eines unteren Source/Drain-Kontakt auf einem Source/Drain-Bereich des Paares von Source/Drain-Bereichen. Das Verfahren umfasst weiterhin das Ersetzen der Gate-Vorläufer-Verkappungsschicht durch eine Gate-Verkappungsschicht, wobei eine Dielektrizitätskonstante der Gate-Verkappungsschicht kleiner als die der Gate-Vorläufer-Verkappungsschicht ist, und Herstellen einer oberen ILD-Schicht über der unteren ILD-Schicht und der Gate-Verkappungsschicht. Das Verfahren umfasst weiterhin das Herstellen eines Gatekontakts, der sich durch die obere ILD-Schicht und die Gate-Verkappungsschicht erstreckt und auf der Gateelektrode aufsetzt.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Offenbarung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Offenbarung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/081423 [0001]

Claims (20)

  1. Integrierte Schaltkreisvorrichtung mit: einer Transistorstruktur, die über einem Substrat angeordnet ist und ein Paar von Source/Drain-Bereichen und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen aufweist; einer unteren ILD-Schicht, die über dem Paar von Source/Drain-Bereichen angeordnet ist und die Gateelektrode umschließt, wobei die Gateelektrode gegenüber der Oberseite der unteren ILD-Schicht ausgespart ist; und einer Gate-Verkappungsschicht, die auf der Gateelektrode angeordnet ist; wobei die Gate-Verkappungsschicht eine Oberseite aufweist, die zu der Oberseite der unteren ILD-Schicht ausgerichtet ist.
  2. Integrierte Schaltkreisvorrichtung nach Anspruch 1, wobei die Gate-Verkappungsschicht ein dielektrisches Low-κ-Material aufweist.
  3. Integrierte Schaltkreisvorrichtung nach Anspruch 1 oder 2, die weiterhin Folgendes aufweist: eine obere ILD-Schicht, die über der Gate-Verkappungsschicht und der unteren ILD-Schicht angeordnet ist; und einen Gateelektrodenkontakt, der sich durch die obere ILD-Schicht und die Gate-Verkappungsschicht erstreckt und auf der Gateelektrode aufsetzt.
  4. Integrierte Schaltkreisvorrichtung nach Anspruch 3, die weiterhin Folgendes aufweist: einen unteren Source/Drain-Kontakt, der auf einem Source/Drain-Bereich des Paares von Source/Drain-Bereichen angeordnet ist; eine Source/Drain-Verkappungsschicht, die auf dem unteren Source/Drain-Kontakt angeordnet ist; und einen oberen Source/Drain-Kontakt, der sich durch die obere ILD-Schicht und die Source/Drain-Verkappungsschicht erstreckt und auf dem unteren Source/Drain-Kontakt aufsetzt.
  5. Integrierte Schaltkreisvorrichtung nach Anspruch 4, wobei die Source/Drain-Verkappungsschicht Siliziumcarbid oder Siliziumnitrid aufweist.
  6. Integrierte Schaltkreisvorrichtung nach Anspruch 4 oder 5, wobei die Gate-Verkappungsschicht und die Source/Drain-Verkappungsschicht Oberseiten aufweisen, die zueinander ausgerichtet sind.
  7. Integrierte Schaltkreisvorrichtung nach einem der Ansprüche 4 bis 6, die weiterhin Folgendes aufweist: eine untere Ätzstoppschicht, die Seitenwände der unteren ILD-Schicht belegt; wobei die untere Ätzstoppschicht Seitenwände des unteren Source/Drain-Kontakts kontaktiert.
  8. Integrierte Schaltkreisvorrichtung nach Anspruch 7, wobei die untere Ätzstoppschicht eine Oberseite aufweist, die zu den Oberseiten der Gate-Verkappungsschicht und der Source/Drain-Verkappungsschicht ausgerichtet ist.
  9. Integrierte Schaltkreisvorrichtung nach einem der Ansprüche 4 bis 8, die weiterhin Folgendes aufweist: eine obere Ätzstoppschicht, die zwischen der oberen ILD-Schicht und der unteren ILD-Schicht angeordnet ist; wobei eine Unterseite der oberen Ätzstoppschicht die Gate-Verkappungsschicht und die Source/Drain-Verkappungsschicht kontaktiert.
  10. Integrierte Schaltkreisvorrichtung nach einem der Ansprüche 4 bis 9, die weiterhin Folgendes aufweist: einen Body-Kontakt, der einen ersten Teil und einen zweiten Teil aufweist, wobei der erste Teil sich durch die obere ILD-Schicht und die Gate-Verkappungsschicht erstreckt, und wobei der zweite Teil sich durch die obere ILD-Schicht und die Source/Drain-Verkappungsschicht erstreckt.
  11. Integrierte Schaltkreisvorrichtung mit: einer Transistorstruktur, die über einem Substrat angeordnet ist und ein Paar von Source/Drain-Bereichen und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen aufweist; einer Gate-Verkappungsschicht, die auf der Gateelektrode angeordnet ist; einer unteren Ätzstoppschicht, die Seitenwände der Gateelektrode und der Gate-Verkappungsschicht belegt; und einem unteren Source/Drain-Kontakt, der auf einer Seite der unteren Ätzstoppschicht gegenüber der Gateelektrode angeordnet ist und auf einem Source/Drain-Bereich des Paares von Source/Drain-Bereichen aufsetzt.
  12. Integrierte Schaltkreisvorrichtung nach Anspruch 11, die weiterhin Folgendes aufweist: eine Source/Drain-Verkappungsschicht, die auf dem unteren Source/Drain-Kontakt angeordnet ist.
  13. Integrierte Schaltkreisvorrichtung nach Anspruch 12, die weiterhin Folgendes aufweist: eine obere ILD-Schicht, die über der Gate-Verkappungsschicht, der unteren Ätzstoppschicht und der Source/Drain-Verkappungsschicht angeordnet ist.
  14. Integrierte Schaltkreisvorrichtung nach Anspruch 13, die weiterhin Folgendes aufweist: einen oberen Source/Drain-Kontakt, der sich durch die obere ILD-Schicht und die Source/Drain-Verkappungsschicht erstreckt und auf dem unteren Source/Drain-Kontakt aufsetzt.
  15. Integrierte Schaltkreisvorrichtung nach Anspruch 13 oder 14, die weiterhin Folgendes aufweist: einen Gateelektrodenkontakt, der sich durch die obere ILD-Schicht und die Gate-Verkappungsschicht erstreckt und auf der Gateelektrode aufsetzt.
  16. Integrierte Schaltkreisvorrichtung nach einem der Ansprüche 13 bis 15, die weiterhin Folgendes aufweist: einen Body-Kontakt mit einem ersten Teil und einem zweiten Teil, wobei der erste Teil sich durch die obere ILD-Schicht und die Gate-Verkappungsschicht erstreckt, und wobei der zweite Teil sich durch die obere ILD-Schicht und die Source/Drain-Verkappungsschicht erstreckt.
  17. Integrierte Schaltkreisvorrichtung nach einem der Ansprüche 11 bis 16, die weiterhin Folgendes aufweist: einen Seitenwandabstandshalter, der zwischen der Gateelektrode und der unteren Ätzstoppschicht angeordnet ist.
  18. Integrierte Schaltkreisvorrichtung nach Anspruch 17, die weiterhin Folgendes aufweist: eine obere Ätzstoppschicht, die auf der Gate-Verkappungsschicht, der unteren Ätzstoppschicht und dem Seitenwandabstandshalter angeordnet ist.
  19. Verfahren zur Herstellung einer integrierten Schaltkreisvorrichtung mit folgenden Schritten: Herstellen einer Transistorstruktur, die über einem Substrat angeordnet ist und ein Paar von Source/Drain-Bereichen und eine Gateelektrode zwischen dem Paar von Source/Drain-Bereichen aufweist; Herstellen einer unteren Ätzstoppschicht und einer unteren ILD-Schicht über dem Paar von Source/Drain-Bereichen, die die Gateelektrode umschließt; Aussparen der Gateelektrode und Herstellen einer Gate-Vorläufer-Verkappungsschicht auf der ausgesparten Gateelektrode; Herstellen eines unteren Source/Drain-Kontakts auf einem Source/Drain-Bereich des Paares von Source/Drain-Bereichen; Ersetzen der Gate-Vorläufer-Verkappungsschicht durch eine Gate-Verkappungsschicht, wobei die Gate-Verkappungsschicht eine Dielektrizitätskonstante aufweist, die kleiner als die Dielektrizitätskonstante der Gate-Vorläufer-Verkappungsschicht ist; Herstellen einer oberen ILD-Schicht über der unteren ILD-Schicht und der Gate-Verkappungsschicht; und Herstellen eines Gatekontakts durch die obere ILD-Schicht und die Gate-Verkappungsschicht, der auf der Gateelektrode aufsetzt.
  20. Verfahren nach Anspruch 19, dass weiterhin Folgendes umfasst: Herstellen einer Source/Drain-Verkappungsschicht auf dem unteren Source/Drain-Kontakt vor dem Herstellen der oberen ILD-Schicht; und Herstellen eines oberen Source/Drain-Kontakts durch die obere ILD-Schicht und die Source/Drain-Verkappungsschicht, der auf dem unteren Source/Drain-Kontakt aufsetzt.
DE102021100042.4A 2020-09-22 2021-01-05 Middle-of-Line-Verbindungsstruktur und Herstellungsverfahren Active DE102021100042B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063081423P 2020-09-22 2020-09-22
US63/081,423 2020-09-22
US17/134,830 US20220093757A1 (en) 2020-09-22 2020-12-28 Middle-of-line interconnect structure and manufacturing method
US17/134,830 2020-12-28

Publications (2)

Publication Number Publication Date
DE102021100042A1 true DE102021100042A1 (de) 2022-03-24
DE102021100042B4 DE102021100042B4 (de) 2023-03-30

Family

ID=79232644

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021100042.4A Active DE102021100042B4 (de) 2020-09-22 2021-01-05 Middle-of-Line-Verbindungsstruktur und Herstellungsverfahren

Country Status (5)

Country Link
US (2) US20220093757A1 (de)
KR (1) KR102606556B1 (de)
CN (1) CN113921462A (de)
DE (1) DE102021100042B4 (de)
TW (1) TWI791214B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220132139A (ko) * 2021-03-23 2022-09-30 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017117796A1 (de) 2017-04-20 2018-10-25 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur bildung von kontaktsteckern mit verringerter korrosion
DE102018107927A1 (de) 2018-03-28 2019-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verbindungsstruktur für Logikschaltkreis
US20190341309A1 (en) 2017-01-23 2019-11-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101076888B1 (ko) * 2009-06-29 2011-10-25 주식회사 하이닉스반도체 반도체 소자의 연결 배선체 및 형성 방법
KR101602451B1 (ko) * 2010-01-22 2016-03-16 삼성전자주식회사 콘택 플러그를 갖는 반도체소자의 형성방법 및 관련된 소자
US9349812B2 (en) * 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
US9231067B2 (en) * 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US20160372413A1 (en) * 2015-06-17 2016-12-22 Globalfoundries Inc. Unique bi-layer etch stop to protect conductive structures during a metal hard mask removal process and methods of using same
US9997522B2 (en) * 2015-12-03 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10163704B2 (en) * 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9666533B1 (en) * 2016-06-30 2017-05-30 International Business Machines Corporation Airgap formation between source/drain contacts and gates
US9825031B1 (en) * 2016-08-05 2017-11-21 Globalfoundries Inc. Methods of forming a high-k contact liner to improve effective via separation distance and the resulting devices
US10050149B1 (en) * 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10157790B1 (en) * 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10283617B1 (en) * 2017-11-01 2019-05-07 Globalfoundries Inc. Hybrid spacer integration for field-effect transistors
US10636697B2 (en) * 2017-11-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US10651292B2 (en) * 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US10950732B2 (en) * 2018-09-21 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US10818768B1 (en) * 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure
US20210057273A1 (en) * 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11164948B2 (en) * 2019-09-24 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor and method of manufacturing the same
US11462471B2 (en) * 2019-09-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Middle-of-line interconnect structure and manufacturing method
DE102020110480A1 (de) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line-interconnect-struktur und herstellungsverfahren
US11189525B2 (en) * 2020-02-21 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Via-first process for connecting a contact and a gate electrode
US11652149B2 (en) * 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US20230197802A1 (en) * 2021-12-16 2023-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Connection between gate and source/drain feature
US20230238279A1 (en) * 2022-01-21 2023-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190341309A1 (en) 2017-01-23 2019-11-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
DE102017117796A1 (de) 2017-04-20 2018-10-25 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur bildung von kontaktsteckern mit verringerter korrosion
DE102018107927A1 (de) 2018-03-28 2019-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verbindungsstruktur für Logikschaltkreis

Also Published As

Publication number Publication date
US20220093757A1 (en) 2022-03-24
KR20220039525A (ko) 2022-03-29
US20230378291A1 (en) 2023-11-23
CN113921462A (zh) 2022-01-11
TWI791214B (zh) 2023-02-01
DE102021100042B4 (de) 2023-03-30
KR102606556B1 (ko) 2023-11-24
TW202213683A (zh) 2022-04-01

Similar Documents

Publication Publication Date Title
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE112011102446B4 (de) 3D-Durchkontaktierungskondensator mit einer potentialfreien leitfähigen Platte für eine verbesserte Zuverlässigkeit
DE102014108872B4 (de) Selbstausgerichtete Verbindung mit Schutzschicht
DE102019106654A1 (de) Integrierte Schaltkreise mit vergrabenen Verbindungsleitern
DE102017127542B4 (de) Struktur und verfahren für einen gate-isolierstecker
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102020125837A1 (de) Kapazitätsreduzierung für eine vorrichtung mit einer rückseitigen leistungsversorgungsschiene
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102020135005A1 (de) Drainseitige vertiefung für vorrichtung mit rückseitiger stromschiene
DE102008025708A1 (de) Kontaktstruktur für FinFET-Bauelement
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102020127584B4 (de) Dreidimensionale speichervorrichtung mit ferroelektrischemmaterial
DE102016114923B4 (de) Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung
DE102019127997A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102020110480A1 (de) Middle-of-line-interconnect-struktur und herstellungsverfahren
DE102020132537A1 (de) Dreidimensionale Speichervorrichtung und Herstellungsverfahren dafür
DE102020127451B4 (de) Verfahren zur Bildung einer rückseitigen Langkanalstromschienenvorrichtung und zugehörige Halbleitervorrichtung
DE102021100042B4 (de) Middle-of-Line-Verbindungsstruktur und Herstellungsverfahren
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102020132089A1 (de) Passivierungsstruktur mit erhöhter dicke für metallpads
DE102020125349A1 (de) Hohlraum zwischen drähten für niedrige kapazität
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln
DE102021111813A1 (de) Multigate-vorrichtung mit luftspaltabstandhalter und rückseitigem schienenkontakt und deren herstellungsverfahren
DE102020113919A1 (de) Halbleiter-bauelementstruktur mit einem mehrschichtigen leitfähigen strukturelement und verfahren zu dessen herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final