DE102019130285A1 - Source- und drain-epitaxialschichten - Google Patents

Source- und drain-epitaxialschichten Download PDF

Info

Publication number
DE102019130285A1
DE102019130285A1 DE102019130285.4A DE102019130285A DE102019130285A1 DE 102019130285 A1 DE102019130285 A1 DE 102019130285A1 DE 102019130285 A DE102019130285 A DE 102019130285A DE 102019130285 A1 DE102019130285 A1 DE 102019130285A1
Authority
DE
Germany
Prior art keywords
layer
melting point
source
fin
drain epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019130285.4A
Other languages
English (en)
Inventor
Wen-Hsien TU
Chee-Wee Liu
Fang-Liang LU
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019130285A1 publication Critical patent/DE102019130285A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

Die vorliegende Erfindung ist auf Halbleiterstrukturen mit Source-/Drain-Epitaxialstapeln gerichtet, die eine obere Schicht mit niedrigem Schmelzpunkt und eine untere Schicht mit hohem Schmelzpunkt umfassen. Die Halbleiterstruktur weist zum Beispiel eine auf einer Finne angeordnete Gatestruktur und eine Aussparung auf, die in einem Teil der Finne erzeugt ist, der nicht von der Gatestruktur bedeckt ist. Außerdem weist die Halbleiterstruktur einen Source-/Drain-Epitaxialstapel auf, der in der Aussparung angeordnet ist, wobei der Source-/Drain-Epitaxialstapel eine untere Schicht und eine obere Schicht mit einer höheren Aktivierte-Dotanden-Konzentration als die untere Schicht umfasst.

Description

  • Hintergrund
  • Dotanden in einem Halbleitermaterial können nur dann als Donatoren oder Akzeptoren fungieren, wenn sie Teil einer Gitterstruktur eines Halbleiters sind. Aus diesem Grund müssen Dotanden in einem Halbleitermaterial (z. B. Silizium) aktiviert werden. Ein aktivierter Dotand kann als ein Donator oder ein Akzeptor von Elektronen fungieren, d. h., er kann sich wie ein n- oder p-Dotand in Bezug zu dem Halbleitermaterial verhalten. Wenn der Dotand einen Zwischengitterplatz in dem Halbleitermaterial einnimmt, wird er nicht als aktiviert angesehen und ist als ein Dotand unwirksam (d. h., er kann nicht als ein Donator oder ein Akzeptor fungieren). Einem dotierten Halbleitermaterial kann Wärmeenergie zugeführt werden, um die Dotanden von einem Zwischengitterplatz zu Kristallplätzen zu verschieben - ein Prozess, der als „Aktivierung“ oder „Kristallaktivierung“ bezeichnet wird.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist eine Teilschnittansicht einer beispielhaften Matrix von Transistorstrukturen, die auf Finnen hergestellt sind und einkristalline Source-/Drain-Stapel haben, während eines Lasertemperprozesses gemäß einigen Ausführungsformen.
    • 2 ist eine Teilschnittansicht einer Transistorstruktur, die Source-/Drain-Epitaxialstapel mit einer amorphen oberen Schicht mit niedrigem Schmelzpunkt und einer einkristallinen unteren Schicht mit hohem Schmelzpunkt aufweist, während eines Lasertemperprozesses gemäß einigen Ausführungsformen.
    • 3 ist ein SIMS-Profil (SIMS: Sekundärionen-Massenspektroskopie), das sich nach einem Lasertemperprozess mit einem Ausbreitungswiderstandsprofil (SRP) für einen Source-/Drain-Epitaxialstapel überlagert, der eine obere Schicht mit niedrigem Schmelzpunkt und eine untere Schicht mit hohem Schmelzpunkt umfasst, gemäß einigen Ausführungsformen.
    • 4 ist eine Schnittansicht einer Transistorstruktur, die Source-/Drain-Epitaxialstapel mit einer lasergetemperten einkristallinen oberen Schicht und einer einkristallinen unteren Schicht aufweist, gemäß einigen Ausführungsformen.
    • 5 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen eines Source-/Drain-Epitaxialstapels, der eine obere Schicht mit niedrigem Schmelzpunkt und eine untere Schicht mit hohem Schmelzpunkt umfasst, gemäß einigen Ausführungsformen.
    • 6 zeigt Teilschnittansichten einer Transistorstruktur entlang einer x- und einer y-Richtung, gemäß einigen Ausführungsformen.
    • 7 zeigt Teilschnittansichten von ausgesparten Finnenteilen einer Transistorstruktur entlang der x- und der y-Richtung, gemäß einigen Ausführungsformen.
    • 8 zeigt Teilschnittansichten einer Transistorstruktur nach der Herstellung von Source-/Drain-Epitaxialstapeln, die eine obere Schicht mit niedrigem Schmelzpunkt und eine untere Schicht mit hohem Schmelzpunkt umfassen, auf ausgesparten Teilen einer Finne entlang der x- und der y-Richtung, gemäß einigen Ausführungsformen.
    • 9 zeigt Teilschnittansichten einer Transistorstruktur nach der Herstellung von Kontakten auf Source-/Drain-Epitaxialstapeln, die eine obere Schicht mit niedrigem Schmelzpunkt und eine untere Schicht mit hohem Schmelzpunkt umfassen, entlang der x- und der y-Richtung, gemäß einigen Ausführungsformen.
    • 10 zeigt Teilschnittansichten einer Transistorstruktur entlang der x- und der y-Richtung, gemäß einigen Ausführungsformen.
    • 11 zeigt Teilschnittansichten von ausgesparten Finnenteilen einer Transistorstruktur entlang der x- und der y-Richtung, gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Der hier verwendete Begriff „Nenn-“ bezeichnet einen gewünschten oder Sollwert einer Eigenschaft oder eines Parameters für eine Komponente oder einen Prozessschritt, die/der während der Entwurfsphase für ein Produkt oder einen Prozess festgelegt wird, zusammen mit einem Bereich von Werten über und/oder unter dem Sollwert. Der Bereich von Werten ergibt sich normalerweise aus geringfügigen Schwankungen bei Herstellungsprozessen oder -toleranzen.
  • Bei einigen Ausführungsformen können die Begriffe „etwa“ und „im Wesentlichen“ einen Wert einer gegebenen Größe angeben, die innerhalb von 5 % des Werts (z. B. ±1 %, ±2 %, ±3 %, ±4 %, ±5 % des Werts) variiert. Bei einigen Ausführungsformen können die Begriffe „etwa“ und „im Wesentlichen“ andere Werte angeben, die auf Funktionen basieren, die zum Beispiel von einem Herstellungsprozess, einem Herstellungsschritt oder einer Fertigungsanlage bereitgestellt werden.
  • Der hier verwendete Begriff „vertikal“ bedeutet nominell senkrecht zu der Oberfläche eines Substrats.
  • Diffusion ist eine grundlegende Eigenschaft, die die Bewegung eines Materials (z. B. eines Dotanden) durch ein anderes Material (z. B. ein Halbleiter-Grundmaterial) beschreibt. Eine Diffusion erfolgt von Bereichen mit einer relativ hohe Dotierungskonzentration in Bereiche mit einer niedrigen Dotierungskonzentration. Unterschiedliche Dotandenspezies können unterschiedliche Diffusionsvermögen in einem Halbleiter-Grundmaterial wie Silizium (Si), Siliziumgermanium (SiGe), Silizium-Kohlenstoff (SiC) oder Silizium-Phosphor (SiP) haben, und je höher das Diffusionsvermögen ist, umso schneller bewegt sich der Dotand in das Halbleiter-Grundmaterial. Da die Diffusionsgeschwindigkeit von Dotandenspezies in einem Halbleiter-Grundmaterial mit der Temperatur steigt, ist die thermische Diffusion der wichtigste Mechanismus, der in der Halbleiterfertigung zum Bewegen der Dotandenspezies durch das Gitter des Halbleiters verwendet wird. Es gibt zwei grundlegende Verfahren zum Bereitstellen von Wärmeenergie für die Diffusion von Dotanden: Ofentempern und rasches thermisches Tempern (RTA).
  • Ofentempern ist ein Verfahren zum Tempern des Halbleiter-Grundmaterials (z. B. eines Halbleiterwafers) in einem Heißwand-Ofen zum Beispiel bei etwa 800°C bis etwa 910 °C für eine Dauer von etwa 30 min. Temperzyklen mit dieser Dauer und Temperatur können jedoch zu einer extensiven (d. h., nicht streng kontrollierten) Dotandendiffusion führen, die für einige Fertigungsprozesse für integrierte Schaltkreise (ICs) (z. B. eine Source-/Drain-Aktivierung) nicht geeignet ist. Außerdem wird beim Ofentempern Wärme nicht lokal, sondern global angewendet. Das heißt, jede Schicht und/oder Struktur, die sich zum Zeitpunkt des Ofentemperns auf dem Halbleitermaterial befinden, werden für die Dauer des Temperprozesses den erhöhten Temperaturen ausgesetzt. Dies kann Beschränkungen für die IC-Fertigung darstellen.
  • In einem RTA-Prozess wird das Halbleitermaterial unter Verwendung eines schnellen Anstiegs, z. B. im ms- oder ns-Bereich, und einer kurzen Verweilzeit, z. B. im Sekunden- oder Subsekundenbereich, bei einer Solltemperatur, z. B. von etwa 910 °C oder mehr, getempert. Außerdem kann der RTA-Prozess selektiv sein und Wärme lokal oder global bereitstellen. Es gibt zwei Arten des RTA: thermisches Tempern mit Lampen (z. B. Wolframhalogenlampen) oder einem Laser (z. B. zum Lasertempern). Das RTA mit Lampen kann ein globales Tempern sein, da die Halbleiter-Oberflächen (z. B. die Oberseite, die Unterseite oder beide) gleichzeitig mit den Lampen bestrahlt werden. Hingegen bietet Lasertempern auf Grund seiner Strahlgröße (z. B. etwa 25 mm2 bis etwa 100 mm2) und seiner präzisen Energie-Abgabe eine hohe Positionsgenauigkeit und eine hohe Wärmeübertragungspräzision. Wegen dieser Eigenschaften ist Lasertempern ein bevorzugtes Verfahren für die Source-/Drain-Dotandenaktivierung bei der IC-Fertigung.
  • Beispielhaft und nicht beschränkend tastet während eines Lasertemperprozesses ein Impulslaserstrahl die Halbleiter-Oberfläche (z. B. die Oberfläche des Halbleiterwafers) mit einem Schritt von etwa 100 mm/s ab. Auf Grund der Laserstrahlgröße (z. B. etwa 25 mm2 bis etwa 100 mm2) kann ein lokal begrenztes Tempern erreicht werden. Die Tempertiefe kann mit Prozessbedingungen wie Laser-Energie oder -Wellenlänge, Anzahl von Impulsen je Stelle und Verweilzeit des Laserstrahls (d. h., die Zeit, die der Laser auf jeder Stelle zubringt) gesteuert werden.
  • Die Dotandenaktivierung für Source-/Drain-Epitaxialschichten kann jedoch auch bei einem Lasertemperprozess schwierig sein. Das liegt daran, dass Source-/Drain-Bereiche eines Transistors im Vergleich zu der Größe des Laserstrahls klein sind. Dieses Problem wird noch durch die Größe der Transistoren und ihrer Source-/Drain-Bereiche verschärft, die mit jeder Technologiegeneration (z. B. mit jedem Technologieknoten) abnimmt. Wenn zum Beispiel die Source-/Drain-Bereiche kleiner werden, kann sich die Wärme von dem Laserstrahl zu Bereichen außerhalb der Source-/Drain-Bereiche verteilen, wie etwa zu dem Finnenbereich, der sich zwischen den Source- und Drain-Bereichen des Transistors befindet. Dies kann mit 1 beschrieben werden, die eine Teilschnittansicht entlang der x-Achse einer beispielhaften Matrix von Transistorstrukturen 100 ist, die auf Finnen 110 hergestellt sind und einkristalline Source-/Drain-Stapel 120 und Kanalbereiche 130 umfassen. Die Finnen 110 sind auf einem Substrat 140 angeordnet. Wenn ein Laserstrahl 150 beginnt, die Oberfläche des Substrats 140 abzutasten, entsteht durch die von dem Laserstrahl 150 erzeugte Wärme eine Schmelzfront 160, die außerhalb der einkristallinen Source-/Drain-Stapel 120 (z. B. bis zu den Finnen 110 und dem Kanalbereich 130) verläuft. Dadurch kann sich die Finne 110 verformen, was die elektrischen Eigenschaften des Transistors beeinträchtigt. Um die Finnenverformung zu verringern, müssen die Lasertemperbedingungen so modifiziert werden, dass die von dem Laserstrahl erzeugte Wärme verringert wird und die Schmelzfront 160 besser kontrolliert wird. Die Verringerung der von dem Laserstrahl erzeugten Wärme kann jedoch Auswirkungen auf den Dotandenaktivierungsprozess in den einkristallinen Source-/Drain-Stapeln 120 haben. Durch diese Änderung werden zum Beispiel weniger Dotanden aktiviert.
  • Um diese Probleme anzugehen, sind Ausführungsformen, die hier beschrieben werden, auf die Herstellung von Source-/Drain-Stapeln mit einer „oberen“ Schicht mit niedrigem Schmelzpunkt und einer „unteren“ Schicht mit hohem Schmelzpunkt gerichtet. Bei einigen Ausführungsformen ist die obere Schicht mit niedrigem Schmelzpunkt im Abscheidungszustand polykristallin oder amorph, und die untere Schicht mit hohem Schmelzpunkt ist im Abscheidungszustand einkristallin. Bei anderen Ausführungsformen sind sowohl die obere Schicht mit niedrigem Schmelzpunkt als auch die untere Schicht mit hohem Schmelzpunkt im Abscheidungszustand einkristallin oder polykristallin, aber sie haben unterschiedliche Stöchiometrien. Bei einigen Ausführungsformen rekristallisiert die im Abscheidungszustand amorphe obere Schicht mit niedrigem Schmelzpunkt durch den Lasertemperprozess und sie zeigt eine höhere Defektdichte als die untere Schicht mit hohem Schmelzpunkt. Außerdem zeigt die obere Schicht mit niedrigem Schmelzpunkt durch den Lasertemperprozess eine höhere Konzentration von aktivierten Dotanden als die untere Schicht mit hohem Schmelzpunkt. Bei einigen Ausführungsformen werden während des Lasertemperprozesses nur die Dotanden in der oberen Schicht mit niedrigem Schmelzpunkt aktiviert. Bei einigen Ausführungsformen kann für die hier offenbarten Source-/Drain-Epitaxialstapel ein Laserstrahl mit einer reduzierten Leistung verwendet werden. Dadurch kann eine Finnenverformung während des Lasertemperprozesses vermieden werden.
  • 2 ist eine Schnittansicht einer beispielhaften Transistorstruktur 200, die auf Halbleiterfinnen 210 hergestellt ist, entlang der x-Achse. Es ist zu beachten, dass die Länge der Finne parallel zu der in 2 gezeigten x-Achse ist. Die Halbleiterfinne 210 ist auf einem Halbleitersubstrat 220 angeordnet und wird ausgespart, um die Herstellung von Source-/Drain-Epitaxialstapeln 230 zu erleichtern. Die Halbleiterfinne 210 ist durch eine Isolationsschicht 240 seitlich von anderen Transistorstrukturen getrennt. Bei einigen Ausführungsformen umfasst jeder Source-/Drain-Epitaxialstapel 230 eine im Abscheidungszustand amorphe obere Schicht 230A mit niedrigem Schmelzpunkt und eine im Abscheidungszustand einkristalline untere Schicht 230B mit hohem Schmelzpunkt. Hier bezeichnet eine „obere“ Schicht eine Schicht, die entlang der z-Achse weiter weg von einem Halbleitersubstrat 220 angeordnet ist, und eine „untere“ Schicht bezeichnet eine Schicht, die entlang der z-Achse näher an dem Halbleitersubstrat 220 angeordnet ist. Außerdem umfasst der hier verwendete Begriff „amorph“ auch eine polykristalline Mikrostruktur (z. B. eine Mikrostruktur mit einer Gitterperiodizität mit kurzer Reichweite).
  • Bei einigen Ausführungsformen können die Dotanden und das Halbleiter-Grundmaterial, die in dem Source-/Drain-Epitaxialstapel 230 enthalten sind, auf Grund der Art der Transistorstruktur 200 gewählt werden, zum Beispiel in Abhängigkeit davon, ob die Transistorstruktur 200 ein n-Feldeffekttransistor (nFET) oder ein p-Feldeffekttransistor (pFET) ist. Bei einigen Ausführungsformen umfasst der Source-/Drain-Epitaxialstapel eines nFET (d. h., ein n-Source-/Drain-Epitaxialstapel) verspannte Silizium-Kohlenstoff(SiC)- oder verspannte Silizium-Phosphor(SiP)-Schichten, die jeweils mit Phosphor dotiert sind, und ein Source-/Drain-Epitaxialstapel eines pFET (d. h., ein p-Source-/Drain-Epitaxialstapel) umfasst verspannte Siliziumgermanium(SiGe)-Schichten, die mit Bor (B) dotiert sind. Bei einigen Ausführungsformen kann die Menge von P, die in einen n-Source-/Drain-Epitaxialstapel eingebracht wird, bis zu etwa 1 × 1021 Atome/cm-3 betragen, und die Menge von B, die in einen p-Source-/Drain-Epitaxialstapel eingebracht wird, kann ebenfalls bis zu etwa 1 × 1021 Atome/cm-3 betragen. Beispielhaft und nicht beschränkend können P- und B-Dotanden während des Aufwachsens mit geeigneten Vorläufern in die Source-/Drain-Epitaxialstapel 230 eingebracht werden. Außerdem können die obere Schicht 230A mit niedrigem Schmelzpunkt und die untere Schicht 230B mit hohem Schmelzpunkt so aufgewachsen werden, dass sie eine im Wesentlichen gleichgroße P- oder B-Konzentration haben.
  • Bei einigen Ausführungsformen hat die im Abscheidungszustand amorphe obere Schicht 230A mit niedrigem Schmelzpunkt einen Schmelzpunkt von etwa 1420 K (etwa 1147 °C), und die im Abscheidungszustand einkristalline untere Schicht 230B mit hohem Schmelzpunkt hat einen Schmelzpunkt, der höher als etwa 1687 K (etwa 1414 °C) ist. Beide Schichten weisen jedoch das gleiche Material auf und haben eine im Wesentlichen gleiche Stöchiometrie, z. B. ein im Wesentlichen gleichgroßes Si/Ge-Verhältnis für einen SiGe-Source-/Drain-Epitaxialstapel, ein im Wesentlichen gleichgroßes Si/C-Verhältnis für einen SiC-Source-/Drain-Epitaxialstapel oder ein im Wesentlichen gleichgroßes Si/P-Verhältnis für einen SiP-Source-/Drain-Epitaxialstapel. Bei einigen Ausführungsformen ist die Schmelzpunkt-Differenz zwischen der oberen und der unteren Schicht in den Source-/Drain-Epitaxialstapeln 230 auf deren Mikrostruktur (z. B. amorph im Gegensatz zu einkristallin) zurückzuführen. In diesem Beispiel hat die einkristalline untere Schicht 230B mit hohem Schmelzpunkt einen höheren Schmelzpunkt (z. B. mit einer Differenz von etwa 267 K oder etwa 267 °C) als die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt. Dies ist jedoch nicht beschränkend, und es kann eine kleinere Schmelzpunkt-Differenz, z. B. größer als etwa 200 K, zwischen der Schicht mit niedrigem Schmelzpunkt und der Schicht mit hohem Schmelzpunkt verwendet werden. Eine Schmelzpunkt-Differenz, die größer als der Schwellenwert von etwa 200 K ist (z. B. 267 K beträgt), gewährleistet, dass eine Schmelzfront „selektiv“ in der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt mit dem Laserstrahl während eines Lasertemperprozesses erzeugt wird und in dieser Schicht enthalten ist, während die einkristalline untere Schicht 230B mit hohem Schmelzpunkt ein Feststoff bleibt. Wenn die Schmelzpunkt-Differenz zwischen den vorgenannten Schichten gleich und kleiner als etwa 200 K ist, kann sich die von dem Laserstrahl erzeugte Schmelzfront über die Grenzen der amorphen unteren Schicht 230A mit niedrigem Schmelzpunkt ausdehnen, zum Beispiel in die untere Schicht 230B mit hohem Schmelzpunkt und den Finnenbereich hinein. Wie vorstehend dargelegt worden ist, ist dies nicht erwünscht. Daher kann die vorgenannte Selektivität erreicht werden, solange die von dem Laserstrahl während des Lasertemperprozesses erzeugte Wärme die Temperatur des Source-/Drain-Epitaxialstapels 230 über den Schmelzpunkt der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt hinaus und unter den Schmelzpunkt der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt erhöht. Bei einigen Ausführungsformen ist die Temperatur des Source-/Drain-Epitaxialstapels 230 während des Lasertemperprozesses gleich dem oder höher als der Schmelzpunkt der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt und gleich dem oder niedriger als der Schmelzpunkt der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt (z. B. gilt: Schmelzpunkt-Temperatur der amorphen oberen Schicht mit niedrigem Schmelzpunkt ≤ Temperatur des Lasertemperprozesses ≤ Schmelzpunkt-Temperatur der einkristallinen unteren Schicht mit hohem Schmelzpunkt). In diesem Prozessfenster kann ein geschmolzener Bereich wie die in 1 gezeigte Schmelzfront 160 innerhalb der Grenzen der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt entstehen. Bei einigen Ausführungsformen ist der Schmelzpunkt der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt außerdem niedriger als der Schmelzpunkt von umgebenden Materialien wie der Halbleiterfinne 210, der in dem Fall von kristallinem Silizium etwa 1687 K betragen kann (z. B. näher an dem Schmelzpunkt der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt ist). Daher kann während des Lasertemperprozesses eine Verformung der Halbleiterfinne 210 minimiert oder vermieden werden.
  • Da die Bildung einer Schmelzfront in der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt weniger Wärme erfordert, z. B. auf Grund des Schmelzpunkts der amorphen Schicht, kann die Leistung des Laserstrahls reduziert werden. Wenn zum Beispiel ein Source-/Drain-Epitaxialstapel nur einkristalline Schichten wie die einkristalline untere Schicht 230B mit hohem Schmelzpunkt enthält, müsste der Laserstrahl zum Beispiel mit etwa 910 J arbeiten, um eine Schmelzfront zu erzeugen und die Dotanden (z. B. B oder P) zu aktivieren. Bei diesem Leistungsniveau würde der Laserstrahl auch genug Wärme zum Verformen der Halbleiterfinne 210 erzeugen, die einen im Wesentlichen vergleichbaren Schmelzpunkt mit den einkristallinen Schichten des Source-/Drain-Epitaxialstapels hat. Hingegen müsste bei einem Source-/Drain-Epitaxialstapel 230, der eine im Abscheidungszustand amorphe obere Schicht 230A mit niedrigem Schmelzpunkt und eine einkristalline untere Schicht 230B umfasst, der Laserstrahl weniger Wärme erzeugen und folglich mit einer niedrigeren Leistungseinstellung, z. B. 500 J, arbeiten, was bei einigen Ausführungsformen eine Reduzierung um etwa 50 % ist.
  • Bei einigen Ausführungsformen wird die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt so aufgewachsen, dass sie etwa 30 % bis etwa 75% der Gesamtdicke des Source-/Drain-Epitaxialstapels 230 ausmacht. Mit anderen Worten, eine Dicke T1 der im Abscheidungszustand amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt kann etwa 30 % bis etwa 75 % einer Gesamtdicke T des Source-/Drain-Epitaxialstapels 230 betragen (d. h. 30 % T ≤ T1 ≤ 75 % T oder 0,30 ≤ T1/T ≤ 0,75), wie in 2 gezeigt ist. Bei einigen Ausführungsformen fungiert die einkristalline untere Schicht 230B mit hohem Schmelzpunkt während eines Lasertemperprozesses als eine Diffusionssperrschicht für die Dotanden der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt. Das liegt daran, dass in der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt keine Schmelzfront erzeugt wird und daher die Dotanden, die von der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt in die einkristalline untere Schicht 230B mit hohem Schmelzpunkt eindiffundieren, verlangsamt (d. h., blockiert) werden. Wenn die Dicke T1 der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt größer als etwa 75 % der Gesamtdicke T des Source-/Drain-Epitaxialstapels 230 (d. h., T1/T > 0,75) ist, ist die einkristalline untere Schicht 230B mit hohem Schmelzpunkt nicht dick genug, um die Diffusion von Dotanden von der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt zu blockieren. Dadurch werden weniger Dotanden in der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt aktiviert, was wiederum den Widerstand des Source-/Drain-Epitaxialstapels 230 vergrößern kann. Außerdem können durch die Diffusion von Dotanden unerwünschte Kriechwege zwischen Source-/Drain-Epitaxialstapeln 230 und dotierten Bereichen der Halbleiterfinne 210 und/oder dem Halbleitersubstrat 220 entstehen. Darüber hinaus kann eine dickere amorphe obere Schicht 230A mit niedrigem Schmelzpunkt eine längere Verweilzeit und/oder eine höhere Leistungseinstellung für den Laserstrahl während eines Lasertemperprozesses erfordern, was beides negative Auswirkungen auf Bearbeitungszeit und -kosten haben kann. Wenn hingegen die Dicke T1 der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt kleiner als etwa 30 % der Gesamtdicke T (d. h., T1/T > 30 %) ist, kann die Menge von Dotanden, die in der oberen Schicht aktiviert werden, zum Bereitstellen eines annehmbaren Widerstands für den Source-/Drain-Epitaxialstapel 230 unzureichend sein.
  • Bei einigen Ausführungsformen kann die Mikrostruktur, und folglich der Schmelzpunkt, der im Abscheidungszustand amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt und der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt über deren jeweilige Aufwachsbedingungen, wie etwa Aufwachstemperatur und -druck, angepasst werden. Zum Beispiel kann bei einigen Ausführungsformen die einkristalline untere Schicht 230B mit hohem Schmelzpunkt bei einer Temperatur von etwa 650 °C bis etwa 800 °C und einem Druck von etwa 20 Torr bis etwa 300 Torr aufgewachsen werden. Im Gegensatz dazu kann die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt bei einer Temperatur von etwa 450 °C bis etwa 600°C und einem Druck von etwa 300 Torr bis etwa 400 Torr aufgewachsen werden. Mit anderen Worten, eine einkristalline Schicht kann bei einer „höheren“ Temperatur und einem „niedrigeren“ Druck als eine amorphe Epitaxialschicht aufgewachsen werden, die bei einer „niedrigeren“ Temperatur und einem „höheren“ Druck aufgewachsen werden kann. Bei einigen Ausführungsformen können die vorgenannten Bedingungen ebenso für das Aufwachsen von p-Source-/Drain-Epitaxialschichten (z. B. B-dotiertes SiGe) und n-Source-/Drain-Epitaxialschichten (z. B. P-dotiertes SiC und SiP) verwendet werden.
  • Bei einigen Ausführungsformen werden die einkristalline untere Schicht 230B mit hohem Schmelzpunkt und die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt in situ in dem gleichen Bearbeitungsreaktor aufgewachsen (d. h., ohne Vakuum-Unterbrechung). Zum Beispiel durch Verwenden von Heizlampen können schnelle Bearbeitungstemperatur-Änderungen (z. B. innerhalb von 10 s bis etwa 20 s) erzielt werden. Bei einigen Ausführungsformen werden die einkristalline untere Schicht 230B mit hohem Schmelzpunkt und die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt durch chemische Aufdampfung (CVD) aufgewachsen.
  • Bei einigen Ausführungsformen kann die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt mit einem PAI-Prozess (PAI: Präamorphisationsimplantation) hergestellt werden. Zum Beispiel kann der Source-/Drain-Epitaxialstapel 230 zunächst nur eine einkristalline Schicht umfassen, z. B. die in 1 gezeigte einkristalline untere Schicht 230B mit hohem Schmelzpunkt, die eine Gesamtdicke T hat. Ein PAI-Prozess, in dem Implantationen verwendet werden, zehrt einen Teil der einkristallinen Schicht auf und wandelt ihn durch Beschuss mit Implantationsionen in eine amorphe Schicht um. Die Art und der Umfang der Implantationen und die Implantationsenergie sind Parameter, die zum Feineinstellen der Dicke der amorphen Schicht verwendet werden können.
  • Bei einigen Ausführungsformen können Ge- oder Zinn(Sn)-Implantationsstoffe für SiGe-Source-/Drain-Epitaxialstapel verwendet werden, und Si-Implantationsstoffe können für SiC- oder SiP-Source-/Drain-Epitaxialstapel verwendet werden. Beispielhaft und nicht beschränkend kann eine Ge- oder Sn-Dosis von etwa 1 × 1014 cm-2 bis etwa 5 × 1014 cm-2 bei einer Beschleunigungsspannung von etwa 3 keV bis etwa 20 keV zum Herstellen einer amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt mit der Dicke T1 verwendet werden. Eine Si-Dosis von etwa 1 x 1015 cm-2 bis etwa 5 × 1015 cm-2 bei einer Beschleunigungsspannung von etwa 1 keV bis etwa 5 keV kann zum Herstellen einer amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt mit der Dicke T1 verwendet werden. Bei einigen Ausführungsformen können die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt und die einkristalline untere Schicht 230B mit hohem Schmelzpunkt unterschiedliche Si/Ge- und Si/C- oder Si/P-Verhältnisse haben, wenn ein PAI-Prozess zum Herstellen der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt verwendet wird.
  • Nach der Herstellung der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt werden die Source-/Drain-Epitaxialstapel 230 einem Lasertemperprozess z. B. unter Verwendung eines Abtastlaserstrahls 250 unterzogen, um die Dotanden in der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt zu aktivieren. Wie vorstehend dargelegt worden ist, hat die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt einen niedrigeren Schmelzpunkt (z. B. niedriger als etwa 200 K) als die einkristalline untere Schicht 230B mit hohem Schmelzpunkt. Außerdem kann die Leistung des Laserstrahls 250 auf Grund der Schmelzpunkt-Differenz zwischen den zwei Schichten so eingestellt werden, dass eine Schmelzfront selektiv auf der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt entsteht, während die einkristalline untere Schicht ein Feststoff bleibt. Bei einigen Ausführungsformen hat der Laserstrahl 250 eine Wellenlänge von etwa 308 nm bis etwa 532 nm und eine Tempertiefe (die z. B. von einer Oberseite der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt gemessen wird) von etwa 7 nm bis etwa 1200 nm. Bei einigen Ausführungsformen entspricht die Tempertiefe der Dicke T1 der oberen amorphen Schicht 230A. Wie vorstehend dargelegt worden ist, erfasst der Laserstrahl 250 eine Fläche von etwa 25 mm2 bis etwa 100 mm2 (z. B. eine Fläche von etwa 25 mm2 bis etwa 30 mm2), und er hat eine Abtastgeschwindigkeit von etwa 100 mm/s. Außerdem wird der Laserstrahl 250 impulsweise etwa 1- bis 10-mal je Stelle abgegeben, wobei jeder Impuls eine Dauer von etwa 20 ns bis 150 ns hat. Beispielhaft und nicht beschränkend kann der Temperprozess in einer Stickstoff- oder anderen Inertgas-Umgebung (z. B. Argon, Helium, Xenon usw.) durchgeführt werden.
  • Die vorgenannten Laserstrahl-Eigenschaften sollen nicht beschränkend sein, und Werte außerhalb dieser Bereiche können in Abhängigkeit von den folgenden Bedingungen verwendet werden: (I) den Dicken der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt und der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt; und (II) der Schmelzpunkt-Differenz zwischen der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt und der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt. Beispielhaft und nicht beschränkend kann für eine dickere amorphe obere Schicht 230A mit niedrigem Schmelzpunkt (z. B., wenn Ti/T näher an etwa 0,75 ist) eine längere Impulsdauer (z. B. etwa 150 ns) in Kombination mit einer höheren Anzahl von Impulsen (z. B. 10) zum Erzielen einer größeren Tempertiefe (z. B. etwa 1200 nm) verwendet werden, während für eine geringere Tempertiefe (z. B. etwa 7 nm) weniger oder kürzere Impulse verwendet werden können.
  • Die Wellenlänge des Strahls kann ebenfalls so eingestellt werden, dass eine gewünschte Wärme-Abgabe auf Grund von anderen Laserstrahl-Bedingungen (z. B. Anzahl von Impulsen, Dauer der Impulse, Abtastgeschwindigkeit usw.) und von Schicht-Eigenschaften (z. B. Dicke und Schmelzpunkt der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt) erzielt wird. Unterstellt man zum Beispiel, dass alle anderen Laserstrahl-Eigenschaften gleich sind, so kann ein Laserstrahl mit einer kurzen Wellenlänge (z. B. etwa 300 nm) und einer geringeren Absorptionstiefe für eine dünne amorphe obere Schicht 230A mit niedrigem Schmelzpunkt (z. B. mit einer Dicke von etwa 10 nm) verwendet werden, und ein Laserstrahl mit einer langen Wellenlänge (z. B. etwa 500 nm) und einer größeren Absorptionstiefe kann für eine dicke amorphe obere Schicht 230A mit niedrigem Schmelzpunkt (z. B. mit einer Dicke von etwa 100 nm) verwendet werden. Unter beiden Bedingungen kann die Laserleistung im Wesentlichen gleichgroß sein und kann zum Beispiel etwa 200 J bis 400 J betragen.
  • Bei einigen Ausführungsformen aktiviert der vorstehend beschriebene Lasertemperprozess die Dotanden (z. B. B oder P) in der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt. Die Konzentration der aktivierten Träger in der oberen Schicht des Source-/Drain-Epitaxialstapels 230 kann etwa 1 × 1020 cm-3 bis etwa 1 x 1021 cm-3 für p- und n-Stapel (z. B. SiGe, SiC oder SiP) betragen. Bei einigen Ausführungsformen vollzieht sich der Dotandenaktivierungsprozess in erster Linie in der oberen Schicht mit niedrigem Schmelzpunkt (z. B. der amorphen oberen Schicht 230A mit niedrigem Schmelzpunkt) und nur teilweise in der einkristallinen unteren Schicht mit hohem Schmelzpunkt (z. B. der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt). Bei einigen Ausführungsformen kann die obere Schicht mit niedrigem Schmelzpunkt eine Aktivierte-Träger-Konzentration entwickeln, die im Wesentlichen gleich ihrer Konzentration von chemischen Dotanden ist (d. h., eine Aktivierungsrate von etwa 100 %), und die untere Schicht mit hohem Schmelzpunkt kann eine Aktivierte-Träger-Konzentration entwickeln, die niedriger als die Chemische-Dotanden-Konzentration ist (d. h., eine Aktivierungsrate von weniger als 100 %). Zum Beispiel kann in dem vorstehenden Fall die obere Schicht 230A mit niedrigem Schmelzpunkt eine Aktivierte-Träger-Konzentration von bis zu etwa 1 x 1021 cm-3 entwickeln, während die untere Schicht 230B mit hohem Schmelzpunkt auf eine Aktivierte-Träger-Konzentration von bis zu etwa 1 × 1020 cm-3 beschränkt sein kann. Daher entwickelt bei einigen Ausführungsformen die obere Schicht 230A mit niedrigem Schmelzpunkt eine höhere Konzentration von aktivierten Dotanden (z. B. um etwa eine Größenordnung höher) als die untere Schicht 230B mit hohem Schmelzpunkt, obwohl die Dotandenaktivierung in dem gesamten Source-/Drain-Epitaxialstapel 230 erfolgt.
  • Bei einigen Ausführungsformen kann eine Dotandendiffusion außerhalb des Source-/Drain-Epitaxialstapels vermieden werden, wie in 3 gezeigt ist, die ein SIMS-Profil (SIMS: Sekundärionen-Massenspektroskopie) ist, das durch eine Kurve 300 dargestellt ist und sich mit einem Ausbreitungswiderstandsprofil (SRP) überlagert, das durch eine Kurve 310 dargestellt ist. Die y-Achse von 3 ist logarithmisch und stellt die Konzentration von Dotanden und aktivierten Dotanden (z. B. Trägern) dar. Die x-Achse stellt eine Tiefe in dem Source-/Drain-Epitaxialstapel 230 dar. Zum Beispiel entspricht der Koordinatenursprung des x-y-Diagramms von 3 einer Oberseite des Source-/Drain-Epitaxialstapels 230. Bei einigen Ausführungsformen stellen die Profile 300 und 310 die Dotanden- bzw. die Trägerkonzentration nach einem Temperprozess entlang einer in 2 gezeigten Linie A - B dar. Insbesondere entspricht die SIMS-Kurve 300 einer Gesamt-Dotandenkonzentration (z. B. B oder P) quer über dem Source-/Drain-Epitaxialstapel 230, und die SRP-Kurve 310 entspricht einer Aktivierte-Dotanden-Konzentration quer über dem Source-/Drain-Epitaxialstapel 230. Wie in 3 gezeigt ist, zeigen beide Profile 300 und 310 eine starke Reduzierung der Dotanden- und Trägerkonzentration in der Nähe der Grenzfläche mit der Halbleiterfinne 210. Bei einigen Ausführungsformen beträgt eine Steigung 320 etwa 2,6 nm/Dekade, was zeigt, dass die Träger und Dotanden in dem Source-/Drain-Epitaxialstapel 230 nicht in die Halbleiterfinne 210 eindiffundieren.
  • Bei einigen Ausführungsformen rekristallisiert die im Abscheidungszustand amorphe obere Schicht 230A mit niedrigem Schmelzpunkt, wenn sie sich nach dem Lasertemperprozess abkühlt. Zum Beispiel kann sich die amorphe obere Schicht 230A mit niedrigem Schmelzpunkt in eine einkristalline Schicht umwandeln. 4 zeigt den Source-/Drain-Epitaxialstapel 230 nach dem bei 2 beschriebenen Lasertemperprozess, in dem die im Abscheidungszustand amorphe obere Schicht 230A mit niedrigem Schmelzpunkt in eine lasergetemperte einkristalline obere Schicht 400 umgewandelt worden ist. Bei einigen Ausführungsformen hat die einkristalline obere Schicht 400 eine im Wesentlichen gleiche Dicke Ti wie die im Abscheidungszustand amorphe obere Schicht 230A mit niedrigem Schmelzpunkt, die in 2 gezeigt ist. Außerdem ist die Dicke T des Source-/Drain-Epitaxialstapels 230 vor und nach dem Lasertemperprozess im Wesentlichen gleichgroß.
  • Bei einigen Ausführungsformen kann eine Grenzfläche 410 (z. B. die Grenzfläche zwischen der oberen und der unteren einkristallinen Schicht 400 und 230B des lasergetemperten Source-/Drain-Epitaxialstapels) eine raue (d. h. grobe) Oberflächentopografie haben, die durch TEM-Bildgebung (TEM: Transmissions-Elektronenmikroskopie) erkennbar ist. Bei einigen Ausführungsformen erscheint die Grenzfläche 410 außerdem rauer (d. h. gröber) als eine Oberseite 420 der oberen einkristallinen Schicht 400, was ebenfalls durch TEM-Bildgebung erkennbar ist. Bei einigen Ausführungsformen ist die Oberflächenrauheit der oberen Schicht 230A mit niedrigem Schmelzpunkt nach dem Lasertemperprozess um einen Faktor von etwa 6 niedriger. Zum Beispiel kann die RMS-Oberflächenrauheit (RMS: quadratischer Mittelwert) der oberen Schicht 230A mit niedrigem Schmelzpunkt etwa 3 nm betragen, und die RMS-Oberflächenrauheit der einkristallinen oberen Schicht 400 (d. h., der getemperten oberen Schicht 230A) kann etwa 0,5 nm betragen. Außerdem kann die lasergetemperte einkristalline obere Schicht 400 eine höhere Defektdichte (d. h., Anzahl von Versetzungen je Flächeneinheit) als die einkristalline untere Schicht 230B mit hohem Schmelzpunkt haben. Dies ist auf den Rekristallisationsprozess zurückzuführen, den die lasergetemperte einkristalline obere Schicht 400 durchlaufen hat, wenn sie von einer amorphen oder polykristallinen Schicht in eine einkristalline Schicht umgewandelt wird. Zum Beispiel kann die einkristalline obere Schicht 400 etwa 1 × 1018 Versetzungen/cm2 haben, während die einkristalline untere Schicht 230B mit hohem Schmelzpunkt etwa 1 x 1016 Versetzungen/cm2 (d. h., etwa zwei Größenordnungen weniger Versetzungen je Flächeneinheit) haben kann. Bei einigen Ausführungsformen entwickelt auf Grund der Defektdichte-Differenz zwischen den zwei Schichten in dem Source-/Drain-Epitaxialstapel 230 die lasergetemperte einkristalline obere Schicht 400 eine Druckspannung, während die einkristalline untere Schicht 230B mit hohem Schmelzpunkt eine Zugspannung oder eine geringere Druckspannung als die lasergetemperte einkristalline obere Schicht 400 entwickelt. Mit anderen Worten, die Spannungsart oder -größe kann zwischen der lasergetemperten einkristallinen oberen Schicht 400 und der einkristallinen unteren Schicht 230B mit hohem Schmelzpunkt unterschiedlich sein.
  • Bei einigen Ausführungsformen kann die obere Schicht mit niedrigem Schmelzpunkt ein anderes Material als die untere Schicht mit hohem Schmelzpunkt aufweisen oder kann das gleiche Material, aber mit einer anderen Stöchiometrie aufweisen. Außerdem können die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt einkristalline Schichten sein. Beispielhaft und nicht beschränkend kann bei einem p-Source-/Drain-Epitaxialstapel die obere Schicht mit niedrigem Schmelzpunkt einkristallines SiGe mit einer Ge-Konzentration von etwa 20 % bis etwa 40 % aufweisen, während die untere Schicht mit hohem Schmelzpunkt einkristallines Si ohne eine nennenswerte Menge Ge aufweisen kann. Bei einigen Ausführungsformen wird durch Einbau von Ge in Si der Schmelzpunkt der resultierenden SiGe-Schicht erniedrigt. Zum Beispiel hat eine SiGe-Schicht mit etwa 40 % Ge einen niedrigeren Schmelzpunkt als eine SiGe-Schicht mit etwa 20 % Ge, und eine SiGe-Schicht mit etwa 20 % Ge hat einen niedrigeren Schmelzpunkt als Si, das keine nennenswerte Menge Ge enthält.
  • Bei einem n-Source-/Drain-Epitaxialstapel kann die obere Schicht mit niedrigem Schmelzpunkt eine einkristalline SiC-Schicht mit einer niedrigen Kohlenstoffkonzentration sein, während die untere Schicht mit hohem Schmelzpunkt eine einkristalline SiC-Schicht mit einer hohen Kohlenstoffkonzentration sein kann, wobei bei einigen Ausführungsformen die Differenz zwischen der niedrigen und der hohen Kohlenstoffkonzentration etwa 2 % beträgt.
  • Bei einigen Ausführungsformen werden die vorgenannten Schichten jeweils durch CVD bei einer Temperatur von etwa 650 °C bis etwa 800 °C und einem Druck von etwa 20 Torr bis etwa 300 Torr aufgewachsen. Bei einigen Ausführungsformen sind eine Prozesstemperatur von mehr als etwa 650 °C und ein Prozessdruck von weniger als etwa 300 Torr zum Aufwachsen der einkristallinen Schichten mit hohem und niedrigem Schmelzpunkt erforderlich. Durch den Lasertemperprozess können die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt die gleiche Art von Spannung (d. h., Druck- oder Zugspannung) entwickeln, und die Aktivierte-Dotanden-Konzentration in der einkristallinen oberen Schicht ist größer als die in der einkristallinen unteren Schicht. Bei einigen Ausführungsformen beträgt die Zunahme der Druckspannung nach dem Tempern etwa 0,8 GPa.
  • Bei anderen Ausführungsformen kann die obere Schicht mit niedrigem Schmelzpunkt ein anderes Material als die untere Schicht mit hohem Schmelzpunkt aufweisen, oder sie können das gleiche Material, aber mit einer anderen Stöchiometrie aufweisen. Außerdem können die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt polykristalline oder amorphe Schichten mit entsprechend eingestellten Schmelzpunkten sein (z. B. mit einer Schmelzpunkt-Differenz von mindestens 200 K). Beispielhaft und nicht beschränkend kann bei einem p-Source-/Drain-Epitaxialstapel die obere Schicht mit niedrigem Schmelzpunkt polykristallines oder amorphes SiGe mit einer Ge-Konzentration von etwa 20 % bis etwa 40 % aufweisen, während die untere Schicht mit hohem Schmelzpunkt polykristallines oder amorphes Si ohne eine nennenswerte Menge Ge aufweisen kann. Bei einigen Ausführungsformen wird durch Einbau von Ge in Si der Schmelzpunkt der resultierenden SiGe-Schicht erniedrigt, wie vorstehend dargelegt worden ist. Zum Beispiel beträgt der Schmelzpunkt für eine amorphe Si-Schicht etwa 1420 K, während der Schmelzpunkt einer amorphen Ge-Schicht etwa 965 K bis etwa 1024 K beträgt. Somit kann durch den Einbau von Ge in eine amorphe Si-Schicht und durch Kontrollieren der Ge-Konzentration der Schmelzpunkt der resultierenden Schicht so eingestellt werden, dass er höher als etwa 965 K und niedriger als etwa 1420 K ist. Folglich kann die Konzentration von Ge in der Si-Schicht so eingestellt werden, dass die gewünschte Schmelztemperatur-Differenz von mehr als etwa 200 K erreicht wird, die vorstehend erörtert worden ist.
  • Bei einem n-Source-/Drain-Epitaxialstapel kann die obere Schicht mit niedrigem Schmelzpunkt polykristallines oder amorphes SiC mit einer niedrigen Kohlenstoffkonzentration aufweisen, während die untere Schicht mit hohem Schmelzpunkt polykristallines oder amorphes SiC mit einer hohen Kohlenstoffkonzentration aufweisen kann, wobei bei einigen Ausführungsformen die Differenz zwischen der niedrigen und der hohen Kohlenstoffkonzentration etwa 2 % beträgt. Bei einigen Ausführungsformen ist eine Kohlenstoffkonzentrations-Abweichung von etwa 2 % ausreichend, um eine Schmelzpunkt-Differenz von mehr als etwa 200 K zu erzielen.
  • Bei einigen Ausführungsformen werden die vorgenannten Schichten durch CVD bei einer Temperatur von etwa 450 °C bis etwa 600 °C und einem Druck von etwa 300 Torr bis etwa 400 Torr aufgewachsen. Bei einigen Ausführungsformen sind eine Prozesstemperatur von weniger als etwa 600 °C und ein Prozessdruck von mehr als etwa 300 Torr zum Aufwachsen der polykristallinen oder amorphen Schichten mit hohem und niedrigem Schmelzpunkt erforderlich. Durch den Lasertemperprozess können die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt die gleiche Art von Spannung (z. B. Druckspannung) entwickeln, und die Aktivierte-Dotanden-Konzentration ist in der oberen Schicht größer als in der unteren Schicht. Bei einigen Ausführungsformen zeigt die obere Schicht mit niedrigem Schmelzpunkt eine Aktivierungsrate von etwa 100 %, d. h., alle Dotanden in der oberen Schicht werden aktiviert (z. B. etwa 1 x 1021 cm-3). Im Gegensatz dazu kann die untere Schicht mit hohem Schmelzpunkt eine Aktivierungsrate von etwa 10 % zeigen.
  • Bei einigen Ausführungsformen können Permutationen von polykristallinen oder amorphen und einkristallinen Schichten für die obere und die untere Schicht des Source-/Drain-Epitaxialstapels verwendet werden, die innerhalb des Grundgedankens und Schutzumfangs der vorliegenden Erfindung liegen, solange die obere Schicht einen niedrigeren Schmelzpunkt als die untere Schicht hat, zum Beispiel solange die Schmelzpunkt-Differenz zwischen der unteren Schicht und der oberen Schicht größer als etwa 200 K ist. Außerdem hat die obere Schicht mit niedrigem Schmelzpunkt eine Dicke von etwa 30 % bis etwa 75 % der Gesamtdicke des Source-/Drain-Epitaxialstapels.
  • 5 ist ein Ablaufdiagramm eines beispielhaften Verfahrens 500 zum Herstellen eines Source-/Drain-Epitaxialstapels mit einer oberen Schicht mit niedrigem Schmelzpunkt und einer unteren Schicht mit hohem Schmelzpunkt, gemäß einigen Ausführungsformen. Andere Herstellungsschritte können zwischen den verschiedenen Schritten des Verfahrens 500 durchgeführt werden und können der Übersichtlichkeit halber nicht angegeben sein. Außerdem sind die Herstellungsschritte des Verfahrens 500 nicht spezifisch, und statt der Schritte des Verfahrens 500 können alternative Schritte durchgeführt werden. Ausführungsformen der vorliegenden Erfindung sind nicht auf das Verfahren 500 beschränkt. Das beispielhafte Verfahren 500 wird unter Bezugnahme auf die 6 bis 11 beschrieben.
  • Das Verfahren 500 beginnt mit einem Schritt 510, in dem eine Gatestruktur auf einer Finne hergestellt wird, die auf einem Substrat angeordnet ist. 6 zeigt Schnittansichten der resultierenden Struktur entlang der x-Achse (x-Schnitt) und entlang der y-Achse (y-Schnitt). Die Richtung entlang der x-Achse stimmt mit der Richtung der Länge der Finne überein, und die Richtung entlang der y-Achse stimmt mit der Richtung der Breite der Finne überein. Zum Beispiel entsteht die y-Schnittansicht durch Betrachten der Struktur entlang der Linie A - B des x-Schnitts in der Richtung der y-Achse, und die x-Schnittansicht entsteht durch Betrachten der Struktur entlang der Linie C - D des y-Schnitts in der x-Richtung. In dem Schritt 510 wird eine Finne 600 auf einem Substrat 610 hergestellt. Bei einigen Ausführungsformen weisen die Finne 600 und das Substrat 610 ein oder mehrere Halbleitermaterialien auf. Zum Beispiel können die Finne 600 und das Substrat 610 ein elementares Halbleitermaterial, wie etwa Si oder Ge, oder ein Halbleiter-Verbundmaterial, wie etwa SiGe, aufweisen. Außerdem können die Finne 600 und das Substrat 610 dotierte Bereiche aufweisen, die in 6 nicht dargestellt sind. Eine dielektrische Schicht 620, wie etwa Siliziumoxid, isoliert die Finne 600 gegen benachbarte Finnen. Bei einigen Ausführungsformen kann die dielektrische Schicht 620 eine Isolationsstruktur sein, wie etwa eine STI-Struktur (STI: flache Grabenisolation).
  • Auf der und um die Finne 600 wird eine Gatestruktur 630 so hergestellt, dass sie einen Teil der Finne 600 umschließt, der nicht von der dielektrischen Schicht 620 bedeckt ist, wie in der x- und der y-Schnittansicht von 6 gezeigt ist. Bei einigen Ausführungsformen umfasst die Gatestruktur 630 eine Opfergate-Elektrode 630A, ein Opfergate-Dielektrikum 630B und eine oder mehrere Abstandshalterschichten 630C. Bei einigen Ausführungsformen weist die Opfergate-Elektrode 630A Polysilizium auf, und das Opfergate-Dielektrikum 630B weist Siliziumoxid auf. Außerdem können die eine oder die mehreren Abstandshalterschichten 630C ein Nitrid, wie etwa Siliziumnitrid, aufweisen. Bei einigen Ausführungsformen bilden die Opfergate-Elektrode 630A und das Opfergate-Dielektrikum 630B einen Opfergatestapel, der in einem späteren Schritt durch einen Metall-Gatestapel ersetzt werden kann.
  • In 5 geht das Verfahren 500 mit einem Schritt 520 weiter, in dem ein Teil der Finne 600 ausgespart wird. Bei einigen Ausführungsformen erleichtert das Aussparen der Finne 600 die Herstellung des Source-/Drain-Epitaxialstapels in einem späteren Schritt (z. B. in einem Schritt 530 des Verfahrens 500). Beispielhaft und nicht beschränkend zeigt der x-Schnitt von 7 die resultierende Struktur nach dem Aussparungsprozess des Schritts 520. Bei einigen Ausführungsformen werden Teile der Finne 600 ausgespart, um ausgesparte Finnenteile 700 herzustellen. Die ausgesparten Finnenteile 700 sind benachbart zu den Abstandshalterschichten 630C der Gatestruktur 630 angeordnet. Beispielhaft und nicht beschränkend können die ausgesparten Finnenteile 700 durch Maskieren von zu schützenden Teilen der Finne 600 und Ätzen der übrigen Teile (z. B. der freiliegenden Teile) der Finne 600 hergestellt werden. Die Maskierung kann mit einer Hartmaskenschicht, wie etwa einer Oxidschicht oder einer Nitridschicht, mit einer Fotoresistschicht oder Kombinationen davon erreicht werden. Für den Ätzprozess kann ein Ätzmittel, wie etwa Chlor (Cl2), Hydrogenbromid (HBr), Tetrafluormethan (CF4) oder Kombinationen davon, verwendet werden. Bei einigen Ausführungsformen werden alle Teile der Finne 600, die nicht von der Gatestruktur 630 bedeckt sind, während des Schritts 520 ausgespart, wie in einem x-Schnitt von 11 gezeigt ist. Der y-Schnitt von 7 zeigt die resultierende Struktur entlang einer Linie E - F des x-Schnitts in der y-Richtung. In der y-Schnittansicht sind die ausgesparten Teile (z. B. 700) und die nichtausgesparten Teile (z. B. 600) der Finne sichtbar.
  • In 5 geht das Verfahren 500 mit einem Schritt 530 weiter, in dem ein Source-/Drain-Epitaxialstapel mit einer oberen Schicht mit niedrigem Schmelzpunkt und einer unteren Schicht mit hohem Schmelzpunkt auf dem ausgesparten Finnenteil 700 hergestellt wird. Wie vorstehend dargelegt worden ist, weisen bei einigen Ausführungsformen die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt Materialien mit einer im Wesentlichen ähnlichen Stöchiometrie, aber einer unterschiedlichen Mikrostruktur auf, wobei zum Beispiel die obere Schicht mit niedrigem Schmelzpunkt amorph ist und die untere Schicht mit hohem Schmelzpunkt einkristallin ist. In diesem Fall ist die Schmelzpunkt-Differenz zwischen den zwei Schichten auf die unterschiedliche Mikrostruktur der zwei Schichten zurückzuführen. Bei einigen Ausführungsformen weisen die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt Materialien mit einer unterschiedlichen Stöchiometrie, aber einer im Wesentlichen ähnlichen Mikrostruktur auf, wobei die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt zum Beispiel einkristalline Schichten oder polykristalline Schichten oder amorphe Schichten sind. In diesem Fall ist die Schmelzpunkt-Differenz zwischen den zwei Schichten auf die unterschiedliche Stöchiometrie der zwei Schichten zurückzuführen. Bei einigen Ausführungsformen ist die Schmelzpunkt-Differenz zwischen der unteren Schicht mit hohem Schmelzpunkt und der oberen Schicht mit niedrigem Schmelzpunkt größer als etwa 200 K. Bei einigen Ausführungsformen hat die obere Schicht mit niedrigem Schmelzpunkt einen niedrigeren Schmelzpunkt als die umgebenden Strukturen, wie etwa die Finne 600. Bei einigen Ausführungsformen haben die Finne 600 und die untere Schicht mit hohem Schmelzpunkt im Wesentlichen ähnliche Schmelzpunkte.
  • Beispielhaft und nicht beschränkend zeigt 8 x- und y-Schnittansichten der resultierenden Struktur nach der Herstellung eines Source-/Drain-Epitaxialstapels 800. Die y-Schnittansicht von 8 zeigt die resultierende Struktur entlang der Linie E - F des x-Schnitts in der y-Richtung, und die x-Schnittansicht von 8 zeigt die resultierende Struktur entlang der Linie C - D des y-Schnitts in der x-Richtung. Bei einigen Ausführungsformen ist der Source-/Drain-Epitaxialstapel 800 diamantförmig, wie in dem y-Schnitt von 8 gezeigt ist. Der Source-/Drain-Epitaxialstapel 800 umfasst eine obere Schicht 810 mit niedrigem Schmelzpunkt und eine untere Schicht 820 mit hohem Schmelzpunkt. Bei einigen Ausführungsformen kann die untere Schicht 820 mit hohem Schmelzpunkt weitere Epitaxialschichten umfassen, die der Einfachheit halber in den Schnittansichten von 8 nicht dargestellt sind. Beispielhaft und nicht beschränkend werden die obere Schicht 810 mit niedrigem Schmelzpunkt und die untere Schicht 820 mit hohem Schmelzpunkt mit einem CVD-Prozess bei einer Temperatur von 450 °C bis 800 °C und einem Prozessdruck von etwa 20 Torr bis etwa 400 Torr aufgewachsen. Bei einigen Ausführungsformen wird mit einer Kombination aus einer niedrigen Temperatur (z. B. von etwa 450 °C bis etwa 600 °C) und einem hohen Druck (z. B. von etwa 300 Torr bis etwa 400 Torr) eine amorphe oder polykristalline Schicht erzeugt, während mit einer Kombination aus einer hohen Temperatur (z. B. von etwa 600 °C bis etwa 800 °C) und einem niedrigen Druck (z. B. von etwa 20 Torr bis etwa 300 Torr) eine einkristalline Schicht erzeugt wird. Bei einigen Ausführungsformen beträgt ein Dickenverhältnis zwischen der oberen Schicht 810 mit niedrigem Schmelzpunkt und dem Source-/Drain-Epitaxialstapel 800 etwa 0,3 bis etwa 0,75 (d. h., 0,30 ≤ T1/T ≤ 0,75). Bei einigen Ausführungsformen wird durch den Lasertemperprozess die Dicke der oberen Schicht 810 mit niedrigem Schmelzpunkt und der unteren Schicht 820 mit hohem Schmelzpunkt nicht geändert.
  • Bei einigen Ausführungsformen kann der Source-/Drain-Epitaxialstapel 800 ein B-dotierter SiGe-Stapel mit einer Ge-Konzentration von etwa 20 % bis etwa 40 %, ein P-dotierter SiC-Stapel oder ein P-dotierter SiP-Stapel sein. Bei einigen Ausführungsformen ist die Dotierungskonzentration der oberen Schicht 810 mit niedrigem Schmelzpunkt im Wesentlichen der Dotierungskonzentration der unteren Schicht 820 mit hohem Schmelzpunkt ähnlich (z. B. etwa 1 × 1021 cm-3).
  • In den 5 und 8 geht das Verfahren 500 mit einem Schritt 540 weiter, in dem der Source-/Drain-Epitaxialstapel 800 mit einem Lasertemperprozess getempert wird, um die Dotanden zu aktivieren. Bei einigen Ausführungsformen wird eine Schmelzfront selektiv in der oberen Schicht 810 mit niedrigem Schmelzpunkt mit einem hindurchgehenden Laserstrahl 830 erzeugt. Durch diesen Prozess kann die obere Schicht 810 mit niedrigem Schmelzpunkt eine Aktivierte-Dotanden-Konzentration von etwa 1 x 1021 cm-3 (d. h., eine Aktivierungsrate von etwa 100 %) erreichen. Bei einigen Ausführungsformen hat die untere Schicht 820 mit hohem Schmelzpunkt durch den Lasertemperprozess eine niedrigere Aktivierte-Dotanden-Konzentration als die obere Schicht 810 mit niedrigem Schmelzpunkt (z. B. eine Aktivierungsrate von etwa 10 %), wobei die Aktivierte-Dotanden-Konzentration der unteren Schicht 820 mit hohem Schmelzpunkt zum Beispiel etwa 3 x 1018 cm-3 bis etwa 1 x 1020 cm-3 betragen kann. Bei einigen Ausführungsformen wird eine Diffusion von Dotanden außerhalb des Source-/Drain-Epitaxialstapels verhindert, wie vorstehend unter Bezugnahme auf 3 dargelegt worden ist.
  • Bei einigen Ausführungsformen rekristallisiert durch den Lasertemperprozess die obere Schicht 810 mit niedrigem Schmelzpunkt, wenn sie im Abscheidungszustand eine amorphe Schicht war. Außerdem hat die rekristallisierte obere Schicht 810 mit niedrigem Schmelzpunkt eine höhere Defektdichte als die untere Schicht 820 mit hohem Schmelzpunkt (z. B. um etwa zwei Größenordnungen höher). Bei einigen Ausführungsformen hat die Grenzfläche zwischen der oberen Schicht 810 mit niedrigem Schmelzpunkt und der unteren Schicht 820 mit hohem Schmelzpunkt eine raue (d. h. nicht-planare oder grobe) Oberflächentopografie, die durch TEM-Bildgebung erkennbar ist. Bei einigen Ausführungsformen entwickelt die rekristallisierte obere Schicht 810 mit niedrigem Schmelzpunkt eine Druckspannung, während die untere Schicht 820 mit hohem Schmelzpunkt eine Zugspannung oder einer geringere Druckspannung als die rekristallisierte obere Schicht 810 mit niedrigem Schmelzpunkt hat.
  • In 5 endet das Verfahren 500 mit einem Schritt 550, in dem Kontakte auf den Source-/Drain-Epitaxialstapeln 800 hergestellt werden. Beispielhaft und nicht beschränkend können die Kontakte wie folgt hergestellt werden. In 9 wird auf der dielektrischen Schicht 620 eine dielektrische Schicht 900 abgeschieden, die anschließend poliert wird, sodass eine Oberseite der dielektrischen Schicht 900 im Wesentlichen koplanar mit einer Oberseite der Gatestruktur 630 ist. Bei einigen Ausführungsformen werden die Opfergate-Elektrode 630A und das Opfergate-Dielektrikum 630B durch einen Metall-Gate-Elektrodenstapel 910 bzw. einen Gatedielektrikumstapel 920 ersetzt. Bei einigen Ausführungsformen umfasst der Gate-Elektrodenstapel 910 metallische Schichten, wie etwa Austrittsarbeitsschichten (z. B. eine oder mehrere Titannidridschichten), Sperrschichten (z. B. Tantalnitridschichten) und Metallfüllschichten (z. B. eine Wolfram-Metallfüllschicht), die der Einfachheit halber in 9 nicht dargestellt sind. Bei einigen Ausführungsformen umfasst der Gatedielektrikumstapel 920 eine dielektrische Grenzflächenschicht (z. B. aus Siliziumoxid) und eine dielektrische High-k-Schicht (z. B. aus Hafniumoxid) mit einer Dielektrizitätskonstante, die größer als etwa 3,9 ist, die beide der Einfachheit halber in 9 nicht dargestellt sind.
  • Bei einigen Ausführungsformen werden Kontaktöffnungen in der dielektrischen Schicht 900 erzeugt, um die lasergetemperte obere Schicht 810 mit niedrigem Schmelzpunkt freizulegen. Nachdem die lasergetemperte obere Schicht 810 mit niedrigem Schmelzpunkt freigelegt worden ist, kann ein Silizid 930 auf der Oberseite der lasergetemperten oberen Schicht 810 mit niedrigem Schmelzpunkt hergestellt werden. Bei einigen Ausführungsformen umfasst das Silizid 930 Titan, Platin, Nickel oder ein anderes geeignetes Metall oder Kombinationen davon. Bei einigen Ausführungsformen wird ein Teil der lasergetemperten oberen Schicht 810 mit niedrigem Schmelzpunkt aufgezehrt, sodass das Silizid 930 entsteht. Anschließend wird die Kontaktöffnung mit einer Deckschicht, wie etwa Titannidrid, beschichtet. Die Deckschicht ist der Einfachheit halber in 9 nicht dargestellt. Die Deckschicht fungiert als eine Haft- und Sperrschicht für eine metallische Füllschicht 940. Bei einigen Ausführungsformen werden die metallische Füllschicht 940 und die Deckschicht (in 10 nicht dargestellt) planarisiert, um abgeschiedenes Material von der Oberseite der dielektrischen Schicht 900 zu entfernen und Kontakte 950 herzustellen.
  • Bei einigen Ausführungsformen kann das Verfahren 500 für eine Transistorstruktur verwendet werden, die von der in den 6 bis 9 gezeigten Transistorstruktur verschieden ist. Zum Beispiel kann in 6 die dielektrische Schicht 620 so aufgewachsen werden, dass ihre Oberseite koplanar mit einer Oberseite der Gatestruktur 630 ist, wie in einem x-Schnitt von 10 gezeigt ist. Bei einigen Ausführungsformen kann die in 10 gezeigte Transistorstruktur eine Variante der in 6 gezeigten Transistorstruktur sein. Bei der Transistorstruktur von 10 kann die dielektrische Schicht 620 die Seitenwand und die Oberseiten der Finne 600 und der Abstandshalterschichten 630C der Gatestruktur 630 bedecken. Bei dieser beispielhaften Transistorstruktur wird die Finne 600 entsprechend dem Schritt 520 von 5 zwischen der Gatestruktur 630 und der dielektrischen Schicht 620 ausgespart, wie in dem x-Schnitt von 11 gezeigt ist. Zum Beispiel können Öffnungen in der dielektrischen Schicht 620 auf beiden Seiten des Gatestapels 630 erzeugt werden, um Teile der Finne 600 freizulegen, die nicht von dem Gatestapel 630 bedeckt sind. Der y-Schnitt von 11 zeigt eine Darstellung der Struktur entlang der Linie E - F des x-Schnitts von 11. Beispielhaft und nicht beschränkend kann diese mit fotolithografischen und Ätzprozessen erzielt werden. Anschließend kann die Finne 600 ausgespart werden, wie es bei 7 dargelegt worden ist. Andere Schritte des Verfahrens 500 (z. B. 530 bis 550) werden unverändert durchgeführt.
  • Die hier beschriebenen Ausführungsformen sind auf die Herstellung von Source-/Drain-Epitaxialstapeln gerichtet, die eine obere Schicht mit niedrigem Schmelzpunkt und eine untere Schicht mit hohem Schmelzpunkt umfassen. Bei einigen Ausführungsformen weisen die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt Materialien mit einer im Wesentlichen ähnlichen Stöchiometrie, aber einer unterschiedlichen Mikrostruktur auf, wobei zum Beispiel die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt einkristallin sein können. In diesem Fall ist die Schmelzpunkt-Differenz zwischen den zwei Schichten auf die unterschiedliche Mikrostruktur zwischen den zwei Schichten zurückzuführen. Bei anderen Ausführungsformen weisen die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt Materialien mit einer unterschiedlichen Stöchiometrie, aber einer im Wesentlichen ähnlichen Mikrostruktur auf, wobei die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt zum Beispiel einkristalline Schichten oder polykristalline Schichten oder amorphe Schichten sein können. In diesem Fall ist die Schmelzpunkt-Differenz zwischen den zwei Schichten auf die unterschiedliche Stöchiometrie zwischen den zwei Schichten zurückzuführen. Bei einigen Ausführungsformen ist die Schmelzpunkt-Differenz zwischen der unteren Schicht mit hohem Schmelzpunkt und der oberen Schicht mit niedrigem Schmelzpunkt unabhängig von ihrem Ursprung (z. B. Mikrostruktur oder Stöchiometrie) größer als 200 K. Bei einigen Ausführungsformen können nach dem Lasertemperprozess die obere Schicht mit niedrigem Schmelzpunkt und die untere Schicht mit hohem Schmelzpunkt unterschiedliche Spannungsarten und/oder unterschiedliche Spannungsgrößen haben. Bei einigen Ausführungsformen wird mit einer Kombination aus einer niedrigen Aufwachstemperatur (z. B. von etwa 450 °C bis etwa 600 °C) und einem hohen Aufwachsdruck (z. B. von etwa 300 Torr bis etwa 400 Torr) eine amorphe oder polykristalline Schicht erzeugt, während mit einer Kombination aus einer hohen Aufwachstemperatur (z. B. von etwa 600 °C bis etwa 800 °C) und einem niedrigen Aufwachsdruck (z. B. von etwa 20 Torr bis etwa 300 Torr) eine einkristalline Schicht erzeugt wird. Bei einigen Ausführungsformen beträgt ein Dickenverhältnis zwischen der oberen Schicht mit niedrigem Schmelzpunkt und dem Source-/Drain-Epitaxialstapel etwa 0,3 bis etwa 0,75 (d. h., 0,30 ≤ Dickenverhältnis ≤ 0,75). Bei einigen Ausführungsformen wird durch den Lasertemperprozess die Dicke der oberen Schicht mit niedrigem Schmelzpunkt und der unteren Schicht mit hohem Schmelzpunkt nicht wesentlich geändert. Bei einigen Ausführungsformen hat die obere Schicht mit niedrigem Schmelzpunkt einen niedrigeren Schmelzpunkt als die umgebenden Strukturen, wie etwa eine Halbleiterfinne oder ein Halbleitersubstrat, sodass während des Lasertemperprozesses eine Finnenverformung vermieden werden kann. Bei einigen Ausführungsformen rekristallisiert die im Abscheidungszustand amorphe obere Schicht mit niedrigem Schmelzpunkt durch den Lasertemperprozess und sie entwickelt eine höhere Defektdichte als die untere Schicht mit hohem Schmelzpunkt. Außerdem zeigt die obere Schicht mit niedrigem Schmelzpunkt durch den Lasertemperprozess eine höhere Konzentration von aktivierten Dotanden als die untere Schicht mit hohem Schmelzpunkt.
  • Bei einigen Ausführungsformen weist eine Halbleiterstruktur eine Finne auf, die auf einem Substrat angeordnet ist, wobei die Finne und das Substrat ein Halbleitermaterial aufweisen. Die Halbleiterstruktur weist weiterhin eine auf der Finne angeordnete Gatestruktur auf, wobei die Gatestruktur einen Teil der Seitenwandfläche der Finne umschließt. Außerdem weist die Halbleiterstruktur Folgendes auf: eine Aussparung, die in einem Teil der Finne und benachbart zu der Gatestruktur erzeugt ist; und einen Source-/Drain-Epitaxialstapel, der in der Aussparung angeordnet ist und eine untere Schicht und eine obere Schicht mit einer höheren Aktivierte-Dotanden-Konzentration als die untere Schicht aufweist. Schließlich weist die Halbleiterstruktur einen Kontakt auf, der auf der oberen Schicht des Source-/Drain-Epitaxialstapels und benachbart zu der Gatestruktur angeordnet ist.
  • Bei einigen Ausführungsformen weist ein Verfahren die folgenden Schritte auf: Herstellen einer Finne auf einem Substrat; Herstellen einer Opfergatestruktur auf der Finne so, dass die Opfergatestruktur einen Teil einer Oberseite der Finne und einen Teil einer Seitenwandfläche der Finne umschließt; Aussparen eines Teils der Finne, der nicht von der Opfergatestruktur bedeckt ist; Herstellen eines Source-/Drain-Epitaxialstapels in dem ausgesparten Teil der Finne, wobei des Herstellen des Source-/Drain-Epitaxialstapels Folgendes umfasst: Aufwachsen einer unteren Schicht mit einer kristallinen Mikrostruktur, und Aufwachsen einer oberen Schicht mit einer amorphen Mikrostruktur auf der unteren Schicht, wobei die obere Schicht einen anderen Schmelzpunkt als die untere Schicht hat. Das Verfahren umfasst weiterhin ein Tempern des Source-/Drain-Epitaxialstapels mit einem Laser, um eine Schmelzfront in der oberen Schicht zu erzeugen.
  • Bei einigen Ausführungsformen weist ein Verfahren die folgenden Schritte auf: Herstellen einer Finne auf einem Substrat; und Herstellen einer Gatestruktur auf der Finne. Das Verfahren umfasst weiterhin Folgendes: Aussparen von Teilen der Finne, die nicht von der Gatestruktur bedeckt sind; und Herstellen eines Source-/Drain-Epitaxialstapels auf den ausgesparten Teilen der Finne, wobei das Herstellen des Source-/Drain-Epitaxialstapels Folgendes umfasst: Abscheiden einer ersten Schicht, die erste Dotanden aufweist, und Abscheiden einer zweiten Schicht, die zweite Dotanden aufweist, wobei die zweite Schicht auf der ersten Schicht abgeschieden wird und einen niedrigeren Schmelzpunkt als die erste Schicht hat. Das Verfahren umfasst weiterhin ein Behandeln des Source-/Drain-Epitaxialstapels mit einer Temperquelle, um die ersten und die zweiten Dotanden in der ersten und der zweiten Schicht zu aktivieren.
  • Es dürfte wohlverstanden sein, dass der Abschnitt „Detaillierte Beschreibung“ und nicht der Abschnitt „Zusammenfassung“ zum Auslegen der Ansprüche verwendet werden soll. Im Abschnitt „Zusammenfassung“ können eine oder mehrere, jedoch nicht alle, möglichen Ausführungsformen der vorliegenden Erfindung dargelegt sein, die von den Erfindern in Betracht gezogen werden, und daher sollen sie die beigefügten Ansprüche in keiner Weise beschränken.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Halbleiterstruktur mit: einer Finne, die auf einem Substrat angeordnet ist, wobei die Finne und das Substrat ein Halbleitermaterial aufweisen; einer auf der Finne angeordneten Gatestruktur, wobei die Gatestruktur einen Teil einer Seitenwandfläche der Finne umschließt; einer Aussparung, die in einem Teil der Finne benachbart zu der Gatestruktur erzeugt ist; einem Source-/Drain-Epitaxialstapel, der in der Aussparung angeordnet ist und Folgendes umfasst: eine untere Schicht, und eine obere Schicht, die eine höhere Aktivierte-Dotanden-Konzentration als die untere Schicht hat; und einer Kontaktstruktur, die auf der oberen Schicht des Source-/Drain-Epitaxialstapels angeordnet ist, wobei der Kontakt benachbart zu der Gatestruktur angeordnet ist.
  2. Halbleiterstruktur nach Anspruch 1, wobei die obere Schicht eine Aktivierte-Dotanden-Konzentration von etwa 100 % hat und die untere Schicht eine Aktivierte-Dotanden-Konzentration von etwa 10 % hat.
  3. Halbleiterstruktur nach Anspruch 1 oder 2, wobei die obere Schicht eine um zwei Größenordnungen höhere Defektdichte als die untere Schicht hat.
  4. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei eine Dicke der oberen Schicht etwa 30 % bis etwa 75 % der Dicke des Source-/Drain-Epitaxialstapels beträgt.
  5. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei die obere Schicht eine höhere Druckspannung in der Finne als in der unteren Schicht induziert.
  6. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei die obere Schicht eine Aktivierte-Dotanden-Konzentration von etwa 1 x 1021 cm-3 hat.
  7. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei die untere Schicht und die obere Schicht jeweils Bor-dotiertes Siliziumgermanium, Phosphor-dotierten Silizium-Kohlenstoff oder Phosphor-dotierten Silizium-Phosphor aufweisen.
  8. Verfahren mit den folgenden Schritten: Herstellen einer Finne auf einem Substrat; Herstellen einer Opfergatestruktur auf der Finne, wobei die Opfergatestruktur einen Teil einer Oberseite der Finne und einen Teil einer Seitenwandfläche der Finne umschließt; Aussparen eines Teils der Finne, der nicht von der Opfergatestruktur bedeckt ist; Herstellen eines Source-/Drain-Epitaxialstapels in dem ausgesparten Teil der Finne, wobei des Herstellen des Source-/Drain-Epitaxialstapels Folgendes umfasst: Aufwachsen einer unteren Schicht mit einer kristallinen Mikrostruktur, und Aufwachsen einer oberen Schicht mit einer amorphen Mikrostruktur auf der unteren Schicht, wobei die obere Schicht einen anderen Schmelzpunkt als die untere Schicht hat; und Tempern des Source-/Drain-Epitaxialstapels mit einem Laser, um eine Schmelzfront in der oberen Schicht zu erzeugen.
  9. Verfahren nach Anspruch 8, wobei das Tempern ein Rekristallisieren der oberen Schicht umfasst.
  10. Verfahren nach Anspruch 8 oder 9, wobei nach dem Tempern die obere Schicht eine um etwa zwei Größenordnungen höhere Anzahl von Defekten je Flächeneinheit als die untere Schicht aufweist.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei nach dem Tempern die obere Schicht eine höhere Druckspannung als die untere Schicht hat.
  12. Verfahren nach einem der Ansprüche 8 bis 11, wobei das Aufwachsen der oberen Schicht ein Aufwachsen der oberen Schicht mit einer Dicke von 30 % bis 75 % der Dicke des Source-/Drain-Epitaxialstapels umfasst.
  13. Verfahren nach einem der Ansprüche 8 bis 12, wobei das Aufwachsen der unteren und der oberen Schicht ein Erzielen einer Schmelzpunkt-Differenz von mehr als etwa 200 K zwischen der unteren und der oberen Schicht umfasst.
  14. Verfahren nach einem der Ansprüche 8 bis 13, wobei das Tempern des Source-/Drain-Epitaxialstapels ein Umwandeln der amorphen Mikrostruktur der oberen Schicht in eine kristalline Mikrostruktur umfasst.
  15. Verfahren nach einem der Ansprüche 8 bis 14, wobei das Tempern des Source-/Drain-Epitaxialstapels ein Umwandeln der oberen Schicht in eine kristalline Schicht umfasst, die eine höhere Defektdichte je Flächeneinheit als die untere Schicht aufweist.
  16. Verfahren nach einem der Ansprüche 8 bis 15, wobei das Tempern des Source-/Drain-Epitaxialstapels ein Umwandeln der oberen Schicht in eine kristalline Schicht umfasst, die eine höhere Aktivierte-Dotanden-Konzentration als die untere Schicht hat.
  17. Verfahren mit den folgenden Schritten: Herstellen einer Finne auf einem Substrat; Herstellen einer Gatestruktur auf der Finne; Aussparen von Teilen der Finne, die nicht von der Gatestruktur bedeckt sind; Herstellen eines Source-/Drain-Epitaxialstapels auf den ausgesparten Teilen der Finne, wobei das Herstellen des Source-/Drain-Epitaxialstapels Folgendes umfasst: Abscheiden einer ersten Schicht, die erste Dotanden aufweist, und Abscheiden einer zweiten Schicht, die zweite Dotanden aufweist, wobei die zweite Schicht auf der ersten Schicht abgeschieden wird und einen niedrigeren Schmelzpunkt als die erste Schicht hat; und Behandeln des Source-/Drain-Epitaxialstapels mit einer Temperquelle, um die ersten und die zweiten Dotanden in der ersten und der zweiten Schicht zu aktivieren.
  18. Verfahren nach Anspruch 17, wobei das Behandeln des Source-/Drain-Epitaxialstapels mit der Temperquelle ein Aktivieren der zweiten Dotanden in der zweiten Schicht und ein Aktivieren eines Teils der ersten Dotanden in der ersten Schicht umfasst.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Abscheiden der ersten und der zweiten Schicht ein Herstellen der ersten und der zweiten Schicht mit einer im Wesentlichen ähnlichen Mikrostruktur und einer erheblich abweichenden Stöchiometrie umfasst.
  20. Verfahren nach Anspruch 17 oder 18, wobei das Abscheiden der ersten und der zweiten Schicht ein Herstellen der ersten und der zweiten Schicht mit einer erheblich abweichenden Mikrostruktur und einer im Wesentlichen ähnlichen Stöchiometrie umfasst.
DE102019130285.4A 2019-10-18 2019-11-11 Source- und drain-epitaxialschichten Pending DE102019130285A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/657,372 2019-10-18
US16/657,372 US11502197B2 (en) 2019-10-18 2019-10-18 Source and drain epitaxial layers

Publications (1)

Publication Number Publication Date
DE102019130285A1 true DE102019130285A1 (de) 2021-04-22

Family

ID=75268620

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019130285.4A Pending DE102019130285A1 (de) 2019-10-18 2019-11-11 Source- und drain-epitaxialschichten

Country Status (5)

Country Link
US (2) US11502197B2 (de)
KR (2) KR20210047224A (de)
CN (1) CN112687730A (de)
DE (1) DE102019130285A1 (de)
TW (1) TWI754420B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11501968B2 (en) * 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170213739A1 (en) * 2016-01-22 2017-07-27 International Business Machines Corporation Low Resistance Source Drain Contact Formation with Trench Metastable Alloys and Laser Annealing
US20180166575A1 (en) * 2016-07-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7795124B2 (en) * 2006-06-23 2010-09-14 Applied Materials, Inc. Methods for contact resistance reduction of advanced CMOS devices
US20080070423A1 (en) * 2006-09-15 2008-03-20 Crowder Mark A Buried seed one-shot interlevel crystallization
DE102009006884B4 (de) 2009-01-30 2011-06-30 Advanced Micro Devices, Inc., Calif. Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
CN103000675B (zh) * 2011-09-08 2015-11-25 中国科学院微电子研究所 低源漏接触电阻mosfets及其制造方法
WO2013095347A1 (en) * 2011-12-19 2013-06-27 Intel Corporation Selective laser annealing process for buried regions in a mos device
DE112011105988B4 (de) * 2011-12-23 2020-08-06 Intel Corporation III-N-Materialstruktur für Gate-Aussparungstransistoren
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US20140106529A1 (en) * 2012-10-16 2014-04-17 Stmicroelectronics (Crolles 2) Sas Finfet device with silicided source-drain regions and method of making same using a two step anneal
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8906789B2 (en) * 2013-03-13 2014-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric cyclic desposition etch epitaxy
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406797B2 (en) * 2014-03-07 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with dislocations
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9711608B1 (en) * 2016-06-03 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
KR102276650B1 (ko) 2017-04-03 2021-07-15 삼성전자주식회사 반도체 소자의 제조 방법
CN109300789B (zh) * 2017-07-25 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US10522656B2 (en) * 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
US11688780B2 (en) * 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170213739A1 (en) * 2016-01-22 2017-07-27 International Business Machines Corporation Low Resistance Source Drain Contact Formation with Trench Metastable Alloys and Laser Annealing
US20180166575A1 (en) * 2016-07-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures

Also Published As

Publication number Publication date
US20230074496A1 (en) 2023-03-09
US11502197B2 (en) 2022-11-15
KR102467276B1 (ko) 2022-11-14
KR20210047224A (ko) 2021-04-29
TW202129976A (zh) 2021-08-01
TWI754420B (zh) 2022-02-01
KR20210137418A (ko) 2021-11-17
US20210119047A1 (en) 2021-04-22
CN112687730A (zh) 2021-04-20

Similar Documents

Publication Publication Date Title
DE102010030768B4 (de) Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
DE4406849C2 (de) Verfahren zur Herstellung eines MOS-Transistors mit einem einen flachen Übergang aufweisenden Source/Drain-Bereich und einer Silicidschicht
DE102009006884B4 (de) Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
DE102006019935B4 (de) SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
DE102005051994B4 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE112008000638B4 (de) Verfahren zur Herstellung einer Halbleitereinheit mit selbstausgerichteten epitaxialen Verlängerungen von Quellen und Senken
DE102006046363B4 (de) Verfahren zum Verringern von Kristalldefekten in Transistoren mit wieder aufgewachsenen flachen Übergängen durch geeignetes Auswählen von Kristallorientierungen
DE10245607B4 (de) Verfahren zum Bilden von Schaltungselementen mit Nickelsilizidgebieten, die durch ein Barrierendiffusionsmaterial thermisch stabilisiert sind sowie Verfahren zur Herstellung einer Nickelmonosilizidschicht
DE102008030854B4 (de) MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE69836654T2 (de) Halbleiterstruktur mit abruptem Dotierungsprofil
DE112004002373B4 (de) Verfahren zur Herstellung einer CMOS-Vorrichtung sowie CMOS-Vorrichtung mit Strained-Transistor-Integration für CMOS
DE102006030647B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements
DE102006019835B4 (de) Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
DE112011103483B4 (de) Verfahren und Struktur für pFET-Übergangs-Profil mit SiGe-Kanal
DE4406861B4 (de) Ohmsche Kontaktstruktur für eine hochintegrierte Halbleitervorrichtung und Herstellungsverfahren
DE102006035666B3 (de) Verfahren zum Ausbilden einer Halbleiterstruktur
DE112011101433B4 (de) Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE102008064719B4 (de) Verfahren zum Herstellen eines Halbleiterelements in einem Substrat
DE102008035816A1 (de) Leistungssteigerung in PMOS- und NMOS-Transistoren auf der Grundlage eines Silizium/Kohlenstoff-Materials
DE102008006961A1 (de) Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
DE102009015748A1 (de) Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
DE102011003439B4 (de) Verfahren zur Durchlassstromerhöhung in Feldeffekttransistoren durch asymmetrische Konzentrationsprofile von Legierungssubstanzen einer Kanalhalbleiterlegierung und Halbleiterbauelement
DE102019130285A1 (de) Source- und drain-epitaxialschichten
DE102022114411A1 (de) Verfahren zur herstellung von ohmschen kontakten auf einem siliciumcarbid(sic)-substrat, verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102004031743B4 (de) Verfahren zur Herstellung einer Epitaxieschicht für erhöhte Drain-und Sourcegebiete durch Entfernen von Oberflächendefekten der anfänglichen Kristalloberfläche

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication