CN112687730A - 半导体结构及其形成方法 - Google Patents

半导体结构及其形成方法 Download PDF

Info

Publication number
CN112687730A
CN112687730A CN202010644475.XA CN202010644475A CN112687730A CN 112687730 A CN112687730 A CN 112687730A CN 202010644475 A CN202010644475 A CN 202010644475A CN 112687730 A CN112687730 A CN 112687730A
Authority
CN
China
Prior art keywords
layer
fin
top layer
source
melting point
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010644475.XA
Other languages
English (en)
Inventor
杜文仙
刘致为
吕芳谅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112687730A publication Critical patent/CN112687730A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明针对具有源极/漏极外延堆叠件的半导体结构,该源极/漏极外延堆叠件具有低熔点顶层和高熔点底层。例如,半导体结构包括设置在鳍上的栅极结构和形成在鳍的未由栅极结构覆盖的部分中的凹槽。此外,半导体结构包括设置在凹槽中的源极/漏极外延堆叠件,其中源极/漏极外延堆叠件具有底层和顶层,该顶层具有比底层高的激活的掺杂剂浓度。本发明的实施例还提供了形成半导体结构的方法。

Description

半导体结构及其形成方法
技术领域
本发明的实施例涉及半导体结构及其形成方法。
背景技术
半导体材料中的掺杂剂只有在它们是半导体晶格结构的一部分时才能用作供体或受体。由于这个原因,需要激活半导体材料(例如,硅)中的掺杂剂。激活的掺杂剂可以用作电子的供体或受体,例如,相对于半导体材料,相当于n型或p型掺杂剂。如果掺杂剂占据半导体材料中的间隙空间,则认为该掺杂剂没有被激活并且作为掺杂剂无效(例如,它不能用作供体或受体)。可以将热能提供给掺杂的半导体材料,以将掺杂剂从间隙空间移动至晶体位置,该工艺称为“激活”或“晶体激活”。
发明内容
本发明的一些实施例提供了一种半导体结构,包括:鳍,设置在衬底上,其中,所述鳍和所述衬底包括半导体材料;栅极结构,设置在所述鳍上,其中,所述栅极结构包裹所述鳍的侧壁表面的部分;凹槽,形成在所述鳍的与所述栅极结构相邻的部分中;源极/漏极外延堆叠件,设置在所述凹槽中,并且包括:底层;以及顶层,具有比所述底层高的激活的掺杂剂浓度;以及接触件结构,设置在所述源极/漏极外延堆叠件的所述顶层上,其中,所述接触件与所述栅极结构相邻。
本发明的另一些实施例提供了一种一种形成半导体结构的方法,包括:在衬底上形成鳍;在所述鳍上形成牺牲栅极结构,其中,所述牺牲栅极结构包裹所述鳍的顶面的部分和所述鳍的侧壁表面的部分;使所述鳍的未由所述牺牲栅极结构覆盖的部分凹进;在所述鳍的凹进部分中形成源极/漏极外延堆叠件,其中,形成所述源极/漏极外延堆叠件包括:生长具有晶体微结构的底层;以及在所述底层上生长具有非晶微结构的顶层,其中,所述顶层具有与所述底层不同的熔点;以及用激光使源极/漏极外延堆叠件退火,以在所述顶层中形成熔融前沿。
本发明的又一些实施例提供了一种形成半导体结构的方法,包括:在衬底上形成鳍;在所述鳍上形成栅极结构;使所述鳍的未由所述栅极结构覆盖的部分凹进;以及在所述鳍的凹进部分上形成源极/漏极外延堆叠件,其中,形成所述源极/漏极外延堆叠件包括:沉积包括第一掺杂剂的第一层;以及沉积包括第二掺杂剂的第二层,其中,所述第二层设置在所述第一层上并且具有比所述第一层低的熔点;以及将所述源极/漏极外延堆叠件暴露于退火源以激活所述第一层中的所述第一掺杂剂和所述第二层中的所述第二掺杂剂。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据一些实施例的激光退火工艺期间形成在鳍上并且具有单晶源极/漏极堆叠件的晶体管结构的示例性阵列的局部截面图。
图2是根据一些实施例的激光退火工艺期间具有源极/漏极外延堆叠件的晶体管结构的局部截面图,该源极/漏极外延堆叠件具有非晶低熔点顶层和单晶高熔点底层。
图3是根据一些实施例的激光退火工艺之后用于具有顶部低熔点顶层和底部高熔点顶层的源极/漏极外延堆叠件的二次离子质谱(SIMS)曲线和扩展电阻率曲线(SRP)叠加的示意图。
图4是根据一些实施例的具有源极/漏极外延堆叠件的晶体管结构的截面图,该源极/漏极外延堆叠件具有激光退火的单晶顶层和单晶底层。
图5是根据一些实施例的用于形成具有低熔点顶层和高熔点底层的源极/漏极外延堆叠件的方法的流程图。
图6是根据一些实施例的沿着x和y方向的晶体管结构的局部截面图。
图7是根据一些实施例的沿着x和y方向的晶体管结构的凹进的鳍部分的局部截面图。
图8是根据一些实施例的沿着x和y方向在鳍的凹进部分上形成具有低熔点顶层和高熔点底层的源极/漏极外延堆叠件之后的晶体管结构的局部截面图。
图9是根据一些实施例的沿着x和y方向在具有低熔点顶层和高熔点底层的源极/漏极外延堆叠件上形成接触件之后的晶体管结构的局部截面图。
图10是根据一些实施例的沿着x和y方向的晶体管结构的局部截面图。
图11是根据一些实施例的沿着x和y方向的晶体管结构的凹进的鳍部分的局部截面图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。器件可以以其他方式定向(旋转90度或在其他方位上),而在此使用的空间相对描述符可以同样地作出相应的解释。
如本文所用,术语“标称”是指在产品或工艺的设计阶段设置的,用于组件或工艺操作的特性或参数的期望值或目标值,以及高于和/或低于期望值的值的范围。值的范围通常是由于制造工艺或公差中的细微变化导致的。
在一些实施例中,术语“约”和“基本”可以指示在该值的5%(例如,该值的±1%、±2%、±3%、±4%、±5%)内变化的给定数量的值。在一些实施例中,术语“约”和“基本”指示基于例如,由制造工艺、制造操作或制造工具提供的能力的其他值。
如本文所用,术语“垂直”是指标称垂直于衬底的表面。
扩散是描述一种材料(例如,掺杂剂)穿过另一种材料(例如,半导体基质)的移动的基本特性。从相对较高掺杂剂浓度的区域至较低掺杂剂浓度的区域发生扩散。半导体基质材料内不同的掺杂物质可能具有不同的扩散率,半导体基质材料如硅(Si)、硅锗(SiGe)、硅碳(SiC)或硅磷(SP);并且扩散率越高,掺杂剂向半导体基质材料内迁移的速度越快。由于掺杂物质在半导体基质材料中的扩散速率随温度而增加,热扩散是半导体制造中用来使掺杂物质穿过半导体晶格的主要机制。为掺杂剂扩散提供热能的基本方法有两种:炉退火和快速热退火(RTA)。
炉退火是在热壁炉中对半导体基质材料(例如,半导体晶圆)进行退火的方法,例如,在约800℃和约910℃之间持续约30分钟的时间。然而,具有这样的持续时间和温度的退火循环可能导致大量的(例如,不受严格控制的)掺杂剂扩散,这对于一些集成电路制造工艺(例如,源极/漏极激活)是不期望的。此外,炉退火不施加局部加热,而是整体加热。即,在炉退火时存在于半导体材料上的每层和/或结构在退火工艺的持续时间都经受高温。这可能会限制集成电路(IC)的制造。
RTA工艺通过在目标温度(例如,约910℃或更高)下使用快速上升(例如,在毫秒(ms)或纳秒(ns)范围内)和短停留时间(例如,在秒或亚秒范围内)来对半导体材料进行退火。此外,RTA可以是选择性的,可以局部或全局提供热量。RTA的类型包括利用灯(例如,钨卤灯)或激光(例如,激光退火)的热退火。利用灯的RTA可能是全局退火,因为半导体表面(例如,顶部、底部或两者)暴露于灯一次。另一方面,激光退火由于其光束尺寸(例如,在约25mm2和约100mm2之间)和精确的能量输出而提供了定位准确度和传热精度。由于此特性,激光退火是用于IC制造中源极/漏极掺杂剂激活的首选方法。
作为实例而非限制,在激光退火工艺期间,脉冲激光束以约100mm/s的速度扫描半导体表面(例如,半导体晶圆的表面)。由于激光束的尺寸(例如,在约25mm2至约100mm2之间),可以实现局部退火。退火深度可以通过工艺条件来控制,诸如激光能量或波长、每个位置的脉冲数量和激光束的停留时间(例如,激光在每个位置上使用的时间)。
然而,即使利用激光退火工艺,用于源极/漏极外延层的掺杂剂激活也可能具有挑战性。这是因为与激光束的尺寸相比,晶体管的源极/漏极区域更小。晶体管及其源极/漏极区域的尺寸使该问题恶化,晶体管及其源极/漏极区域的尺寸随着每一代技术的发展(例如,随着每个技术节点)而缩小。例如,随着源极/漏极区域的收缩,来自激光束的热量会传播至源极/漏极区域外部的区域,诸如位于晶体管的源极和漏极区域之间的鳍区域。这可以用图1来描述,图1是沿着形成在鳍结构110上并且具有单晶源极/漏极堆叠件120和沟道区域130的晶体管结构100的示例性阵列的沿着x轴的局部截面图。鳍110设置在衬底140上。当激光束150开始扫描衬底140的表面时,由激光束150产生的热量形成熔融前沿160,该熔融前沿160延伸至单晶源极/漏极堆叠件120的外部(例如,延伸至鳍110和沟道区域130)。结果,鳍110可能变形,这损害了晶体管的电特性。为了减少鳍变形,需要修改激光退火条件,从而减少由激光束产生的热量并且更好地控制熔融前沿160。然而,减少由激光束产生的热量可能影响单晶源极/漏极堆叠件120内的掺杂剂激活工艺。例如,由于这种改变,将激活更少的掺杂剂。
为了解决这些挑战,本文描述的实施例针对具有低熔点“顶”层和高熔点“底”层的源极/漏极外延堆叠件的形成。在一些实施例中,低熔点顶层是沉积态多晶或非晶的,而高熔点底层是沉积态单晶的。在其他实施例中,低熔点顶层和高熔点底层都是沉积态单晶或多晶的,但是具有不同的化学计量。在一些实施例中,沉积态非晶低熔点顶层由于激光退火工艺而重结晶,并且与高熔点底层相比具有更高的缺陷密度。此外,由于激光退火工艺,与高熔点底层相比,低熔点顶层表现出更高的激活的掺杂剂浓度。在一些实施例中,在激光退火工艺期间仅激活低熔点顶层中的掺杂剂。根据一些实施例,对于本文公开的源极/漏极外延堆叠件,可以使用功率减小的激光束。因此,可以避免激光退火工艺期间的鳍变形。
图2是形成在半导体鳍210上的示例性晶体管结构200的横跨x轴的截面图。应该指出,鳍的长度平行于图2所示的x轴。半导体鳍210设置在半导体衬底220上并且凹进以促进源极/漏极外延堆叠件230的形成。半导体鳍210经由隔离层240与其他晶体管结构横向隔离。在一些实施例中,每个源极/漏极外延堆叠件230包括沉积态非晶低熔点顶层230A和沉积态单晶高熔点底层230B。如本文所用,“顶”层是指沿着z轴远离半导体衬底220放置(或设置)的层,而“底”层是指沿着z轴更靠近半导体衬底220放置(或设置)的层。此外,如本文所用,术语“非晶”还涵盖多晶微结构(例如,具有短范围晶格周期性的微结构)。
根据一些实施例,可以基于晶体管结构200的类型(例如,晶体管结构200是n型场效应晶体管(nFET)还是p型场效应晶体管(pFET))来选择包括在源极/漏极外延堆叠件230中的掺杂剂和半导体基质材料。在一些实施例中,nFET的源极/漏极外延堆叠件(例如,n型源极/漏极外延堆叠件)包括掺杂有磷(P)的应变硅碳(SiC)层或应变硅磷(SiP)层,而p型场效应晶体管(pFET)的源极/漏极外延堆叠件(例如,p型源极/漏极外延堆叠件)包括掺杂有硼(B)的应变硅锗(SiGe)层。根据一些实施例,结合至n型源极/漏极外延堆叠件中的P的量可以高达1×1021原子/cm-3,并且结合至p型源极/漏极外延堆叠件中的B的量可以高达约1×1021原子/cm-3。作为实例而非限制,可以在用合适的前体生长期间将P和B掺杂剂结合至源极/漏极外延堆叠件230的层中。此外,非晶低熔点顶层230A和单晶高熔点底层230B都可以生长为具有基本等量的P或B浓度。
在一些实施例中,沉积态非晶低熔点顶层230A的熔点为约1420K(例如,约1147℃),而单晶高熔点底层230B的熔点大于约1687K(例如,1414℃);然而,两层都包含相同的材料,并且化学计量基本相等—例如,对于SiGe源极/漏极外延堆叠件,Si/Ge比基本相等;并且对于SiC源极/漏极外延堆叠件,Si/C比基本相等,或对于SiP源极/漏极外延堆叠件,Si/P比基本相等。根据一些实施例,在源极/漏极外延堆叠件230中的顶层和底层之间的熔点差归因于它们的微结构(例如,非晶而不是单晶)。在该实例中,与非晶低熔点顶层230A相比,单晶高熔点底层230B具有更高的熔点(例如,相差约267K或约267℃)。然而,这不是限制性的,并且在低熔点层和高熔点层之间可以使用更低的熔点差—例如,大于约200K。熔点差大于约200K(例如,267K)的阈值可确保熔融前沿在激光退火工艺期间通过激光束“选择性地”形成并且包含在非晶低熔点顶层230A内,而单晶高熔点底层230B保持固体。如果上述各层之间的熔点差等于或小于约200K,则由激光束形成的熔融前沿可延伸超出非晶低熔点顶层230A的边界—例如,延伸至高熔点底层230B和鳍区域。如前所述,这是不期望的。因此,只要在激光退火工艺期间由激光束产生的热量将源极/漏极外延堆叠件230的温度升高至非晶低熔点顶层230A的熔点之上和单晶高熔点底层230B的熔点之下,就可以实现上述选择性。在一些实施例中,在激光退火工艺期间,源极/漏极外延堆叠件230的温度等于或大于非晶低熔点顶层230A的熔点,并且等于或小于单晶高熔点底层230B的熔点(例如,非晶低熔点顶层的熔点温度≤激光退火工艺的温度≤单晶高熔点底层的熔点温度)。在该操作窗口中,可以在非晶低熔点顶层230A的边界内形成如图1所示的熔融区域160的熔融区域。在一些实施例中,非晶低熔点顶层230A的熔点也低于如半导体鳍210的周围材料的熔点,在晶体硅的情况下,该熔点约为1687K(例如,更接近单晶高熔点底层230B的熔点)。因此,在激光退火工艺期间,可以最小化或避免半导体鳍210的变形。
由于在非晶低熔点顶层230A中形成熔融前沿需要更少的热量(例如,由于非晶层的熔点),因此可以降低激光束的功率。例如,如果源极/漏极外延堆叠件仅包括单晶层(如单晶高熔点底层230B),则激光束将需要以例如,约910焦耳工作以形成熔融前沿并且激活掺杂剂(例如,B或P)。在该功率水平下,激光束还将产生足够的热量以使半导体鳍210变形,该半导体鳍210具有与源极/漏极外延堆叠件的单晶层基本可比的熔点。另一方面,根据一些实施例,对于包括沉积态非晶低熔点顶层230A和单晶底层230B的源极/漏极外延堆叠件230,激光束将需要产生更少的热量,并且因此在较低的功率设置(例如500焦耳)下工作,这降低了约50%。
在一些实施例中,非晶低熔点顶层230A生长为占据源极/漏极外延堆叠件230的总厚度的约30%和约75%之间。换句话说,参考图2,沉积态非晶低熔点顶层230A的厚度T1可以在源极/漏极外延堆叠件230的总厚度T的约30%至约75%之间(例如,30%T≤T1≤75%T或0.30≤T1/T≤0.75)。在一些实施例中,单晶高熔点底层230B在激光退火工艺期间用作非晶低熔点顶层230A的掺杂剂的扩散阻挡层。这是因为在单晶高熔点底层230B中未形成熔融前沿,并且因此使从非晶低熔点顶层230A扩散至单晶高熔点底层230B中的掺杂剂减慢(例如,被阻止)。如果非晶低熔点顶层230A的厚度T1大于源极/漏极外延堆叠件230的总厚度T的约75%(例如,T1/T>0.75),则单晶高熔点底层230B将没有足够的厚度来阻止掺杂剂从非晶低熔点顶层230A的扩散。因此,将激活非晶低熔点顶层230A内的更少的掺杂剂,这进而可以增加源极/漏极外延堆叠件230的电阻。另外,掺杂剂的扩散可以在源极/漏极外延堆叠件230和半导体鳍210和/或半导体衬底220的掺杂区域之间形成不期望的泄漏路径。此外,更厚的非晶低熔点顶层230A在激光退火工艺期间可能需要更长的停留时间和/或更高的激光束功率设置,这两者都会不利地影响工艺时间和成本。另一方面,如果非晶低熔点顶层230A的厚度T1小于总厚度T的约30%(例如,T1/T<30%),则在顶层中激活的掺杂剂的量可能不足以为源极/漏极外延堆叠件230提供可接受的电阻。
在一些实施例中,沉积态非晶低熔点顶层230A和单晶高熔点底层320B的微观结构以及因此的熔点可以经由它们相应的生长条件来调节,诸如生长温度和压力。例如,并且根据一些实施例,单晶高熔点底层230B可以在约650℃和约800℃之间的温度以及在约20Torr和约300Torr之间的压力下生长。相反地,非晶低熔点顶层230A可以在约450℃和约600℃之间的温度以及在约300Torr和约400Torr之间的压力下生长。换句话说,与可以在“更低”温度和“更高”压力下生长的非晶外延层相比,可以在“更高”温度和“更低”压力下外延生长单晶层。在一些实施例中,以上条件可以等同地应用于p型(例如,B掺杂的SiGe)和n型(例如,P掺杂的SiC和SiP)源极/漏极外延层的生长。
在一些实施例中,单晶高熔点底层230B和非晶低熔点顶层230A在同一工艺反应器中原位生长(例如,没有真空破坏)。例如,通过使用加热灯,可以实现快速的工艺温度变化(例如,在约10s至约20s内)。在一些实施例中,通过化学汽相沉积(CVD)工艺来生长单晶高熔点底层230B和非晶低熔点顶层230A。
在一些实施例中,非晶低熔点顶层230A可以通过预非晶化注入(PAI)工艺形成。例如,源极/漏极外延堆叠件230最初可以仅包括总厚度为T的单晶层,如图1所示的单晶源极/漏极堆叠件120。使用注入的PAI工艺消耗一部分单晶层,并且经由注入离子轰击将其转变为非晶层。注入的类型、注入的数量和注入能量是可用于微调非晶层厚度的参数。
在一些实施例中,Ge或锡(Sn)注入可用于SiGe源极/漏极外延堆叠件,而Si注入可用于SiC或SiP源极/漏极外延堆叠件。作为实例而非限制,在约3keV和约20keV之间的加速电压下、在约1×1014cm-2和约5×1014cm-2之间的Ge或Sn剂量可用于形成厚度为T1的非晶低熔点顶层230A。在约1keV和约5keV之间的加速电压下、在约1×1015cm-2和约5×1015cm-2之间的Si剂量可用于形成厚度为T1的非晶低熔点顶层230A。在一些实施例中,如果使用PAI方法来形成非晶低熔点顶层230A,非晶低熔点顶层230A和单晶高熔点底层230B可以具有不同的Si/Ge和Si/C或Si/P比。
在形成非晶低熔点顶层230A之后,源极/漏极外延堆叠件230经受激光退火工艺,例如,经由扫描激光束250,以激活非晶低熔点顶层230A中的掺杂剂。如上所述,与底部单晶高熔点底层230B相比,非晶低熔点顶层230A具有低熔点(例如,低于约200K)。此外,激光束250的功率已经基于两层之间的熔点差调节为使得在非晶低熔点顶层230A上选择地形成熔融前沿,同时底部单晶层保持固体。在一些实施例中,激光束250具有在约308nm和约532nm之间的波长和在约7nm和约1200nm之间的退火深度(例如,从非晶低熔点顶层230A的顶面测量)。在一些实施例中,退火深度对应于顶部非晶层203A的厚度T1。如上所述,激光束250覆盖约25mm2和约100mm2之间的区域(例如,约25mm2至约30mm2之间的区域)并且具有约100mm/s的扫描速度。此外,激光束250在每个位置脉冲在约1和10次之间,每个脉冲的持续时间在约20ns和150ns之间。作为实例而非限制,退火工艺可以在氮气或另一种惰性气体环境(例如,氩气、氦气、氙气等)中实施。
以上激光束特性不旨在进行限制,并且可以根据条件使用这些范围之外的值,诸如:(i)非晶低熔点顶层230A和底部单晶高熔点底层230B的厚度;和(ii)非晶低熔点顶层230A和底部单晶高熔点底层230B之间的熔点差。作为实例而非限制,对于更厚的非晶低熔点顶层230A(例如,当T1/T接近于约0.75时),更长的脉冲持续时间(例如,约150ns)与增加的脉冲数量(例如,10)的组合可以用于实现更高的退火深度(例如,1200nm);而对于更浅的退火深度(例如,约7nm),可以使用更少和/或更短的脉冲。
还可以根据其他激光束注意事项(例如,脉冲数、脉冲持续时间、扫描速度等)和层特性(例如,非晶低熔点顶层230A的厚度和熔点)来调节光束的波长,以实现所需的热量输出。例如,假设所有其他激光束特性相同,具有低吸收深度的短波长(例如,约300nm)的激光束可以用于薄的非晶低熔点顶层230A(例如,约10nm),而具有更高吸收深度的长波长(例如,约500nm)的激光束可以用于厚的非晶低熔点顶层230A(例如,约100nm)。在两种情况下,激光功率可以基本相同并且在例如约200焦耳和400焦耳之间的范围内。
在一些实施例中,如上所述的激光退火工艺激活了非晶低熔点顶层230A中的掺杂剂(例如,B或P)。对于p型和n型堆叠件(例如,SiGe、SiC或SiP),源极/漏极外延堆叠件230的顶层中的激活的载流子浓度可以在从约1×1020cm-3至约1×1021cm-3的范围内。在一些实施例中,掺杂剂激活工艺主要发生在低熔点顶层(例如,非晶低熔点顶层230A)中,而仅部分发生在单晶高熔点底层(例如,单晶高熔点底层230B)中。在一些实施例中,低熔点顶层可以产生基本等于其化学掺杂剂浓度的激活的载流子浓度(例如,约100%的激活率),而高熔点底层可产生小于其化学掺杂剂浓度的激活的载流子浓度(例如,小于100%激活率)。例如,在上述情况下,低熔点顶层230A可以产生高达约1×1021cm-3的激活的载流子浓度,而高熔点底层230B可以被限制为高达约1×1020cm-3的激活的载流子浓度。因此,在一些实施例中,即使在整个源极/漏极外延堆叠件230中(例如,在低熔点和高熔点层中)发生掺杂剂激活,与高熔点底层230B相比,低熔点顶层230A仍将产生更高浓度的激活的掺杂剂(例如,约高一个数量级)。
在一些实施例中,图3表明可以防止掺杂剂扩散至源极/漏极外延堆叠件外部,图3是由曲线300表示的二次离子质谱(SIMS)曲线与由曲线310表示的扩展电阻率曲线(SRP)的叠加。图3的y轴是对数的,并且表示掺杂剂和激活的掺杂剂(例如,载流子)的浓度。x轴表示源极/漏极外延堆叠件230内的深度。例如,图3中的x-y图的原点对应于源极/漏极外延堆叠件230的顶面。在一些实施例中,曲线300和310分别表示沿着图2中线A B进行退火工艺后的掺杂剂和载流子浓度。更具体地,SIMS曲线300对应于横跨源极/漏极外延堆叠件230的总掺杂剂浓度(例如,B或P),并且SRP曲线310对应于横跨源极/漏极外延堆叠件230的激活的掺杂剂浓度。如图3所示,曲线300和310都显示出与半导体鳍210的界面附近的掺杂剂和载流子浓度急剧下降。在一些实施例中,斜率320约为2.6nm/decade,并且证明了源极/漏极外延堆叠件230中的载流子和掺杂剂浓度没有扩散至半导体鳍210中。
在一些实施例中,沉积态非晶低熔点顶层230A在激光退火工艺之后随着其冷却而重结晶。例如,非晶低熔点顶层230A可以转变为单晶层。图4示出了在图2中描述的激光退火工艺之后的源极/漏极外延堆叠件230,其中沉积态非晶低熔点顶层230A已转变为激光退火的单晶顶层400。在一些实施例中,单晶顶层400具有与图2所示的沉积态非晶低熔点顶层230A基本相等的厚度T1。此外,源极/漏极外延堆叠件230的厚度T在激光退火工艺之前和之后基本相同。
在一些实施例中,界面410(例如,在激光退火的源极/漏极外延堆叠件的顶部单晶层400和底部单晶层230B之间的界面)可以具有粗糙的(例如,粗的)表面形貌,这可以通过透射电子显微镜(TEM)成像来检测。在一些实施例中,与顶部单晶顶层400的顶面420相比,界面410也显得更粗糙(例如,更粗),这也可以通过TEM成像检测。在一些实施例中,在激光退火工艺之后,低熔点顶层230A的顶面粗糙度减小了约6倍。例如,低熔点顶层230A的均方根(RMS)顶面粗糙度可以为约3nm,并且单晶顶层400(例如,退火的顶层230A)的RMS顶面粗糙度可以为约0.5nm。另外,与底部单晶高熔点底层230B相比,激光退火的单晶顶层400具有更高的缺陷密度(例如,单位面积的位错数量)。这是由于当从非晶或多晶层转变为单晶层时,激光退火的单晶顶层400经历了重结晶工艺。例如,单晶顶层400可具有约1×1018位错/cm2,而单晶高熔点底层230B可具有约1×1016位错/cm2,例如,每单位面积的位错少约两个数量级。在一些实施例中,由于源极/漏极外延堆叠件230中的两层之间的缺陷密度差,激光退火的单晶顶层400产生压缩应变,而与激光退火的单晶顶层400相比,单晶高熔点底层230B产生拉伸应变或较少的压缩应变。换句话说,激光退火的单晶顶层400和单晶高熔点底层230B之间的应变类型或应变数量级可以不同。
在一些实施例中,低熔点顶层可以包括与高熔点底层不同的材料,或相同的材料但具有不同的化学计量。此外,低熔点顶层和高熔点底层均可以是单晶层。作为实例而非限制,在p型源极/漏极外延堆叠件的情况下,低熔点顶层可以包括Ge浓度在约20%和约40%之间的单晶SiGe,而高熔点底层可以包括没有可观量的Ge的单晶硅。在一些实施例中,在Si中结合Ge降低了所得SiGe层的熔点。例如,具有约40%的Ge的SiGe层的熔点低于具有约20%的Ge的SiGe层的熔点,而具有约20%的Ge的SiGe层的熔点低于不含可观量的Ge的Si。
根据一些实施例,在n型源极/漏极外延堆叠件的情况下,低熔点顶层可以包括具有低碳浓度的单晶SiC层,而高熔点底层可以包括具有高碳浓度的单晶SiC层,其中,低碳浓度和高碳浓度之间的差为约2%。
在一些实施例中,通过CVD在约650℃和约800℃之间的温度范围以及在约20Torr和约300Torr的压力下生长上述层的每个。根据一些实施例,为了生长单晶高熔点和低熔点层,需要高于约650℃的工艺温度和低于约300Torr的工艺压力。由于激光退火工艺,低熔点顶层和高熔点底层都可以产生相同类型的应变(例如,压缩或拉伸),并且单晶顶层中的激活的掺杂剂浓度将大于单晶底层中的激活的掺杂剂浓度。在一些实施例中,用于压缩类型的应力的退火后的应变增益为约0.8GPa。
在其他实施例中,低熔点顶层可包括与高熔点底层不同的材料,或相同的材料但具有不同的化学计量。此外,低熔点顶层和高熔点层都可以是具有适当调节的熔点(例如,熔点差至少为200K)的多晶层或非晶层。作为实例而非限制,在p型源极/漏极外延堆叠件的情况下,低熔点顶层可以包括Ge浓度在约20%和约40%之间的多晶或非晶SiGe,而高熔点底层可以包括没有可观量的Ge的多晶或非晶Si。在一些实施例中,如上所述,将Ge结合至Si中降低了所得SiGe层的熔点。例如,非晶Si层的熔点为约1420K,而非晶Ge层的熔点在约965K和1024K之间。因此,通过将Ge引入非晶Si层中并且控制Ge浓度,可以将所得层的熔点调节为高于约965K且低于约1420K。因此,如先前所讨论的,可以调节Si层中Ge的浓度以实现所需的大于约200K的熔融温度差。
在n型源极/漏极外延堆叠件的情况下,低熔点顶层可以包括具有低碳浓度的多晶或非晶SiC,而高熔点底层可以包含具有高碳浓度的多晶或非晶SiC,其中,根据一些实施例,在低碳浓度和高碳浓度之间的差为约2%。在一些实施例中,约2%的碳浓度偏移足以实现大于约200K的熔点差。
在一些实施例中,通过CVD在约450℃和约600℃之间的温度范围以及在约300Torr和约400Torr之间的压力下生长上述层。根据一些实施例,为了生长多晶或非晶高熔点和低熔点层,需要小于约600℃的工艺温度和大于约300Torr的工艺压力。由于激光退火工艺,低熔点顶层和高熔点底层都将产生相同类型的应变(例如,压缩),并且顶层中的激活的掺杂剂浓度将大于底层中的激活的掺杂剂浓度。在一些实施例中,低熔点顶层表现出约100%的激活率,例如,顶层中的所有掺杂剂都被激活(例如,约1x1021cm-3)。相比之下,高熔点底层可表现出约10%的激活率。
在一些实施例中,多晶或非晶和单晶层的任何排列都可以用于源极/漏极外延堆叠件的顶层和底层,并且在本发明的精神和范围内,只要顶层的熔点低于底层的熔点,例如,只要在底层与顶层之间的熔点差大于约200K即可。此外,低熔点顶层在源极/漏极外延堆叠件的总厚度的约30%和约75%之间。
图5是根据一些实施例的用于制造具有低熔点顶层和高熔点底层的源极/漏极外延堆叠件的示例性方法500的流程图。可以在方法500的各个操作之间实施其他制造操作,并且仅为了清楚起见可以将其省略。此外,方法500的制造操作不是唯一的,并且可以代替方法500中的操作实施可选操作。本发明的实施例不限于方法500。将参考图6至图11描述示例性方法500。
方法500开始于操作510,并且在设置在衬底上的鳍上形成栅极结构。图6示出了沿着x轴(x切割)和沿着y轴(y切割)的所得结构的截面图。沿着x轴的方向与鳍的长度一致,并且沿着y轴的方向与鳍的宽度一致。例如,y切割视图是通过沿着y轴方向上的x切割的线A B观察结构而产生的,并且x视图是通过沿着x方向上的y切割的线C D观察结构而产生的。根据操作510,在衬底610上形成鳍600。在一些实施例中,鳍600和衬底610都包括一种或多种半导体材料。例如,鳍600和衬底610可以包括元素半导体材料,诸如Si或Ge,或半导体化合物,诸如SiGe。此外,鳍600和衬底610可以包括图6中未示出的掺杂区域。介电层620,诸如氧化硅,将鳍600与相邻鳍隔离。在一些实施例中,介电层620可以是隔离结构,诸如浅沟槽隔离(STI)结构。
在鳍600上和鳍600周围形成栅极结构630,使得栅极结构630“包裹”未由介电层620覆盖的一部分鳍600,如图6的x切割和y切割截面图所示。根据一些实施例,栅极结构630包括牺牲栅电极630A、牺牲栅极电介质630B和一个或多个间隔件层630C。在一些实施例中,牺牲栅电极630A包括多晶硅,并且牺牲栅极介电包括氧化硅。此外,一个或多个间隔件层630C可包括氮化物,诸如氮化硅。根据一些实施例,牺牲栅电极630A和牺牲栅极电介质630B形成可以在后续操作中由金属栅极堆叠件代替的牺牲栅极堆叠件。
参考图5,方法500继续操作520,其中使鳍600的部分凹进。根据一些实施例,凹进的鳍600有助于在后续操作中(例如,在方法500的操作530中)形成源极/漏极外延堆叠件。作为实例而非限制,图7的x切割示出了在操作520的凹进工艺之后的所得结构。在一些实施例中,使鳍600的部分凹进以形成凹进的鳍部分700。凹进的鳍部分700位于栅极结构630的间隔件层630C附近。作为实例而非限制,可以通过掩蔽要保护的鳍600的部分并且蚀刻鳍600的其余部分(例如,暴露部分)来形成凹进的鳍部分700。可以使用硬掩模层(诸如氧化物层或氮化物层),利用光刻胶层或它们的组合来实现掩蔽。蚀刻工艺可以包括蚀刻剂,诸如氯(Cl2)、溴化氢(HBr)、四氟甲烷(CF4)或它们的组合。在一些实施例中,如图11的x-切割所示,在操作520期间,使鳍600的所有未由栅极结构630覆盖的部分凹进。图7的y-切割视图示出了沿着y方向上的x-切割的线E F的所得结构。在y-切割视图中,鳍的凹进部分(例如,700)和非凹进部分(例如,600)都可见。
参考图5,方法500继续操作530,并且在凹进的鳍部分700上形成具有低熔点顶层和高熔点底层的源极/漏极外延堆叠件。如上所述,在一些实施例中,低熔点顶层和高熔点底层包括化学计量基本相似但微观结构不同的材料—例如,低熔点顶层是非晶的,而高熔点底层是单晶的。在这种情况下,两层之间的熔点差归因于两层的不同微观结构。在一些实施例中,低熔点顶层和高熔点底层包括化学计量不同但微观结构基本相似的材料—例如,低熔点顶层和高熔点底层都是单晶层或多晶层或非晶层。在这种情况下,两层之间的熔点差归因于两层的化学计量不同。在一些实施例中,高熔点底层和低熔点顶层之间的熔点差大于约200K。在一些实施例中,低熔点顶层的熔点低于周围结构(诸如鳍600)的熔点。在一些实施例中,鳍600和高熔点底层具有基本相似的熔点。
作为实例而非限制,图8示出了在形成源极/漏极外延堆叠件800之后所得的结构的x切割和y切割视图。图8的y切割视图示出了沿着y方向上的x切割的线E F的所得结构,而图8的x切割视图示出了沿着x方向上的y切割的线C-D的所得结构。在一些实施例中,源极/漏极外延堆叠件800具有菱形形状,如图8的y切割所示。源极/漏极外延堆叠件800包括低熔点顶层810和高熔点底层820。在一些实施例中,为了简单起见,高熔点底层可以包括在图8的视图中未示出的附加外延层。作为实例而非限制,低熔点顶层810和高熔点底层820通过CVD工艺在450℃和800℃之间的温度范围以及约在20Torr和约400Torr之间的工艺压力下生长。在一些实施例中,低温范围(例如,在约450℃和约600℃之间)和高压范围(例如,在约300Torr和约400Torr之间)的组合产生非晶或多晶层,而高温范围(在约600℃和约800℃之间)和低压范围(例如,在约20Torr和约300Torr之间)的组合产生单晶层。在一些实施例中,在低熔点顶层810和源极/漏极外延堆叠件800之间的厚度比在约0.3和约0.75之间(例如,0.30≤T1/T≤0.75)。在一些实施例中,激光退火工艺不改变低熔点顶层810和高熔点底层820的厚度。
在一些实施例中,源极/漏极外延堆叠件800可以是Ge浓度在约20%和约40%之间的B掺杂的SiGe堆叠件、P掺杂的SiC堆叠件或P掺杂的SiP堆叠件。在一些实施例中,低熔点顶层810的掺杂剂浓度基本类似于高熔点底层820的掺杂剂浓度(例如,约1×1021cm-3)。
参考图5和图8,方法500继续操作540,其中激光退火工艺使源极/漏极外延堆叠件800退火以激活掺杂剂。在一些实施例中,通过穿过的激光束830在低熔点顶层810中选择性地形成熔融前沿。由于该工艺,低熔点顶层810可以获得约1×1021cm-3的激活的掺杂剂浓度(例如,约100%的激活率)。在一些实施例中,由于激光退火工艺,高熔点底层820具有比低熔点顶层810低的激活的掺杂剂浓度(例如,约10%的激活率)—例如,高熔点层820的激活的掺杂剂浓度可以在约3×1018cm-3和约1×1020cm-3之间的范围内。在一些实施例中,防止了掺杂剂扩散至源极/漏极外延堆叠件之外,如以上关于图3所讨论的。
在一些实施例中,如果低熔点顶层810是沉积态非晶层,则激光退火工艺使低熔点顶层810重结晶。此外,与高熔点底层820(例如,低约2个数量级)相比,重结晶的低熔点顶层具有更高的缺陷密度。在一些实施例中,在低熔点顶层810和高熔点层820之间的界面具有经由TEM成像可见的粗糙(例如,非平面或粗的)表面形貌。在一些实施例中,重结晶的低熔点顶层产生压缩应变,而与重结晶的低熔点顶层相比,高熔点底层820具有拉伸应变或较少的压缩应变。
参考图5,方法500以操作550以及在源极/漏极外延堆叠件800上形成接触件而结束。作为实例而非限制,可以如下形成接触件。参考图9,在介电层620上沉积介电层900,然后对其进行抛光,使得介电层900的顶面与栅极结构630的顶面基本共面。在一些实施例中,牺牲栅电极630A和牺牲栅极电介质630B分别由金属栅电极堆叠件910和栅极介电堆叠件920代替。在一些实施例中,栅电极堆叠件910包括金属层,诸如功函层(例如,一个或多个氮化钛层)、阻挡层(例如,氮化钽层)、金属填充层(例如,钨金属填充物),为简单起见,它们未在图9中示出。在一些实施例中,栅极介电堆叠件920包括具有介电常数大于约3.9的界面介电层(例如,氧化硅)和高k介电层(例如,氧化铪),为简单起见,这两者均未在图9中示出。
在一些实施例中,在介电层900中形成接触件开口以暴露激光退火的低熔点顶层810。一旦暴露激光退火的低熔点顶层810,就可以在激光退火的低熔点顶层810的顶面上形成硅化物930。在一些实施例中,硅化物930包括钛、铂、镍、任何其他合适的金属或它们的组合。在一些实施例中,消耗一部分激光退火的低熔点顶层810以形成硅化物930。随后,用衬垫层涂覆接触件开口,诸如氮化钛。为简单起见,在图9中未示出衬垫层。衬垫层用作金属填充物940的粘合和阻挡层。在一些实施例中,平坦化金属填充物940和衬垫层(图10中未示出)以从介电层900的顶面去除沉积的材料并且形成接触件950。
在一些实施例中,方法500可以应用于与图6至图9所示的晶体管结构不同的晶体管结构。例如,参考图6,可以生长介电层620,使得其顶面与栅极结构630的顶面共面,如图10的x切割所示。在一些实施例中,图10所示的晶体管结构可以是图6所示的晶体管结构的变型。在图10的晶体管结构中,介电层620可以覆盖鳍结构600的侧壁和顶面以及栅极结构630的间隔件层630C。在该示例性晶体管结构中,鳍600根据图5的操作520在栅极结构630和介电层620之间凹进,如图11的x切割所示。例如,可以在栅极堆叠件630的任一侧上形成介电层620中的开口,以暴露鳍600的未由栅极堆叠件630覆盖的部分。图11的y切割示出了沿着图11的x切割的线E-F的结构的视图。作为实例而非限制,这可以通过光刻和蚀刻操作来实现。随后,鳍600可以如图7所述地凹进。在没有改变的情况下实施方法500的其他操作(例如,530-550)。
本文描述的实施例针对具有低熔点顶层和高熔点底层的源极/漏极外延堆叠件的形成。在一些实施例中,低熔点顶层和高熔点底层包括具有基本相似的化学计量但具有不同的微观结构的材料—例如,低熔点顶层可以是非晶的,而高熔点底层可以是单晶的。在这种情况下,两层之间的熔点差归因于两层之间的微观结构不同。在其他实施例中,低熔点顶层和高熔点底层包括化学计量不同但微观结构基本相似的材料—例如,低熔点顶层和高熔点底层都可以是单晶层或多晶层或非晶层。在这种情况下,两层之间的熔点差归因于两层之间的化学计量不同。根据一些实施例,高熔点底层和低熔点顶层之间的熔点差,无论其起源(例如,微结构或化学计量)如何,都大于200K。在一些实施例中,在激光退火工艺之后,低熔点顶层和高熔点底层可以具有不同的应变类型和/或不同的应变幅度。在一些实施例中,低生长温度(例如,在约450℃和约600℃之间)与高生长压力(例如,在约300Torr和约400Torr之间)的组合产生非晶或多晶层,而高生长温度(在约600℃和约800℃之间)与低生长压力(例如,在约20Torr和约300Torr之间)的组合产生单晶层。根据一些实施例,在低熔点顶层和源极/漏极外延堆叠件之间的厚度比在约0.3和0.75之间(例如,0.3≤厚度比≤0.75)。在一些实施例中,激光退火工艺基本不改变低熔点顶层和高熔点底层的厚度。在一些实施例中,低熔点顶层的熔点低于周围结构(诸如半导体鳍或半导体衬底)的熔点,因此在激光退火工艺期间可以避免鳍变形。在一些实施例中,沉积态非晶低熔点顶层由于激光退火工艺而重结晶,并且与低熔点底层相比,产生更高的缺陷密度。此外,由于激光退火工艺,低熔点顶层比高熔点底层表现出更高的激活的掺杂剂浓度。
在一些实施例中,半导体结构包括设置在衬底上的鳍,其中,鳍和衬底包括半导体材料。半导体结构还包括设置在鳍上的栅极结构,其中栅极结构包裹鳍的侧壁表面的部分。另外,半导体结构包括形成在鳍的部分中并且与栅极结构相邻的凹槽;和设置在凹槽中的源极/漏极外延堆叠件,源极/漏极外延堆叠件具有底层和顶层,其中,顶层的激活的掺杂剂浓度高于底层。最后,半导体结构包括设置在源极/漏极外延堆叠件的顶层上并且与栅极结构相邻的接触件。
在一些实施例中,方法包括在衬底上形成鳍;在鳍上形成牺牲栅极结构,该牺牲栅极结构包裹鳍的顶面的部分和鳍的侧壁表面的部分;使鳍的未由牺牲栅极结构覆盖的部分凹进;在鳍凹进的部分中形成源极/漏极外延堆叠件,其中形成源极/漏极外延堆叠件包括:生长具有晶体微结构的底层,并且在底层上生长具有非晶微结构的顶层,其中,顶层具有与底层不同的熔点。该方法还包括用激光对源极/漏极外延堆叠件进行退火以在顶层中形成熔融前沿。
在一些实施例中,方法包括在衬底上形成鳍并且在鳍上形成栅极结构。该方法还包括使鳍的未由栅极结构覆盖的部分凹进,并且在鳍凹进的部分上形成源极/漏极外延堆叠件;其中,形成源极/漏极外延堆叠件包括:沉积具有第一掺杂剂的第一层,以及沉积具有第二掺杂剂的第二层,其中第二层设置在第一层上并且具有比第一层更低的熔点。该方法还包括将源极/漏极外延堆叠件暴露于退火源以激活第一和第二层中的第一和第二掺杂剂。
本发明提供了一种半导体结构,包括:鳍,设置在衬底上,其中,所述鳍和所述衬底包括半导体材料;栅极结构,设置在所述鳍上,其中,所述栅极结构包裹所述鳍的侧壁表面的部分;凹槽,形成在所述鳍的与所述栅极结构相邻的部分中;源极/漏极外延堆叠件,设置在所述凹槽中,并且包括:底层;以及顶层,具有比所述底层高的激活的掺杂剂浓度;以及接触件结构,设置在所述源极/漏极外延堆叠件的所述顶层上,其中,所述接触件与所述栅极结构相邻。在一些实施例中,所述顶层具有约100%的激活的掺杂剂浓度,而所述底层具有约10%的激活的掺杂剂浓度。在一些实施例中,所述顶层具有比所述底层高两个数量级的缺陷密度。在一些实施例中,所述顶层的厚度在所述源极漏极外延堆叠件的厚度的约30%和约75%之间。在一些实施例中,与所述底层相比,所述顶层向所述鳍引入更高的压缩应力。在一些实施例中,所述顶层具有约1×1021cm-3的激活的掺杂剂浓度。在一些实施例中,每个所述底层和所述顶层包括硼掺杂的硅锗、磷掺杂的硅碳或磷掺杂的硅磷。
本发明还提供了一种形成半导体结构的方法,包括:在衬底上形成鳍;在所述鳍上形成牺牲栅极结构,其中,所述牺牲栅极结构包裹所述鳍的顶面的部分和所述鳍的侧壁表面的部分;使所述鳍的未由所述牺牲栅极结构覆盖的部分凹进;在所述鳍的凹进部分中形成源极/漏极外延堆叠件,其中,形成所述源极/漏极外延堆叠件包括:生长具有晶体微结构的底层;以及在所述底层上生长具有非晶微结构的顶层,其中,所述顶层具有与所述底层不同的熔点;以及用激光使源极/漏极外延堆叠件退火,以在所述顶层中形成熔融前沿。在一些实施例中,退火包括使所述顶层重结晶。在一些实施例中,在退火之后,所述顶层的每单位面积具有比所述底层多约两个数量级的缺陷。在一些实施例中,在退火之后,所述顶层具有比所述底层更高的压缩应力。在一些实施例中,生长所述顶层包括生长厚度在所述源极/漏极外延堆叠件的厚度的30%和75%之间的所述顶层。在一些实施例中,生长所述底层和顶层包括获得所述底层和顶层之间高于约200K的熔点差。在一些实施例中,使所述源极/漏极外延堆叠件退火包括将所述顶层的所述非晶微结构转变为晶体微结构。在一些实施例中,使所述源极/漏极外延堆叠件退火包括将所述顶层转变为具有比所述底层更高的单位面积缺陷密度的晶体层。在一些实施例中,使所述源极/漏极外延堆叠件退火包括将所述顶层转变为具有比所述底层更高的激活的掺杂剂浓度的晶体层。
本发明还提供了一种形成半导体结构的方法,包括:在衬底上形成鳍;在所述鳍上形成栅极结构;使所述鳍的未由所述栅极结构覆盖的部分凹进;以及在所述鳍的凹进部分上形成源极/漏极外延堆叠件,其中,形成所述源极/漏极外延堆叠件包括:沉积包括第一掺杂剂的第一层;以及沉积包括第二掺杂剂的第二层,其中,所述第二层设置在所述第一层上并且具有比所述第一层低的熔点;以及将所述源极/漏极外延堆叠件暴露于退火源以激活所述第一层中的所述第一掺杂剂和所述第二层中的所述第二掺杂剂。在一些实施例中,将所述源极/漏极外延堆叠件暴露于所述退火源包括激活所述第二层中的所述第二掺杂剂以及激活所述第一层中的所述第一掺杂剂的部分。在一些实施例中,沉积所述第一层和所述第二层包括形成具有基本相似的微观结构和基本不同的化学计量的所述第一层和所述第二层。在一些实施例中,沉积所述第一层和所述第二层包括形成具有基本不同的微观结构和基本相似的化学计量的所述第一层和第二层。
应当理解,具体实施方式部分,而不是“摘要”部分旨在用于解释权利要求。如发明人所预期的,公开的摘要部分可以阐述本发明的一个或多个但不是所有可能的实施例,并且因此,不旨在以任何方式限制所附权利要求。
上面公开概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种半导体结构,包括:
鳍,设置在衬底上,其中,所述鳍和所述衬底包括半导体材料;
栅极结构,设置在所述鳍上,其中,所述栅极结构包裹所述鳍的侧壁表面的部分;
凹槽,形成在所述鳍的与所述栅极结构相邻的部分中;
源极/漏极外延堆叠件,设置在所述凹槽中,并且包括:
底层;以及
顶层,具有比所述底层高的激活的掺杂剂浓度;以及
接触件结构,设置在所述源极/漏极外延堆叠件的所述顶层上,其中,所述接触件与所述栅极结构相邻。
2.根据权利要求1所述的半导体结构,其中,所述顶层具有约100%的激活的掺杂剂浓度,而所述底层具有约10%的激活的掺杂剂浓度。
3.根据权利要求1所述的半导体结构,其中,所述顶层具有比所述底层高两个数量级的缺陷密度。
4.根据权利要求1所述的半导体结构,其中,所述顶层的厚度在所述源极漏极外延堆叠件的厚度的约30%和约75%之间。
5.根据权利要求1所述的半导体结构,其中,与所述底层相比,所述顶层向所述鳍引入更高的压缩应力。
6.根据权利要求1所述的半导体结构,其中,所述顶层具有约1×1021cm-3的激活的掺杂剂浓度。
7.根据权利要求1所述的半导体结构,其中,每个所述底层和所述顶层包括硼掺杂的硅锗、磷掺杂的硅碳或磷掺杂的硅磷。
8.一种形成半导体结构的方法,包括:
在衬底上形成鳍;
在所述鳍上形成牺牲栅极结构,其中,所述牺牲栅极结构包裹所述鳍的顶面的部分和所述鳍的侧壁表面的部分;
使所述鳍的未由所述牺牲栅极结构覆盖的部分凹进;
在所述鳍的凹进部分中形成源极/漏极外延堆叠件,其中,形成所述源极/漏极外延堆叠件包括:
生长具有晶体微结构的底层;以及
在所述底层上生长具有非晶微结构的顶层,其中,所述顶层具有与所述底层不同的熔点;以及
用激光使源极/漏极外延堆叠件退火,以在所述顶层中形成熔融前沿。
9.根据权利要求8所述的方法,其中,退火包括使所述顶层重结晶。
10.一种形成半导体结构的方法,包括:
在衬底上形成鳍;
在所述鳍上形成栅极结构;
使所述鳍的未由所述栅极结构覆盖的部分凹进;以及
在所述鳍的凹进部分上形成源极/漏极外延堆叠件,其中,形成所述源极/漏极外延堆叠件包括:
沉积包括第一掺杂剂的第一层;以及
沉积包括第二掺杂剂的第二层,其中,所述第二层设置在所述第一层上并且具有比所述第一层低的熔点;以及
将所述源极/漏极外延堆叠件暴露于退火源以激活所述第一层中的所述第一掺杂剂和所述第二层中的所述第二掺杂剂。
CN202010644475.XA 2019-10-18 2020-07-07 半导体结构及其形成方法 Pending CN112687730A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/657,372 2019-10-18
US16/657,372 US11502197B2 (en) 2019-10-18 2019-10-18 Source and drain epitaxial layers

Publications (1)

Publication Number Publication Date
CN112687730A true CN112687730A (zh) 2021-04-20

Family

ID=75268620

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010644475.XA Pending CN112687730A (zh) 2019-10-18 2020-07-07 半导体结构及其形成方法

Country Status (5)

Country Link
US (2) US11502197B2 (zh)
KR (2) KR20210047224A (zh)
CN (1) CN112687730A (zh)
DE (1) DE102019130285A1 (zh)
TW (1) TWI754420B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11501968B2 (en) * 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101145517A (zh) * 2006-09-15 2008-03-19 夏普株式会社 用于结晶化半导体膜的方法和由该方法结晶化的半导体膜
CN101473428A (zh) * 2006-06-23 2009-07-01 应用材料股份有限公司 高级cmos器件的接触电阻减小的方法
CN101517717A (zh) * 2006-09-18 2009-08-26 英特尔公司 具有相容电介质层的有源区域
CN103000675A (zh) * 2011-09-08 2013-03-27 中国科学院微电子研究所 低源漏接触电阻mosfets及其制造方法
CN103730352A (zh) * 2012-10-16 2014-04-16 意法半导体(克洛尔2)公司 具有硅化物化源极-漏极区域的finfet器件及其使用两步退火的制作方法
CN104011867A (zh) * 2011-12-23 2014-08-27 英特尔公司 用于栅极凹进晶体管的iii-n材料结构
CN107026086A (zh) * 2015-09-18 2017-08-08 台湾积体电路制造股份有限公司 增强的沟道应变以减小nmos fet器件的接触电阻
CN107464840A (zh) * 2016-06-03 2017-12-12 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN109427662A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN110212029A (zh) * 2018-02-28 2019-09-06 台湾积体电路制造股份有限公司 在鳍式场效晶体管中形成外延结构

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
DE102009006884B4 (de) 2009-01-30 2011-06-30 Advanced Micro Devices, Inc., Calif. Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
WO2013095347A1 (en) * 2011-12-19 2013-06-27 Intel Corporation Selective laser annealing process for buried regions in a mos device
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8906789B2 (en) * 2013-03-13 2014-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric cyclic desposition etch epitaxy
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406797B2 (en) * 2014-03-07 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with dislocations
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10249502B2 (en) 2016-01-22 2019-04-02 International Business Machines Corporation Low resistance source drain contact formation with trench metastable alloys and laser annealing
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
KR102276650B1 (ko) 2017-04-03 2021-07-15 삼성전자주식회사 반도체 소자의 제조 방법
CN109300789B (zh) * 2017-07-25 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US11688780B2 (en) * 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101473428A (zh) * 2006-06-23 2009-07-01 应用材料股份有限公司 高级cmos器件的接触电阻减小的方法
CN101145517A (zh) * 2006-09-15 2008-03-19 夏普株式会社 用于结晶化半导体膜的方法和由该方法结晶化的半导体膜
CN101517717A (zh) * 2006-09-18 2009-08-26 英特尔公司 具有相容电介质层的有源区域
CN103000675A (zh) * 2011-09-08 2013-03-27 中国科学院微电子研究所 低源漏接触电阻mosfets及其制造方法
CN104011867A (zh) * 2011-12-23 2014-08-27 英特尔公司 用于栅极凹进晶体管的iii-n材料结构
CN103730352A (zh) * 2012-10-16 2014-04-16 意法半导体(克洛尔2)公司 具有硅化物化源极-漏极区域的finfet器件及其使用两步退火的制作方法
CN107026086A (zh) * 2015-09-18 2017-08-08 台湾积体电路制造股份有限公司 增强的沟道应变以减小nmos fet器件的接触电阻
CN107464840A (zh) * 2016-06-03 2017-12-12 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN109427662A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN110212029A (zh) * 2018-02-28 2019-09-06 台湾积体电路制造股份有限公司 在鳍式场效晶体管中形成外延结构

Also Published As

Publication number Publication date
US20210119047A1 (en) 2021-04-22
KR102467276B1 (ko) 2022-11-14
KR20210047224A (ko) 2021-04-29
DE102019130285A1 (de) 2021-04-22
TW202129976A (zh) 2021-08-01
US20230074496A1 (en) 2023-03-09
TWI754420B (zh) 2022-02-01
US11502197B2 (en) 2022-11-15
KR20210137418A (ko) 2021-11-17

Similar Documents

Publication Publication Date Title
US6936505B2 (en) Method of forming a shallow junction
US20230074496A1 (en) Source and drain epitaxial layers
US7795124B2 (en) Methods for contact resistance reduction of advanced CMOS devices
US7501332B2 (en) Doping method and manufacturing method for a semiconductor device
TWI543269B (zh) 以應力記憶技術製造半導體裝置的方法
JP2616741B2 (ja) 多結晶シリコン−ゲルマニウム薄膜トランジスタの製造方法
KR20070020426A (ko) 반도체 제조를 위한 게이트 전극 도펀트 활성화 방법
JP4750400B2 (ja) 半導体基盤に活性化不純物の階層構造を提供する方法
US7855111B2 (en) Border region defect reduction in hybrid orientation technology (HOT) direct silicon bonded (DSB) substrates
US20230024105A1 (en) Method of manufacturing ohmic contacts on a silicon carbide (sic) substrate, method of manufacturing a semiconductor device, and semiconductor device
AU2021236824A1 (en) All-semiconductor Josephson junction device for qubit applications
US11676867B2 (en) Method for manufacturing semiconductor structure
EP1380046A1 (en) Methods for forming ultrashallow junctions with low sheet resistance
JP5010589B2 (ja) 半導体デバイス製造方法及びその方法により製造した半導体デバイスを備えた半導体集積回路チップ
US20140377925A1 (en) Selective laser anneal on semiconductor material
US6872643B1 (en) Implant damage removal by laser thermal annealing
US20060197120A1 (en) Gate electrode for semiconductor devices
CN110957274B (zh) 制造半导体结构的方法
US20070020900A1 (en) Highly doped gate electrode made by rapidly melting and resolidifying the gate electrode
TWI840408B (zh) 半導體結構的製造方法
KR100779899B1 (ko) 반도체 장치 및 그 제조 방법
JPH0964355A (ja) 半導体素子の製造方法
EP1577932A2 (en) Method of manufacturing a semiconductor on a silicon on insulator (SOI) substrate using solid epitaxial regrowth (SPER) and semiconductor device made thereby
JP4568304B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination