DE102018106672A1 - LTHC als Ladungssperre beim Info-Package-Ausbilden - Google Patents

LTHC als Ladungssperre beim Info-Package-Ausbilden Download PDF

Info

Publication number
DE102018106672A1
DE102018106672A1 DE102018106672.4A DE102018106672A DE102018106672A1 DE 102018106672 A1 DE102018106672 A1 DE 102018106672A1 DE 102018106672 A DE102018106672 A DE 102018106672A DE 102018106672 A1 DE102018106672 A1 DE 102018106672A1
Authority
DE
Germany
Prior art keywords
coating material
buffer layer
lthc coating
release film
polymer buffer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018106672.4A
Other languages
English (en)
Inventor
Yi-Jen LAI
Chung -Yi Lin
Hsi-Kuei Cheng
Chen-Shien Chen
Kuo-Chio Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018106672A1 publication Critical patent/DE102018106672A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68377Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support with parts of the auxiliary support remaining in the finished device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02319Manufacturing methods of the redistribution layers by using a preform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02375Top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • H01L2224/06182On opposite sides of the body with specially adapted redistribution layers [RDL]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/2743Manufacturing methods by blanket deposition of the material of the layer connector in solid form
    • H01L2224/27436Lamination of a preform, e.g. foil, sheet or layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83191Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92142Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92144Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

Ein Verfahren umfasst: Ausbilden eines Lösefilms über einem Träger, Ausbilden einer Polymerpufferschicht über dem Lösefilm, Ausbilden eines Metallpfostens auf der Polymerpufferschicht, Kapseln des Metallpfostens in einem Kapselungsmaterial, Durchführen einer Planarisierung an dem Kapselungsmaterial, um den Metallpfosten freizulegen, Ausbilden einer Umverteilungsstruktur über dem Kapselungsmaterial und dem Metallpfosten, und Zersetzen eines ersten Abschnitts des Lösefilms. Ein zweiter Abschnitt des Lösefilms verbleibt nach der Zersetzung. Eine Öffnung wird in der Polymerpufferschicht ausgebildet, um den Metallpfosten freizulegen.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der folgenden vorläufig eingereichten US-Patentanmeldung: Anmeldungs-Serien-Nr. 62/538,192 , eingereicht am 28. Juli 2017 mit dem Titel „LTHC as Charging Barrier in InFO Package Formation“, wobei die Anmeldung hier durch Rückbezug aufgenommen ist.
  • STAND DER TECHNIK
  • Bei der Entwicklung von Halbleitertechnologien werden Halbleiter-Chips/Dies zunehmend kleiner. Inzwischen müssen mehr Funktionen in die Halbleiter-Dies integriert werden. Demzufolge müssen die Halbleiter-Dies zunehmend größere Zahlen von I/O-Pads aufweisen, die in kleinere Bereiche integriert werden, und die Dichte der I/O-Pads steigt schnell mit der Zeit. Folglich wird das Häusen der Halbleiter-Dies schwieriger, was die Ausbeute der Häusung negativ beeinflusst.
  • Herkömmliche Häusungstechnologien können in zwei Kategorien unterteilt werden. In der ersten Kategorie werden Dies auf einem Wafer gehäust, bevor sie durchgesägt werden. Diese Häusungstechnologie weist einige vorteilhafte Merkmale auf, wie z.B. einen größeren Durchsatz und niedrigere Kosten. Außerdem wird weniger Underfill und Moldmasse benötigt. Jedoch weist diese Häusungstechnologie auch Nachteile auf. Da die Größen der Dies zunehmend kleiner werden, und die entsprechenden Gehäuse lediglich Gehäuse des Fan-In-Typs sein können, in denen die I/O-Pads jedes Dies auf ein Gebiet direkt über der Fläche des jeweiligen Dies beschränkt sind. Bei den begrenzten Flächen der Dies ist die Anzahl der I/O-Pads aufgrund der Beschränkungen des Abstands der I/O-Pads begrenzt. Wenn der Abstand der Pads reduziert werden soll, können Lotbrücken auftreten. Bei der Anforderung einer festen Kugelgröße müssen außerdem Lotkugeln eine bestimmte Größe aufweisen, was wiederum die Anzahl von Lotkugeln beschränkt, die in die Fläche eines Die integriert werden können.
  • In der anderen Häusungskategorie werden Dies von Wafern vor der Häusung gesägt. Ein vorteilhaftes Merkmal dieser Häusungstechnologie ist die Möglichkeit des Ausbildens von Fan-Out-Packages, was bedeutet, dass die I/O-Pads auf einem Die auf eine größere Fläche als der Die umverteilt werden können, und somit kann die Anzahl von I/O-Pads, die in die Flächen der Dies integriert werden, erhöht werden. Ein weiteres vorteilhaftes Merkmal dieser Häusungstechnologie besteht darin, dass „erwiesenermaßen fehlerfreie Chips“ (Known good dies) gehäust werden, und fehlerhafte Dies ausgesondert werden, weswegen Kosten und Aufwand nicht auf die fehlerhaften Dies verschwendet werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder verkleinert sein.
    • 1 bis 18A zeigen die Querschnittsansichten von Zwischenstufen im Ausbilden eines Package gemäß einigen Ausführungsformen.
    • 18B zeigt die Querschnittsansicht eines Package gemäß einigen Ausführungsformen.
    • 19A und 19B zeigen jeweils eine Draufsicht bzw. eine Querschnittsansicht eines Abschnitts eines Lösefilms in einem Package gemäß einigen Ausführungsformen.
    • 19B zeigt die vergrößerte Ansicht eines Abschnitts eines Lösefilms gemäß einigen Ausführungsformen.
    • 20A und 20B zeigen schematisch einen Abschnitt des Lösefilms vor und nach einem Laserabtasten.
    • 21 zeigt einen Prozessablauf zum Ausbilden eines Package gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Außerdem können hierin Begriffe, die sich auf räumliche Relativität beziehen, wie z.B. „darunter liegend“, „unter“, „unterer“, „darüber liegend“, „oberer“ und dergleichen, zur Erleichterung der Besprechung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (zu anderen Elementen oder Merkmalen), wie in den Figuren dargestellt, zu beschreiben. Die Begriffe, die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der verwendeten oder betriebenen Vorrichtung zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder anders ausgerichtet) und die hier verwendeten Bezeichnungen, die räumliche Relativität betreffen, können gleichermaßen dementsprechend ausgelegt werden.
  • Ein integriertes Fan-Out-Package (InFO-Package) und das Verfahren zum Ausbilden von diesem werden gemäß verschiedenen Ausführungsbeispielen bereitgestellt. Die Zwischenstufen des Ausbildens des InFO-Package sind gemäß einigen Ausführungsformen dargestellt. Einige Abwandlungen einiger Ausführungsformen werden besprochen. In den verschiedenen Ansichten und Ausführungsbeispielen werden gleiche Bezugszeichen verwendet, um auf gleiche Elemente zu verweisen.
  • 1 bis 18A zeigen die Querschnittsansichten von Zwischenstufen im Ausbilden eines Package gemäß einigen Ausführungsformen. Die in 1 bis 18A gezeigten Schritte sind ebenfalls schematisch in dem in 21 gezeigten Prozessablauf 400 dargestellt.
  • Unter Bezugnahme auf 1 wird ein Träger 20 bereitgestellt, und ein Lösefilm 22 wird auf dem Träger 20 aufgeschichtet. Der entsprechende Schritt ist als Schritt 402 in dem in 21 dargestellten Prozessablauf gezeigt. Der Träger 20 wird aus einem transparenten Material ausgebildet und kann ein Glasträger, ein Keramikträger, ein organischer Träger oder dergleichen sein. Der Träger 20 kann eine kreisförmige Form in einer Draufsicht aufweisen und kann eine Größe eines Siliziumwafers aufweisen. Zum Beispiel kann der Träger 20 einen 8-Zoll-Durchmesser, einen 12-Zoll-Durchmesser oder dergleichen aufweisen. Der Lösefilm 22 steht mit der oberen Fläche des Trägers 20 in physischem Kontakt. Der Lösefilm 22 kann aus einem LTHC-Beschichtungsmaterial (Light-To-Heat-Conversion) ausgebildet werden. Der Lösefilm 22 kann mithilfe einer Beschichtung auf den Träger 20 aufgebracht werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist das LTHC-Beschichtungsmaterial in der Lage, sich unter der Lichtwärme/Strahlung (wie z.B. eines Lasers) zu zersetzen, und daher kann es den Träger 20 von der darauf ausgebildeten Struktur lösen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein LTHC-Beschichtungsmaterial 22 Kohlenschwarz (Kohlenstoffpartikeln, die die schwarze Farbe aufweisen), ein Lösungsmittel, einen Silikonfüllstoff und/oder ein Epoxid. Das Epoxid kann Acryl- oder ein anderes Polymer, wie z.B. Polyimid, umfassen. Das Polyimid, falls es in dem LTHC-Beschichtungsmaterial aufgenommen ist, ist von dem typischen Polyimid, das für Fotolithografie verwendet wird, verschieden, da es nicht mehr lichtempfindlich ist, und es kann nicht durch Fotobelichten und Entwickeln entfernt werden. Die Dicke T1 des LTHC-Beschichtungsmaterials 22 kann größer sein als ungefähr 1 µm und kann gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung im Bereich zwischen ungefähr 1 µm und ungefähr 2,5 µm sein. Die Dicke T1 wird in anschließenden Abschnitten ausführlich besprochen. Es versteht sich, dass die in der gesamten Beschreibung der vorliegenden Offenbarung genannten Werte Beispiele sind und durch andere Werte ersetzt werden können. Das LTHC-Beschichtungsmaterial 22 kann in einer fließfähigen Form aufgeschichtet werden und wird dann zum Beispiel unter Ultraviolett-Licht (UV) gehärtet. Das LTHC-Beschichtungsmaterial 22 ist ein homogenes Material und der obere und der untere Abschnitt des gesamten LTHC-Beschichtungsmaterials 22 weisen dieselbe Zusammensetzung auf.
  • Gemäß einigen Ausführungsformen wird, wie ebenfalls in 1 gezeigt, eine Polymerpufferschicht 23 auf dem LTHC-Beschichtungsmaterial 22 ausgebildet. Der entsprechende Schritt ist als Schritt 402 ebenfalls in dem in 21 dargestellten Prozessablauf gezeigt. Gemäß einigen Ausführungsformen wird die Polymerpufferschicht 23 aus Polybenzoxazol (PBO), Polyimid, Benzocyclobuten (BCB) oder einem anderen geeigneten Polymer gefertigt.
  • 2 bis 4 zeigen das Ausbilden von Metallpfosten 32. Der entsprechende Schritt ist als Schritt 404 in dem in 21 dargestellten Prozessablauf gezeigt. In der gesamten Beschreibung werden die Metallpfosten 32 alternativ als Durchkontaktierungen 32 bezeichnet, da die Metallpfosten 32 durch das anschließend verteilte Kapselungsmaterial hindurchführen.
  • Unter Bezugnahme auf 2 wird eine Metallkeimschicht 24 zum Beispiel mithilfe einer physikalischen Gasphasenabscheidung (PVD) ausgebildet. Die Metallkeimschicht 24 kann mit der Polymerpufferschicht 23 in physischem Kontakt stehen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst die Metallkeimschicht 24 eine Titanschicht und eine Kupferschicht über der Titanschicht. Gemäß einigen alternativen Ausführungsformen der vorliegenden Offenbarung umfasst die Metallkeimschicht 24 eine Kupferschicht, die die LTHC-Beschichtung 22 kontaktiert.
  • Wie ebenfalls in 2 dargestellt, wird ein Fotolack 26 über der Metallkeimschicht 24 ausgebildet. Eine Lichtbelichtung wird dann am Fotolack 26 unter Verwendung einer fotolithografischen Maske (nicht dargestellt) durchgeführt. Nach einem anschließenden Entwickeln werden Öffnungen 28 im Fotolack 26 ausgebildet. Einige Abschnitte der Metallkeimschicht 24 werden durch die Öffnungen 28 freigelegt.
  • Als Nächstes werden, wie in 3 dargestellt, Metallpfosten 32 ausgebildet, indem ein Metallmaterial in den Öffnungen 28 plattiert wird. Die Metallpfosten 32 werden alternativ als Durchkontaktierungen oder Moldmasse-Durchkontaktierungen bezeichnet, da sie durch das anschließend ausgebildete Kapselungsmaterial (das eine Moldmasse sein kann) im fertigen Package hindurchführen. Das plattierte Metallmaterial kann Kupfer oder eine Kupferlegierung sein. Die oberen Flächen der Metallpfosten 32 sind niedriger als die obere Fläche des Fotolacks 26, so dass die Formen der Metallpfosten 32 durch die Öffnungen 28 begrenzt sind. Die Metallpfosten 32 können im Wesentlichen vertikale und gerade Ränder aufweisen. Alternativ können die Metallpfosten 32 eine Sanduhrform in einer Querschnittsansicht aufweisen, wobei die mittleren Abschnitte der Metallpfosten 32 schmaler sind als die entsprechenden oberen Abschnitte und unteren Abschnitte.
  • In anschließenden Schritten wird der Fotolack 26 entfernt und daher werden die darunterliegenden Abschnitte der Metallkeimschicht 24 freigelegt. Die freigelegten Abschnitte der Metallkeimschicht 24 werden dann in einem Ätzschritt, zum Beispiel in einem anisotropen oder isotropen Ätzschritt, entfernt. Die Ränder der verbleibenden Keimschicht 24 enden auf diese Weise zusammen mit den jeweiligen darüberliegenden Abschnitten der Metallpfosten 32. Die resultierenden Metallpfosten 32 sind in 4 dargestellt. In der gesamten Beschreibung werden die verbleibenden Abschnitte der Metallkeimschicht 24 als Teile der Metallpfosten 32 betrachtet und sind möglicherweise nicht separat dargestellt. Die Draufsichtsformen der Metallpfosten 32 umfassen kreisförmige Formen, Rechtecke, Sechsecke, Achtecke und dergleichen, und sind nicht darauf beschränkt. Nach dem Ausbilden der Metallpfosten 32 wird die Polymerpufferschicht 23 freigelegt.
  • 5 zeigt die Anordnung/Anbringung des Vorrichtungs-Die 36. Der entsprechende Schritt ist als Schritt 406 in dem in 21 dargestellten Prozessablauf gezeigt. Der Vorrichtungs-Die 36 wird an der Polymerpufferschicht 23 mithilfe des Die-Befestigungsfilms (DAF) 38 angebracht, der ein Haftfilm ist, der an dem Vorrichtungs-Die 36 vorbefestigt wird, bevor der Vorrichtung-Die 38 auf der Polymerpufferschicht 23 angeordnet wird. Dementsprechend sind der DAF 38 und der Vorrichtungs-Die 36, bevor sie an der Polymerpufferschicht 23 angebracht werden, in Kombination einstückig. Der Vorrichtungs-Die 36 kann ein Halbleitersubstrat umfassen, das eine Rückfläche (die nach unten weisende Fläche) aufweist, die in physischem Kontakt mit dem DAF 38 steht. Der Vorrichtungs-Die 36 kann integrierte Schaltungsvorrichtungen (wie z.B. aktive Bauelemente, die zum Beispiel Transistoren (nicht dargestellt) umfassen) an der Vorderfläche (der nach oben weisenden Fläche) des Halbleitersubstrats umfassen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist der Vorrichtungs-Die 36 ein Logik-Die, der ein CPU-Die (zentrale Verarbeitungseinheit), ein GPU-Die (Grafikverarbeitungseinheit), ein Die einer mobilen Anwendung, ein MCU-Die (Mikrosteuereinheit), ein IO-Die (Eingabe-Ausgabe), ein BB-Die (BaseBand) oder ein AP-Die (Anwendungsprozessor) sein kann. Da der Träger 20 auf der Waferebene vorliegt, werden, obwohl ein Vorrichtungs-Die 36 dargestellt ist, mehrere identische Vorrichtungs-Dies 36 über der Polymerpufferschicht 23 angeordnet, und können als ein Array, das mehrere Zeilen und mehrere Spalten umfasst, bereitgestellt werden.
  • Gemäß einigen Ausführungsformbeispielen werden Metallsäulen 42 (wie z.B. Kupfersäulen) als Abschnitte des Vorrichtungs-Die 36 vorgeformt, und die Metallsäulen 42 werden mit den integrierten Schaltungsvorrichtungen, wie z.B. Transistoren (nicht dargestellt), im Vorrichtungs-Die 36 elektrisch gekoppelt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung füllt ein dielektrisches Material, wie z.B. ein Polymer, die Spalte zwischen benachbarten Metallsäulen 42, um eine obere dielektrische Schicht 44 auszubilden. Die obere dielektrische Schicht 44 kann auch einen Abschnitt umfassen, der die Metallsäulen 42 abdeckt und schützt. Die Polymerschicht 44 kann gemäß einigen Ausführungsformen der vorliegenden Offenbarung aus PBO oder Polyimid ausgebildet werden.
  • Als Nächstes werden der Vorrichtungs-Die 36 und die Metallpfosten 32 in einem Kapselungsmaterial 48 gekapselt, wie in 6 dargestellt. Der entsprechende Schritt ist als Schritt 408 in dem in 21 dargestellten Prozessablauf gezeigt. Das Kapselungsmaterial 48 füllt die Spalte zwischen benachbarten Durchkontaktierungen 32 und die Spalte zwischen den Durchkontaktierungen 32 und dem Vorrichtungs-Die 36. Das Kapselungsmaterial 48 kann eine Moldmasse, eine Formunterfüllung (Underfill), ein Epoxid und/oder ein Harz umfassen. Die obere Fläche des Kapselungsmaterials 48 ist höher als die oberen Enden der Metallsäulen 42. Wenn es aus einer Moldmasse gefertigt wird, kann das Kapselungsmaterial 48 ein Basismaterial, das ein Polymer, ein Harz, ein Epoxid oder dergleichen sein kann, und Füllpartikeln (nicht dargestellt, siehe 19C) in dem Basismaterial umfassen. Die Füllpartikeln können dielektrische Partikeln aus SiO2, Al2O3, Silica oder dergleichen sein und können sphärische Formen aufweisen. Außerdem können die sphärischen Füllpartikeln mehrere verschiedene Durchmesser aufweisen. Sowohl die Füllpartikeln als auch das Basismaterial in der Moldmasse können mit der Polymerpufferschicht 23 in physischem Kontakt stehen, wie auch schematisch in 19C dargestellt.
  • In einem anschließenden Schritt wird, wie in 7 dargestellt, ein Planarisierungsschritt, wie z.B. ein chemisch-mechanischer Polierschritt (CMP) oder ein mechanischer Schleifschritt, durchgeführt, um das Kapselungsmaterial 48 und die dielektrische Schicht 44 zu dünnen, bis die Durchkontaktierungen 32 und die Metallsäulen 42 freigelegt werden. Der entsprechende Schritt ist als Schritt 408 ebenfalls in dem in 21 dargestellten Prozessablauf gezeigt. Aufgrund des Planarisierungsprozesses sind die oberen Enden der Durchkontaktierungen 32 mit den oberen Flächen der Metallsäulen 42 im Wesentlichen eben (komplanar) und sie sind mit der oberen Fläche des Kapselungsmaterials 48 im Wesentlichen komplanar.
  • 8 bis 12 zeigen das Ausbilden einer Vorderseiten-Umverteilungsstruktur. 8 und 9 zeigen das Ausbilden einer ersten Schicht von Umverteilungsleitungen (RDLs) und der entsprechenden dielektrischen Schicht. Unter Bezugnahme auf 8 wird eine dielektrische Schicht 50 ausgebildet. Der entsprechende Schritt ist als Schritt 410 in dem in 21 dargestellten Prozessablauf gezeigt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die dielektrische Schicht 50 aus einem Polymer, wie z.B. PBO, Polyimid oder dergleichen, ausgebildet. Das Ausbildungsverfahren umfasst ein Aufschichten der dielektrischen Schicht 50 in einer fließfähigen Form und anschließendes Aushärten der dielektrischen Schicht 50. Gemäß einigen alternativen Ausführungsformen der vorliegenden Offenbarung wird die dielektrische Schicht 50 aus einem anorganischen dielektrischen Material, wie z.B. Siliziumnitrid, Siliziumoxid oder dergleichen, ausgebildet. Das Ausbildungsverfahren kann eine chemische Gasphasenabscheidung (CVD), eine Atomlagenabscheidung (ALD), eine Plasmaunterstützte chemische Gasphasenabscheidung (PECVD) oder andere geeignete Abscheidungsverfahren umfassen. Die Öffnungen 52 werden dann zum Beispiel mithilfe eines fotolithografischen Prozesses ausgebildet. Gemäß einigen Ausführungsformen, in denen die dielektrische Schicht 50 aus einem lichtempfindlichen Material, wie z.B. PBO oder Polyimid, ausgebildet wird, umfasst das Ausbilden der Öffnungen 52 eine Fotobelichtung unter Verwendung einer lithografischen Maske (nicht dargestellt) und einen Entwicklungsschritt. Die Durchkontaktierungen 32 und die Metallsäulen 42 werden durch die Öffnungen 52 freigelegt.
  • Unter Bezugnahme auf 9 werden als Nächstes RDLs 54 über der dielektrischen Schicht 50 ausgebildet. Der entsprechende Schritt ist als Schritt 412 in dem in 21 dargestellten Prozessablauf gezeigt. Die RDLs 54 umfassen Durchkontaktierungen 54A, die in der dielektrischen Schicht 50 ausgebildet werden, um mit den Metallsäulen 42 und den Durchkontaktierungen 32 verbunden zu werden, und Metallleiterbahnen (Metallleitungen) 54B über der dielektrischen Schicht 50. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die RDLs 54 (die 54A und 54B umfassen) in einem Plattierungsprozess ausgebildet, der ein Abscheiden einer Metallkeimschicht (nicht dargestellt), ein Ausbilden und Strukturieren eines Fotolacks (nicht dargestellt) über der Metallkeimschicht und Plattieren eines Metallmaterials, wie z.B. Kupfer und/oder Aluminium über der Metallkeimschicht umfasst. Die Metallkeimschicht und das plattierte Metallmaterial können aus demselben Material oder verschiedenen Materialien ausgebildet werden. Der plattierte Fotolack wird dann entfernt, worauf ein Ätzen der Abschnitte der Metallkeimschicht folgt, die zuvor mit dem strukturierten Fotolack abgedeckt waren. Obwohl nicht dargestellt, können die oberen Flächen der Abschnitte der RDLs 54, die von den Öffnungen 52 aufgewachsen werden, niedriger ausgespart werden als der Abschnitt der RDLs 54, der direkt über der dielektrischen Schicht 50 liegt.
  • Unter Bezugnahme auf 10 wird gemäß einigen Ausführungsformen der vorliegenden Offenbarung eine dielektrische Schicht 60 über der in 9 gezeigten Struktur ausgebildet, worauf das Ausbilden von Öffnungen in der dielektrischen Schicht 60 folgt. Einige Abschnitte der RDLs 54 werden daher durch die Öffnungen freigelegt. Die dielektrische Schicht 60 kann unter Verwendung eines Materials ausgebildet werden, das aus denselben in Frage kommenden Materialien wie beim Ausbilden der dielektrischen Schicht 50 ausgewählt wird, die PBO, Polyimid, BCB oder andere organische und anorganische Materialien umfassen können. RDLs 58 werden dann ausgebildet. Der entsprechende Schritt ist als Schritt 414 in dem in 21 dargestellten Prozessablauf gezeigt. Die RDLs 58 umfassen außerdem Durchkontaktierungsabschnitte, die sich in die Öffnungen in der dielektrischen Schicht 60 erstrecken, um die RDLs 54 zu kontaktieren, und Metallleitungsabschnitte direkt über der dielektrischen Schicht 60. Das Ausbilden der RDLs 58 kann dem Ausbilden der RDLs 54 gleich sein, das ein Ausbilden einer Keimschicht, ein Ausbilden einer strukturierten Maske, ein Plattieren der RDLs 59 und anschließendes Entfernen der strukturierten Maske und unerwünschter Abschnitte der Keimschicht umfasst.
  • 11 stellt das Ausbilden einer dielektrischen Schicht 62 und RDLs 64 über der dielektrischen Schicht 60 und den RDLs 58 dar. Der entsprechende Schritt ist als Schritt 416 in dem in 21 dargestellten Prozessablauf gezeigt. Die dielektrische Schicht 62 kann aus einem Material ausgebildet werden, das aus derselben Gruppe von in Frage kommenden Materialien ausgewählt wird, wie beim Ausbilden der dielektrischen Schichten 50 und 60. Die RDLs 64 können auch aus einem Metall oder einer Metalllegierung ausbildet werden, das/die Aluminium, Kupfer, Wolfram oder Legierungen davon umfasst. Es versteht sich, dass das Package eine beliebige Anzahl von RDL-Schichten aufweisen kann, wie z.B. eine Schicht, zwei Schichten oder mehr als drei Schichten, obwohl in den dargestellten Ausführungsbeispielen drei Schichten von RDLs (54, 58 und 64) ausgebildet werden.
  • 12 zeigt das Ausbilden der dielektrischen Schicht 66, der UBMs (lötfähigen Metallisierungen) 68 und elektrischer Verbinder 70 gemäß einigen Ausführungsbeispielen. Die dielektrische Schicht 66 kann aus einem Material ausgebildet werden, das aus derselben Gruppe von in Frage kommenden Materialien ausgewählt wird, wie beim Ausbilden der dielektrischen Schichten 50, 60, 62 und 66. Zum Beispiel kann die dielektrische Schicht 66 unter Verwendung von PBO, Polyimid oder BCB ausgebildet werden. Öffnungen werden in der dielektrischen Schicht 66 ausgebildet, um die darunterliegenden Metallpads freizulegen, die in den dargestellten Ausführungsbeispielen Teile der RDLs 64 darstellen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die UBMs 68 ausgebildet, so dass sie sich in die Öffnungen in der dielektrischen Schicht 66 erstrecken, um die Metallpads in den RDLs 64 zu kontaktieren. Die UMBs 68 können aus Nickel, Kupfer, Titan oder Mehrfachschichten davon ausgebildet werden. Gemäß einigen Ausführungsformbeispielen umfassen die UBMs 68 eine Titanschicht und eine Kupferschicht über der Titanschicht.
  • Elektrische Verbinder 70 werden dann ausgebildet. Der entsprechende Schritt ist als Schritt 418 in dem in 21 dargestellten Prozessablauf gezeigt. Das Ausbilden der elektrischen Verbinder 70 kann ein Anordnen von Lotkugeln auf den freigelegten Abschnitten der UBMs 68 und anschließendes Aufschmelzen der Lotkugeln umfassen, und daher sind die elektrischen Verbinder 70 Lötzinnbereiche. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung umfasst das Ausbilden der elektrischen Verbinder 70 ein Durchführen eines Plattierungsschritts, um Lotschichten über den UBMs 68 auszubilden, und anschließendes Aufschmelzen der Lotschichten. Die elektrischen Verbinder 70 können auch Nicht-Lot-Metallsäulen oder Metallsäulen und Lot-Kappen über den Nicht-Lot-Metallsäulen umfassen, die ebenfalls mithilfe eines Plattierens ausgebildet werden können. In der gesamten Beschreibung wird die Struktur, die den Lösefilm 22 und die darüberliegende Struktur umfasst, in Kombination als das Package 100 bezeichnet, das ein Verbundwafer ist (und der nachstehend auch als Verbundwafer 100 bezeichnet wird), der mehrere Vorrichtungs-Dies 36 umfasst.
  • Als Nächstes wird unter Bezugnahme auf 13 ein Verbundwafer 100 auf einem Band 74 angeordnet, das an einem Rahmen 76 angebracht ist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung stehen die elektrischen Verbinder 70 in Kontakt mit dem Band 74. Als Nächstes wird Licht 78 (oder eine andere Art von Strahlungsquelle, die Wärmetransportiert) auf das LTHC-Beschichtungsmaterial 22 projiziert und das Licht 78 dringt durch den transparenten Träger 20 durch. Gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung ist das Licht 78 ein Laserstrahl, der auf dem LTHC-Beschichtungsmaterial 22 hin und zurück gerastert werden kann, wobei jede Abtastung auf einem nicht abgetasteten Abschnitt des LTHC-Beschichtungsmaterials 22 durchgeführt wird. In der anschließenden Diskussion wird die Strahlung 78 der Einfachheit halber als Laserstrahl 78 bezeichnet, obwohl es andere Arten von Strahlung sein kann.
  • 20A zeigt den Bereich 79 (gezeigt in 13), bevor das Laserabtasten durchgeführt wird. Im LTHC-Beschichtungsmaterial 22 werden Kohlenschwarzpartikeln 122A im Basismaterial 122B, das gemäß einigen Ausführungsformen Acryl sein kann, gestreut. Die Kohlenschwarzpartikeln 122A bilden keinen durchgehenden leitfähigen Pfad, da die Kohlenschwarzpartikeln 122A voneinander durch das Basismaterial 122B isoliert sind.
  • 20B zeigt eine vergrößerte Ansicht des Bereichs 79 (13) während und nachdem das Laserabtasten durchgeführt wurde. Das LTHC-Beschichtungsmaterial 22 umfasst einen Abschnitt 22A, der im Arbeitsbereich des Laserstrahls 78 liegt. Der Abschnitt 22B des LTHC-Beschichtungsmaterials 22 befindet sich außerhalb des Arbeitsbereichs des Laserstrahls 78. Der Arbeitsbereich des Laserstrahls 78 befindet sich in dem Bereich, in dem die Energie des Laserstrahls 78 geeignet für eine Zersetzung des LTHC-Beschichtungsmaterials 22 ist. Außerhalb des Arbeitsbereichs ist entweder der Laserstrahl 78 bereits absorbiert oder in dem Arbeitsbereich blockiert, oder die Energie des Laserstrahls 78 ist für eine Zersetzung des LTHC-Beschichtungsmaterials 22 nicht geeignet, auch wenn der Laserstrahl 78 über den Arbeitsbereich hinaus reichen kann. Dementsprechend wird während der Laserstrahlabtastung (das Basismaterial 122B im) Abschnitt 22A des LTHC-Beschichtungsmaterials 22 als Antwort auf die durch die Lichtbelichtung eingebrachte Wärme zersetzt und der Abschnitt 2B wird nicht zersetzt.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Laserstrahl 78 auf der Höhe 81 fokussier, wie in 20B dargestellt. Die Höhe 81 befindet sich unterhalb der Grenzfläche zwischen dem Träger 20 und dem LTHC-Beschichtungsmaterial 22. Der Arbeitsbereich des Lasers 78 umfasst sowohl den Bereich über der Fokushöhe 81 mit einer Tiefe ΔD und den Bereich unterhalb der Fokushöhe 81 mit einer Tiefe ΔD. Die Tiefe ΔD kann gemäß einigen Ausführungsformen ungefähr 0,5 µm betragen. Es versteht sich, dass der Arbeitsbereich durch verschiedene Faktoren beeinflusst wird, die das Energieniveau des Laserstrahls, die Abtastgeschwindigkeit, die Absorptionsrate der Energie durch das LTHC-Beschichtungsmaterial 22 usw. umfassen, und nicht darauf beschränkt sind. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Fokushöhe 81 eingestellt, um zu ermöglichen, dass die Oberseite des Arbeitsbereichs die Grenzfläche zwischen dem LTHC-Beschichtungsmaterial 22 und dem Träger 20 erreicht, und die Unterseite des Arbeitsbereichs höher ist als die untere Fläche des LTHC-Beschichtungsmaterials 22.
  • Während des Laserabtastung werden Ladungen (wie z.B. Elektronen, wie durch die e-Symbole angezeigt) induziert, und werden in Kohlenschwarzpartikeln 122A eingefangen. Außerdem verursacht das Laserabtasten das Anquellen von Kohlenschwarzpartikeln 122A und daher werden die isolierten Kohlenschwarzpartikeln 122A miteinander verbunden, wodurch ein durchgehender leitfähiger Pfad erzeugt wird.
  • Als eine Folge der Lichtbelichtung (wie z.B. des Laserabtastens) kann der Träger 20 vom LTHC-Beschichtungsmaterial 22 abgehoben werden, und daher wird der Verbundwafer 100 vom Träger 20 debondet (abgenommen). Der entsprechende Schritt ist als Schritt 420 in dem in 21 dargestellten Prozessablauf gezeigt. Der resultierende Verbundwafer 100 ist in 14 dargestellt. Während der Lichtbelichtung wird der Abschnitt 22A (20B) des LTHC-Beschichtungsmaterials 22 zersetzt. Der Abschnitt 22B des LTHC-Beschichtungsmaterials 22 wird nicht zersetzt, und verbleibt daher, nachdem der Träger 20 abgehoben wurde. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung liegt die Gesamttiefe T1 (13) des LTHC-Beschichtungsmaterials 22 vor einer Zersetzung im Bereich zwischen ungefähr 1,5 µm und ungefähr 2,5 µm. Die Tiefe T2 (14) des verbleibenden Abschnitts 22B des LTHC-Beschichtungsmaterials 22 kann gemäß einigen Ausführungsbeispielen im Bereich zwischen ungefähr 0,5 µm und ungefähr 1,5 µm liegen. Außerdem kann das Verhältnis der Dicke T2 des zersetzten Abschnitts zur Gesamtdicke T1 des LTHC-Beschichtungsmaterials 22 im Bereich zwischen ungefähr 0,4 und ungefähr 0,7 liegen. Außerdem kann die Dicke T2 des zersetzten Abschnitts größer sein als das 5-Fache des Durchmessers Dia (20A und 20B) der Kohlenschwarzpartikeln 122A, um sicherzustellen, dass der Abschnitt 22B eine hinreichende Dicke aufweiset, um als eine wirksame dielektrische Barriere zu wirken.
  • Während des Abhebens des Trägers 20 kann aufgrund der Reibung am Träger 20 eine große Menge statischer Ladungen erzeugt werden. Die statischen Ladungen können mit den in der Laserabtastung erzeugten Ladungen verbunden werden, und werden durch den leitfähigen Pfad, der aus den gequollenen Kohlenschwarzpartikeln 122A ausgebildet wurde, geleitet. Wenn ein Abschnitt 22B des LTHC-Beschichtungsmaterials 22 nicht vorhanden ist, erstrecken sich Abschnitte 22A (somit der durchgehende leitfähige Pfad) zur Polymerpufferschicht 23. Die große Menge von Ladungen kann durch die Polymerpufferschicht 23 hindurchdringen und wird zu den Durchkontaktierungen 32 geleitet. Durch die Durchkontaktierungen 32 und die RDLs 54, 58 usw. können die Ladungen in den Vorrichtungs-Die 36 geleitet werden und die Vorrichtungen und die dünnen Metallleitungen in dem Vorrichtungs-Die 36 beschädigen. Dies wird als elektrische Überbelastung (electrical overstress, EOS) bezeichnet.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wirken die Abschnitte 22B, teilweise aufgrund dessen, dass Kohlenschwarzpartikeln 122A darin keinen durchgehenden Pfad bilden, als eine dielektrische Barriere. Die dielektrische Barriere verhindert, dass die Ladungen die Durchkontaktierungen 32 erreichen. Dementsprechend wird die Wahrscheinlichkeit des EOS-Schadens reduziert. Experimentergebnisse, die von Probenwafern erzielt wurden, zeigten, dass durch Anpassen der Ausführungsformen der vorliegenden Offenbarung ein Großteil der EOS-Schäden eliminiert wird, und die EOS-Schäden um 98 Prozent reduziert werden können.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann der verbleibende, nicht zersetzte Abschnitt 22B (14) des LTHC-Beschichtungsmaterials 22 eine flächendeckende Schicht ohne jegliche Öffnung zum Freilegen der darunterliegenden Polymerpufferschicht 23 sein.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird nach dem Abheben des Trägers 20 das verbleibende LTHC-Beschichtungsmaterial 22 entfernt, wodurch die darunterliegende Polymerpufferschicht 23 freigelegt wird. Der entsprechende Schritt ist als Schritt 422 in dem in 21 dargestellten Prozessablauf gezeigt. Das Entfernen des LTHC-Beschichtungsmaterials 22 kann mithilfe eines Plasmareinigungsschritts zum Beispiel unter Verwendung des Plasmas von Stickstoff (N2), Sauerstoff (O2), CF4 und dergleichen geschehen. Der resultierende Verbundwafer 100 ist in 14 dargestellt.
  • Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird das verbleibende LTHC-Beschichtungsmaterial 22 nicht entfernt. Der Schritt 422 in 21 ist derart veranschaulicht, dass er gestrichelt ist, um anzuzeigen, dass dieser Schritt durchgeführt werden kann oder nicht. Die obere Fläche des LTHC-Beschichtungsmaterials 22 kann eine Koplanarität aufweisen, die der Spezifikation des Herstellungsprozesses des Package entspricht. Dementsprechend wird keine Planarisierung der oberen Fläche des LTHC-Beschichtungsmaterials 22 durchgeführt. Wenn jedoch nach dem Abheben des Trägers 20 das LTHC-Beschichtungsmaterial 22 eine Rauigkeit aufweist, die größer ist als die maximal hinnehmbare, durch die Spezifikation spezifizierte Rauigkeit, und die hohe Rauigkeit den Verlust der Ausbeute verursachen kann, kann eine Planarisierung, wie z.B. chemischmechanisches Polieren (CMP) oder ein mechanisches Schleifen, durchgeführt werden, um die obere Fläche des LTHC-Beschichtungsmaterials 22 zu ebnen. Die Planarisierung entfernt einen oberen Flächenabschnitt des LTHC-Beschichtungsmaterials 22, während ein flächendeckender unterer Abschnitt nicht-entfernt verbleibt. Unter Bezugnahme auf 15 werden Öffnungen 72 in dem LTHC-Beschichtungsmaterial 22 und der Polymerpufferschicht 23 ausgebildet und daher werden Durchkontaktierungen 32 freigelegt. Der entsprechende Schritt ist als Schritt 424 in dem in 21 dargestellten Prozessablauf gezeigt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Öffnungen 72 mithilfe eines Laserbohrens ausgebildet, während dessen einige Abschnitte des LTHC-Beschichtungsmaterials 22 direkt über den Durchkontaktierungen 32 mit dem Laser verbrannt und zersetzt werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung werden die Öffnungen 72 mithilfe eines Ätzens in einem lithografischen Prozess ausgebildet.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden Titanschichten 24A nach dem Laserbohren freigelegt. Die Titanschichten 24A sind die verbleibenden Abschnitte der Metallkeimschicht 24, wie in 3 dargestellt. In einem anschließenden Schritt wird ein Ätzschritt durchgeführt, um die Titanschichten zu entfernen. Da Titan eine höhere elektrische Resistivität aufweist als Kupfer, werden durch Entfernen der Titanschichten die Kupferabschnitte der Durchkontaktierungen 32, die eine niedrigere Resistivität aufweisen als Titanschichten, freigelegt. Daher kann die elektrische Verbindung mit den Durchkontaktierungen 32 mit einer niedrigeren Resistivität gebildet werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird das Ätzen der Titanschichten mithilfe eines Nassätzens unter Verwendung einer Flusssäurelösung (HF), einer Phosphorsäure oder einer Mischung aus HF und Phosphorsäure durchgeführt. Das Ätzen kann auch unter Verwendung eines Trockenätzens durchgeführt werden.
  • Beim Ätzen der Titanschichten 24A wird das LTHC-Beschichtungsmaterial 22 nicht geätzt. Dementsprechend werden das Material des LTHC-Beschichtungsmaterials 22 und das Ätzmittel der Titanschichten 24A derart ausgewählt, dass das Ätzmittel das LTHC-Beschichtungsmaterial 22 nicht angreift, während es in der Lage ist, die Titanschichten 24A zu ätzen.
  • Der Verbundwafer 100 umfasst mehrere Packages 100' (siehe 17), die miteinander identisch sind, wobei jedes der Packages 100' mehrere Durchkontaktierungen 32 und einen Vorrichtungs-Die 36 umfasst. Das LTHC-Beschichtungsmaterial 22 erstreckt sich quer über dem gesamten Package auf der Waferebene 100. 16 zeigt das Bonden mehrerer der Packages 200 (wobei ein Package 200 dargestellt ist) an den Verbundwafer 100, wodurch mehrere identische Package-on-Package-Strukturen/Packages (PoP) 300 (17) ausgebildet werden. Das Bonden wird über die Lötzinnbereiche 80 durchgeführt, die die Durchkontaktierungen 32 mit den Metall-Pads 206 in dem darüberliegenden Package 200 verbinden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Package 200 ein Package-Substrat 204 und einen Vorrichtung-Die (Vorrichtungs-Dies) 202, der/die Speicher-Dies, wie z.B. SRAM-Dies (statischer Direktzugriffspeicher), DRAM-Dies (dynamischer Direktzugriffspeicher) oder dergleichen, sein kann/können. Ein Underfill 208 wird auch in dem Spalt zwischen den Packages 200 und dem darunterliegenden Verbundwafer 100 angeordnet und wird gehärtet. Der Underfill 208 kann mit dem LTHC-Beschichtungsmaterial 22 in Kontakt stehen.
  • Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung werden, statt des Bondens des Package 200 an den Verbundwafer 100 direkt über die Öffnungen 72 (15), Rückseiten-RDLs (nicht dargestellt) ausgebildet, und das Package 200 wird über die Rückseiten-RDLs in der Rückseiten-Umverteilungsstruktur gebondet. Die Rückseiten-RDLs umfassen daher Durchkontaktierungen (nicht dargestellt), die sich in das LTHC-Beschichtungsmaterial 22 erstrecken, und Metallleitungen (nicht dargestellt) über dem LTHC-Beschichtungsmaterial 22. Die Rückseiten-RDLs werden so genannt, da sich diese RDLs, falls ausgebildet, auf der Rückseite des Vorrichtungs-Die 36 befinden. Um die Rückseiten-RDLs auszubilden, kann ein Träger, anstatt eines Bands, unter dem Verbundwafer 100 als eine Stütze beim Ausbilden der Rückseiten-RDLs angeordnet werden. Dementsprechend werden die elektrischen Verbinder 70 an dem Träger mithilfe eines Haftfilms (nicht dargestellt) während des Ausbildens der Rückseiten-RDLs angehaftet.
  • Als Nächstes wird unter Bezugnahme auf 17 ein Vereinzelungsprozess (Die-Sägen) durchgeführt, um den Verbundwafer 100 in einzelne Packages 300 zu trennen, die miteinander identisch sind. Die Vereinzelung kann durchgeführt werden, wenn der Verbundwafer 100 auf dem Band 74 angeordnet ist. Die Vereinzelung kann unter Verwendung einer Klinge durchgeführt werden oder sie kann durchgeführt werden, indem ein Laserstrahl zum Vorrillen verwendet wird, so dass Rillen ausgebildet werden, und dann eine Klinge verwendet wird, um durch die Rillen zu schneiden.
  • 18A stellt das Bonden des vereinzelten Package 300 an eine Package-Komponente 86 über Lötzinnbereiche 70 dar, wodurch das Package 302 ausgebildet wird. Der entsprechende Schritt ist als Schritt 426 in dem in 21 dargestellten Prozessablauf gezeigt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Package-Komponente 86 ein Package-Substrat, das ein kernloses Substrat oder ein Substrat, das einen Kern aufweist, sein kann. Gemäß anderen Ausführungsformen der vorliegenden Offenbarung ist die Package-Komponente 86 eine gedruckte Leiterplatte oder ein Package. Die Lötzinnbereiche 70 können an die Bondpads 88 in der Package-Komponente 86 gebildet werden.
  • 18B veranschaulicht ein Package 302, das gemäß alternativen Ausführungsformen der vorliegenden Offenbarung ausgebildet wird. Diese Ausführungsformen sind den in 18A dargestellten Ausführungsformen ähnlich, mit der Ausnahme, dass nach dem Abheben des Trägers 20 das verbleibende LTHC-Beschichtungsmaterial 22 (14) entfernt wird. Dementsprechend steht, wie in 18B dargestellt, der Underfill 208 mit der Polymerpufferschicht 23 in Kontakt.
  • 19A zeigt eine Draufsicht auf einige Abschnitte eines Beispiels eines Package 300, wobei Durchkontaktierungen 32, das LTHC-Beschichtungsmaterial 22 und der Vorrichtungs-Die 36 veranschaulicht sind, während andere Merkmale der Einfachheit halber nicht dargestellt sind. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Zersetzung des LTHC-Beschichtungsmaterials 22 mithilfe eines Lasers durchgeführt, der die Form eines Laserstrahls aufweist. Der Laserstrahl ist schmaler als das Package 300 und es werden mehrere Laserstrahlabtastungspfade benötigt, um das gesamte Package 300 abzudecken (um den Verbundwafer 100 abzudecken, wie in 13 dargestellt). Die Pfade der mehreren Laserstrahlabtastungen können einander leicht überlappen, um eine vollständige Abdeckung des LTHC-Beschichtungsmaterials 22 sicherzustellen, ohne dass einige Abschnitte unerwünschterweise nicht abgetastet bleiben. Die überlappten Abschnitte erhalten eine Doppelabtastung im Vergleich zu den nicht überlappten Abschnitten. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Dicke des zersetzten LTHC-Beschichtungsmaterials 22 in den doppelt abgetasteten Bereichen größer als die Dicke des zersetzten LTHC-Beschichtungsmaterials 22 in den einfach abgetasteten Bereichen. Dies führt dazu, dass die obere Fläche des LTHC-Beschichtungsmaterials 22 einige Abschnitte aufweist, die mehr als andere Abschnitte ausgespart sind. Zum Beispiel zeigt 19A schematisch Abschnitte 222A und Abschnitte 222B, die mehr als Abschnitte 222A ausgespart sind. Die Abschnitte 222B und 222A weisen ein abwechselndes Layout, wobei die Abschnitte 222A einfach abgetastete Abschnitte sind, und die Abschnitte 222B doppelt abgetastete Abschnitte sind. Außerdem können die Abschnitte 222A und 222B im Wesentlichen gerade in der Draufsicht sein.
  • 19B zeigt eine Querschnittsansicht eines LTHC-Beschichtungsmaterials 22 gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung. Die Abschnitte 222A und 222B sind ebenfalls dargestellt. Die Dicken T3A der Abschnitte 222A und T3B der Abschnitte 222B sind ebenfalls dargestellt. Die Dicke T3A ist größer als die Dicke T3B. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist der Unterscheid (T3A -T3B) größer als ungefähr 0,1 µm und kann im Bereich zwischen ungefähr 0,1 µm und ungefähr 0,5 µm liegen. Dementsprechend weist im Package 300 das LTHC-Beschichtungsmaterial 22 Abschnitte mit abwechselnden Dicken auf. Die Abschnitte 222A können eine im Wesentlichen gleichmäßige Breite aufweisen, und die Abschnitte 222B können eine im Wesentlichen gleichmäßige Breite aufweisen, und die Breite der Abschnitte 222A kann größer sein als die Breite der Abschnitte 222B.
  • Die Abschnitte 222B (und auch möglicherweise 222A) können Wölbungsformen in der Querschnittsansicht aufweisen, wobei der mittlere Teil eines Abschnitts 222B (oder eines Abschnitts 222A) mehr als Randabschnitte des Abschnitts 222A/222A ausgespart ist. Außerdem können die Wölbungsformen gekrümmt sein.
  • 19C zeigt eine vergrößerte Ansicht des Gebiets 84 in 17. Wie in 19C dargestellt, umfasst das Kapselungsmaterial 48 ein Basismaterial 48A und Füllpartikeln 48B im Basismaterial 48A. Da das Kapselungsmaterial 48 auf der Polymerpufferschicht 23 gekapselt wird (wie in 6 dargestellt) und keine Planarisierung am Abschnitt des Kapselungsmaterials 48, der die Polymerpufferschicht 23 kontaktiert, durchgeführt wird, sind die sphärischen Partikeln 48B, die mit der Polymerpufferschicht 23 in Kontakt stehen, abgerundet, wobei die abgerundeten Flächen mit der Polymerpufferschicht 23 in Kontakt stehen. Außerdem werden keine sphärischen Partikeln 48B an dieser Grenzfläche geschliffen, so dass sie plane Flächen aufweisen, die mit der dargestellten oberen Fläche des Basismaterials 48 komplanar sind. Als ein Vergleich wurden die Abschnitte des Kapselungsmaterials 48, die mit der dielektrischen Schicht 50 in Kontakt stehen, in dem in 7 dargestellten Schritt planarisiert. Dementsprechend. Die sphärischen Partikeln 48B, die mit der dielektrischen Schicht 50 in Kontakt stehen, werden während der Planarisierung teilweise geschnitten, und daher weisen sie im Wesentlichen plane untere Flächen (und keine abgerundeten unteren Flächen) auf, die mit der dielektrischen Schicht 50 stehen.
  • In den vorstehend dargestellten Ausführungsbeispielen werden einige Beispielprozesse und Merkmale gemäß einigen Ausführungsformen der vorliegenden Offenbarung besprochen. Andere Merkmale und Prozesse können ebenfalls aufgenommen werden. Zum Beispiel können Teststrukturen aufgenommen werden, um den Verifizierungstest der 3D-Häusung oder der 3DIC-Vorrichtungen zu unterstützen. Die Teststrukturen können zum Beispiel Testpads umfassen, die in einer Umverteilungsschicht oder auf einem Substrat ausgebildet sind, was das Testen der 3D-Häusung oder 3DIC, die Verwendung von Nadeln und/oder Probecards und dergleichen ermöglicht. Das Verifizierungstesten kann an Zwischenstrukturen sowie der fertigen Struktur durchgeführt werden. Außerdem können die hier offenbarten Strukturen und Verfahren in Verbindung mit Testmethodologien verwendet werden, die eine Zwischenverifikation von erwiesenermaßen fehlerfreien Chips (Known Good Dies) aufnehmen, um die Ausbeute zu erhöhen und Kosten zu senken.
  • Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch derartiges Gestalten des LTHC-Beschichtungsmaterials, dass es eine Dicke aufweist, die größer ist als der Arbeitsbereich des zum Zersetzen des LTHC-Beschichtungsmaterials verwendeten Lasers, wird ein verbleibender, nicht zersetzter Abschnitt des LTHC-Beschichtungsmaterials als eine dielektrische Sperrschicht verwendet, um zu verhindern, dass Ladungen in den Vorrichtungs-Dies in dem InFO-Package entladen werden, und die EOS-Schäden werden vermieden.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren: Ausbilden eines Lösefilms über einem Träger, Ausbilden einer Polymerpufferschicht über dem Lösefilm, Ausbilden eines Metallpfostens auf der Polymerpufferschicht, Kapseln des Metallpfostens in einem Kapselungsmaterial, Durchführen einer Planarisierung an dem Kapselungsmaterial, um den Metallpfosten freizulegen, Ausbilden einer Umverteilungsstruktur über dem Kapselungsmaterial und dem Metallpfosten, und Zersetzten eines ersten Abschnitts des Lösefilms. Ein zweiter Abschnitt des Lösefilms verbleibt nach der Zersetzung. Eine Öffnung wird in der Polymerpufferschicht ausgebildet, um den Metallpfosten freizulegen. In einer Ausführungsform umfasst das Verfahren: Bonden einer Package-Komponente an den Metallpfosten, und Verteilen eines Underfills zwischen der Package-Komponente und dem zweiten Abschnitt des Lösefilms. In einer Ausführungsform wird die Zersetzung des ersten Abschnitts des Lösefilms durchgeführt, indem ein Laserstrahl auf den Lösefilm projiziert wird. In einer Ausführungsform umfasst der Lösefilm ein Polymerbasismaterial und Kohlenschwarzpartikeln. In einer Ausführungsform umfasst das Verfahren: Entfernen des zweiten Abschnitts des Lösefilms, bevor die Öffnung in der Polymerpufferschicht ausgebildet wird. In einer Ausführungsform erstreckt sich die Öffnung sowohl in die Polymerpufferschicht als auch den zweiten Abschnitt des Lösefilms. In einer Ausführungsform weist der erste Abschnitt des Lösefilms eine erste Dicke vor der Zersetzung auf, und der Lösefilm weist eine zweite Dicke vor der Zersetzung auf, und ein Verhältnis der ersten Dicke zu der zweiten Dicke liegt in einem Bereich zwischen ungefähr 0,4 und 0,7.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren: Aufschichten eines LTHC-Beschichtungsmaterials auf einem Träger; Ausbilden einer Polymerpufferschicht über dem LTHC-Beschichtungsmaterial; Ausbilden einer Metallkeimschicht, die mit der Polymerpufferschicht in Kontakt steht; Ausbilden eines strukturierten Fotolacks über der Metallkeimschicht, wobei ein Abschnitt der Metallkeimschicht durch eine Öffnung in dem strukturierten Fotolack freigelegt wird; Plattieren eines Metallpfostens über der Metallkeimschicht; Entfernen des strukturierten Fotolacks; Ätzen der Metallkeimschicht, um die Polymerpufferschicht freizulegen; Projizieren eines Laserstrahls auf das LTHC-Beschichtungsmaterial, wobei ein Arbeitsbereich des Laserstrahls einen ersten Abschnitt des LTHC-Beschichtungsmaterials abdeckt, und sich ein zweiter Abschnitt des LTHC-Beschichtungsmaterials außerhalb des Arbeitsbereichs befindet; Abheben des Trägers; und Ausbilden eines Lötzinnbereichs, der durch den zweiten Abschnitt des LTHC-Beschichtungsmaterials durchführt. In einer Ausführungsform verbleibt, nachdem der Träger abgehoben wurde, der zweite Abschnitt des LTHC-Beschichtungsmaterials als eine flächendeckende Schicht, und die flächendeckende Schicht ist von jeglicher Öffnung, die die Polymerpufferschicht freilegt, frei. In einer Ausführungsform umfasst das Verfahren: Ausbilden einer Öffnung in dem zweiten Abschnitt des LTHC-Beschichtungsmaterials und der Polymerpufferschicht, wobei sich der Lötzinnbereich in die Öffnung erstreckt. In einer Ausführungsform umfasst das Verfahren ein Entfernen des zweiten Abschnitts des LTHC-Beschichtungsmaterials. In einer Ausführungsform umfasst das Projizieren des Laserstrahls ein Rastern des Laserstrahls durch eine Gesamtheit des LTHC-Beschichtungsmaterials. In einer Ausführungsform umfasst das Verfahren, nachdem der Träger abgehoben wurde, ein Durchführen einer Planarisierung an dem zweiten Abschnitt der LTHC-Beschichtung. In einer Ausführungsform umfasst das Verfahren, nachdem der Träger abgehoben wurde und bevor der Lötzinnbereich ausgebildet wird, ein Ätzen eines Abschnitts eines verbleibenden Abschnitts der Metallkeimschicht.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Package ein Kapselungsmaterial; eine Durchkontaktierung, die durch das Kapselungsmaterial hindurchführt; eine Polymerpufferschicht, die mit der Durchkontaktierung und dem Kapselungsmaterial in Kontakt steht; ein LTHC-Beschichtungsmaterial, das die Polymerpufferschicht kontaktiert; und einen Lötzinnbereich, der durch das LTHC-Beschichtungsmaterial und die Polymerpufferschicht hindurchführt. In einer Ausführungsform ist das LTHC-Beschichtungsmaterial derart ausgelegt, dass es sich unter Wärme eines Laserstrahls zersetzt. In einer Ausführungsform umfasst das LTHC-Beschichtungsmaterial ein Basismaterial und Kohlenschwarzpartikeln in dem Basismaterial. In einer Ausführungsform umfasst das Package ferner: einen Vorrichtungs-Die; und einen Die-Befestigungsfilm, der den Vorrichtungs-Die an die Polymerpufferschicht anhaftet, wobei der Vorrichtungs-Die und der Die-Befestigungsfilm durch das Kapselungsmaterial gekapselt werden. In einer Ausführungsform umfasst das LTHC-Beschichtungsmaterial mehrere erste Abschnitte und mehrere zweite Abschnitte, die in einem abwechselnden Layout angeordnet sind, und die mehreren ersten Abschnitte sind dünner als die mehreren zweiten Abschnitte. In einer Ausführungsform sind die mehreren ersten Abschnitte und die mehreren zweiten Abschnitte des LTHC-Beschichtungsmaterials parallele Streifen.
  • Das Vorstehende skizziert Merkmale mehrerer Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann sollte ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/538192 [0001]

Claims (20)

  1. Verfahren, umfassend: Ausbilden eines Lösefilms über einem Träger, Ausbilden einer Polymerpufferschicht über dem Lösefilm, Ausbilden eines Metallpfostens auf der Polymerpufferschicht, Kapseln des Metallpfostens in einem Kapselungsmaterial, Durchführen einer Planarisierung an dem Kapselungsmaterial, um den Metallpfosten freizulegen, Ausbilden einer Umverteilungsstruktur über dem Kapselungsmaterial und dem Metallpfosten, Zersetzen eines ersten Abschnitts des Lösefilms, wobei ein zweiter Abschnitt des Lösefilms nach der Zersetzung verbleibt, und Ausbilden einer Öffnung in der Polymerpufferschicht, um den Metallpfosten freizulegen.
  2. Verfahren nach Anspruch 1, ferner umfassend: Bonden einer Package-Komponente an den Metallpfosten, und Verteilen eines Underfills zwischen der Package-Komponente und dem zweiten Abschnitt des Lösefilms.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Zersetzen des ersten Abschnitts des Lösefilms durchgeführt wird, indem ein Laserstrahl auf den Lösefilm projiziert wird.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei der Lösefilm ein Polymerbasismaterial und Kohlenschwarzpartikeln umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, das ferner ein Entfernen des zweiten Abschnitts des Lösefilms, bevor die Öffnung in der Polymerpufferschicht ausgebildet wird, umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei sich die Öffnung sowohl in die Polymerpufferschicht als auch den zweiten Abschnitt des Lösefilms erstreckt.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei der erste Abschnitt des Lösefilms eine erste Dicke vor dem Zersetzen aufweist, und der Lösefilm eine zweite Dicke vor dem Zersetzen aufweist, und ein Verhältnis der ersten Dicke zu der zweiten Dicke in einem Bereich zwischen ungefähr 0,4 und 0,7t liegt.
  8. Verfahren, umfassend: Aufschichten eines LTHC-Beschichtungsmaterials (Light To Heat Conversion) auf einem Träger, Ausbilden einer Polymerpufferschicht über dem LTHC-Beschichtungsmaterial, Ausbilden einer Metallkeimschicht, die mit der Polymerpufferschicht in Kontakt steht, Ausbilden eines strukturierten Fotolacks über der Metallkeimschicht, wobei ein Abschnitt der Metallkeimschicht durch eine Öffnung in dem strukturierten Fotolack freigelegt wird, Plattieren eines Metallpfostens über der Metallkeimschicht, Entfernen des strukturierten Fotolacks, Ätzen der Metallkeimschicht, um die Polymerpufferschicht freizulegen, Projizieren eines Laserstrahls auf das LTHC-Beschichtungsmaterial, wobei ein Arbeitsbereich des Laserstrahls einen ersten Abschnitt des LTHC-Beschichtungsmaterials abdeckt, und sich ein zweiter Abschnitt des LTHC-Beschichtungsmaterials außerhalb des Arbeitsbereichs befindet, Abheben des Trägers, und Ausbilden eines Lötzinnbereichs, der durch den zweiten Abschnitt des LTHC-Beschichtungsmaterials durchführt.
  9. Verfahren nach Anspruch 8, wobei, nachdem der Träger abgehoben wurde, der zweite Abschnitt des LTHC-Beschichtungsmaterials als eine flächendeckende Schicht verbleibt, und die flächendeckende Schicht von jeglicher Öffnung, die die Polymerpufferschicht freilegt, frei ist.
  10. Verfahren nach Anspruch 9, ferner umfassend: Ausbilden einer Öffnung in dem zweiten Abschnitt des LTHC-Beschichtungsmaterials und der Polymerpufferschicht, wobei sich der Lötzinnbereich in die Öffnung erstreckt.
  11. Verfahren nach Anspruch 9 oder 10, das ferner ein Entfernen des zweiten Abschnitts des LTHC-Beschichtungsmaterials umfasst.
  12. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 11, wobei das Projizieren des Laserstrahls ein Rastern des Laserstrahls über eine Gesamtheit des LTHC-Beschichtungsmaterials umfasst.
  13. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 12, das ferner, nachdem der Träger abgehoben wurde, ein Durchführen einer Planarisierung an dem zweiten Abschnitt der LTHC-Beschichtung umfasst.
  14. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 13, das ferner, nachdem der Träger abgehoben wurde und bevor der Lötzinnbereich ausgebildet wird, ein Ätzen eines Abschnitts eines verbleibenden Abschnitts der Metallkeimschicht umfasst.
  15. Package, umfassend: ein Kapselungsmaterial, eine Durchkontaktierung, die durch das Kapselungsmaterial hindurchführt, eine Polymerpufferschicht, die die Durchkontaktierung und das Kapselungsmaterial kontaktiert, ein LTHC-Beschichtungsmaterial (Light To Heat Conversion), das die Polymerpufferschicht kontaktiert, und einen Lötzinnbereich, der durch das LTHC-Beschichtungsmaterial und die Polymerpufferschicht hindurchführt.
  16. Package nach Anspruch 15, wobei das LTHC-Beschichtungsmaterial derart ausgelegt ist, dass es sich unter Wärme eines Laserstrahls zersetzt.
  17. Package nach Anspruch 15 oder 16, wobei das LTHC-Beschichtungsmaterial ein Basismaterial und Kohlenschwarzpartikeln in dem Basismaterial umfasst.
  18. Package nach einem der vorhergehenden Ansprüche 15 bis 17, ferner umfassend: einen Vorrichtungs-Die, und einen Die-Befestigungsfilm, der den Vorrichtungs-Die an die Polymerpufferschicht anhaftet, wobei der Vorrichtungs-Die und der Die-Befestigungsfilm durch das Kapselungsmaterial gekapselt werden.
  19. Package nach einem der vorhergehenden Ansprüche 15 bis 18, wobei das LTHC-Beschichtungsmaterial mehrere erste Abschnitte und mehrere zweite Abschnitte, die in einem abwechselnden Layout angeordnet sind, umfasst, und die mehreren ersten Abschnitte dünner sind als die mehreren zweiten Abschnitte.
  20. Package nach Anspruch 19, wobei die mehreren ersten Abschnitte und die mehreren zweiten Abschnitte des LTHC-Beschichtungsmaterials parallele Streifen sind.
DE102018106672.4A 2017-07-28 2018-03-21 LTHC als Ladungssperre beim Info-Package-Ausbilden Pending DE102018106672A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762538192P 2017-07-28 2017-07-28
US62/538,192 2017-07-28
US15/907,409 US10522526B2 (en) 2017-07-28 2018-02-28 LTHC as charging barrier in InFO package formation
US15/907,409 2018-02-28

Publications (1)

Publication Number Publication Date
DE102018106672A1 true DE102018106672A1 (de) 2019-01-31

Family

ID=65004186

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018106672.4A Pending DE102018106672A1 (de) 2017-07-28 2018-03-21 LTHC als Ladungssperre beim Info-Package-Ausbilden

Country Status (5)

Country Link
US (3) US10522526B2 (de)
KR (1) KR102175610B1 (de)
CN (1) CN109309013B (de)
DE (1) DE102018106672A1 (de)
TW (1) TWI683411B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410902B2 (en) * 2019-09-16 2022-08-09 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361122B1 (en) * 2018-04-20 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Processes for reducing leakage and improving adhesion
US10818640B1 (en) * 2019-04-02 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Die stacks and methods forming same
US10950519B2 (en) * 2019-05-31 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US20220278075A1 (en) * 2019-07-24 2022-09-01 Nantong Tongfu Microelectronics Co., Ltd Packaging structure and formation method thereof
US11145614B2 (en) * 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11817426B2 (en) * 2021-01-13 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Package and method of fabricating the same

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002124603A (ja) * 2000-10-18 2002-04-26 Mitsubishi Electric Corp エポキシ樹脂組成物、半導体装置およびレーザマークの視認性の判断方法
US6894399B2 (en) * 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
JP4565804B2 (ja) 2002-06-03 2010-10-20 スリーエム イノベイティブ プロパティズ カンパニー 被研削基材を含む積層体、その製造方法並びに積層体を用いた極薄基材の製造方法及びそのための装置
JP3945415B2 (ja) * 2003-02-14 2007-07-18 セイコーエプソン株式会社 半導体装置の製造方法
US20080014532A1 (en) 2006-07-14 2008-01-17 3M Innovative Properties Company Laminate body, and method for manufacturing thin substrate using the laminate body
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
US9305769B2 (en) * 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
CN102859691B (zh) 2010-04-07 2015-06-10 株式会社岛津制作所 放射线检测器及其制造方法
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
JP2012043953A (ja) * 2010-08-18 2012-03-01 Renesas Electronics Corp 電子部品および電子部品の製造方法
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) * 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
JP6216180B2 (ja) * 2013-08-01 2017-10-18 日東電工株式会社 封止用シート、及び、当該封止用シートを用いた半導体装置の製造方法
US9455211B2 (en) * 2013-09-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with openings in buffer layer
US9589900B2 (en) * 2014-02-27 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal pad for laser marking
US9666522B2 (en) * 2014-05-29 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark design for packages
US11239138B2 (en) 2014-06-27 2022-02-01 Taiwan Semiconductor Manufacturing Company Methods of packaging semiconductor devices and packaged semiconductor devices
US9601353B2 (en) * 2014-07-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with molding structures and methods of forming the same
US10177115B2 (en) 2014-09-05 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming
US9475272B2 (en) * 2014-10-09 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. De-bonding and cleaning process and system
US10325853B2 (en) * 2014-12-03 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor packages having through package vias
US10115647B2 (en) * 2015-03-16 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Non-vertical through-via in package
US9589903B2 (en) 2015-03-16 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminate sawing-induced peeling through forming trenches
US10522383B2 (en) * 2015-03-25 2019-12-31 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
US10090241B2 (en) * 2015-05-29 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Device, package structure and method of forming the same
US11018025B2 (en) 2015-07-31 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution lines having stacking vias
US10317965B2 (en) * 2015-09-15 2019-06-11 Intersil Americas LLC Apparatuses and methods for encapsulated devices
US9786617B2 (en) * 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Chip packages and methods of manufacture thereof
US9786614B2 (en) * 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US9947570B2 (en) * 2015-12-30 2018-04-17 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US9773757B2 (en) * 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9620465B1 (en) * 2016-01-25 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-sided integrated fan-out package
US10269702B2 (en) * 2016-01-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info coil structure and methods of manufacturing same
US9935009B2 (en) * 2016-03-30 2018-04-03 International Business Machines Corporation IR assisted fan-out wafer level packaging using silicon handler

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410902B2 (en) * 2019-09-16 2022-08-09 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US11901252B2 (en) 2019-09-16 2024-02-13 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same

Also Published As

Publication number Publication date
CN109309013B (zh) 2020-11-20
KR102175610B1 (ko) 2020-11-09
US11437361B2 (en) 2022-09-06
US20220399325A1 (en) 2022-12-15
CN109309013A (zh) 2019-02-05
TW201911519A (zh) 2019-03-16
KR20190013461A (ko) 2019-02-11
US20200006312A1 (en) 2020-01-02
US10522526B2 (en) 2019-12-31
US20190035774A1 (en) 2019-01-31
TWI683411B (zh) 2020-01-21
US11923353B2 (en) 2024-03-05

Similar Documents

Publication Publication Date Title
DE102018106672A1 (de) LTHC als Ladungssperre beim Info-Package-Ausbilden
DE102015105981B4 (de) Gehäuse und Verfahren zum Bilden von Gehäusen
DE102019115952B4 (de) Halbleiter-packages
DE102018111574A1 (de) Ausrichten von kontaktierhügeln in einem fan-out-häusungsprozes
DE102020104147B4 (de) Halbleiter-bauelemente und verfahren zu deren herstellung
DE102017117808A1 (de) Leitungsführungsentwurf für Dummy-Metallkappe und Umverteilungsleitung
DE102018110866A1 (de) Ausrichtungsmarke mit Gitterstrukturen und Verfahren zum Ausbilden von dieser
DE102019116734A1 (de) Fan-out-package mit einem steuerbaren standoff-abstand
DE102020100946B4 (de) Getrenntes strom- und erdungsdesign zur ertragsverbesserung
DE102020108542B4 (de) Package unter Verwendung von Verstärkungs-Patches und Verfahren zur Herstellung
DE102018110840A1 (de) Prozesse zum verringern von leckstrom und zum verbessern der adhäsion
DE102015113085A1 (de) Umverteilungsleitungen mit gestapelten Durchkontaktierungen
DE102018117689A1 (de) Unterstützen von Info-Packages zum Reduzieren von Durchbiegung
DE102019103952A1 (de) Kreuzwafer-RDLs in konstruierten Wafern
DE102019114212A1 (de) Verfahren zum Herstellen einer Umverteilungsschaltkreisstruktur
DE102018125372B4 (de) Elektromagnetischer abschirmungsaufbau in einem info-package und verfahren zu dessen herstellung
DE102018126129A1 (de) Verfahren zum Bilden von Umverteilungsleitungen, und darauf gebildeter Aufbau
DE102015104507B4 (de) Integrierte Fan-Out-Struktur mit Öffnungen in einer Pufferschicht und deren Herstellungsverfahren
DE102020124229A1 (de) Halbleitervorrichtung und verfahren
DE102020117547A1 (de) Packages mit abwechselnd gestapelten dicken rdls und dünnen rdls
DE102018108932B4 (de) Package mit Info-Struktur und Verfahren zu dessen Ausbildung
DE102019114074A1 (de) Integriertes-schaltkreis-package und verfahren
DE102019114968B3 (de) Package von integrierten schaltungsvorrichtungen und sein herstellungsverfahren
DE102021113405A1 (de) Packagestruktur
DE102018117822A1 (de) Drei-schritte-ätzen zum bilden einer rdl

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication