DE102015116124B4 - Lithografie-Verfahren zur Trennung eines Elements durch Schrumpfung des Linienendes - Google Patents

Lithografie-Verfahren zur Trennung eines Elements durch Schrumpfung des Linienendes Download PDF

Info

Publication number
DE102015116124B4
DE102015116124B4 DE102015116124.9A DE102015116124A DE102015116124B4 DE 102015116124 B4 DE102015116124 B4 DE 102015116124B4 DE 102015116124 A DE102015116124 A DE 102015116124A DE 102015116124 B4 DE102015116124 B4 DE 102015116124B4
Authority
DE
Germany
Prior art keywords
features
layer
feature
spacer
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102015116124.9A
Other languages
English (en)
Other versions
DE102015116124A1 (de
Inventor
Yung-Sung Yen
Chun-Kuang Chen
Ko-Bin Kao
Ken-Hsien Hsieh
Ru-Gun Lui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015116124A1 publication Critical patent/DE102015116124A1/de
Application granted granted Critical
Publication of DE102015116124B4 publication Critical patent/DE102015116124B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/4757After-treatment
    • H01L21/47573Etching the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren, umfassend:Aufnehmen eines Werkstücks (150), das eine Materialschicht (154) und ein darauf abgeschiedenes Hartmaskenmaterial (156) aufweist;Durchführen einer lithografischen Strukturierung des Hartmaskenmaterials (156), um eine das Hartmaskenmaterial (156) entlang einer Linienlängenrichtung durchquerende Ausnehmung darin zu definieren, welche das Hartmaskenmaterial (156) in einer senkrecht zur Linienlängenrichtung verlaufenden Linienbreitenrichtung in zwei unabhängige, getrennte und nicht miteinander verbundene Gebiete trennt;Abscheiden eines Abstandhalters (164) innerhalb der Ausnehmung des strukturierten Hartmaskenmaterials (156), um zumindest zwei in Linienlängenrichtung physisch voneinander getrennte Merkmalgebiete (160) zu definieren, welche Teilgebiete der Ausnehmung sind, wobei die Ausnehmung zwischen den beiden Merkmalgebieten (160) ein Verbindungsmerkmal (162) aufweist, das eine geringere Breite als die Merkmalgebiete (160) aufweist, so dass das Abstandhaltermaterial (164), das innerhalb des Verbindungsmerkmals (162) abgeschieden ist, das Verbindungsmerkmal (162) überspannt, wobei die Merkmalgebiete (160) in Linienbreitenrichtung versetzt zueinander sind; undselektives Verarbeiten eines Teils des Werkstücks (150) anhand einer Struktur, die durch das strukturierte Hartmaskenmaterial (156) und das Abstandhaltermaterial in der Ausnehmung definiert wird.

Description

  • HINTERGRUND
  • Die integrierte Halbleiter (IC)-Industrie hat ein rasches Wachstum erfahren. Im Verlauf der IC-Entwicklung hat die Funktionsdichte (d.h., die Anzahl miteinander verbundener Vorrichtungen pro Chipfläche) allgemein zugenommen, während die geometrische Größe (d.h., die kleinste Komponente (oder Leitung), die mit einem Herstellungsprozess geschaffen werden kann, abgenommen hat. Dieser Abwärtsskalierungsprozess bietet im Allgemeinen Vorteile durch eine Erhöhung der Produktionseffizienz und Senkung damit verbundener Kosten. Dieses Abwärtsskalieren war jedoch auch von einer erhöhten Komplexität in der Gestaltung und Herstellung von Vorrichtungen begleitet, die diese ICs enthielten, und zur Umsetzung dieser Vorteile sind ähnliche Entwicklungen in den Herstellungsprozessen erforderlich.
  • Fortschritte in der Lithografie waren wichtig, um die Bauteilgröße zu verringern, um nur ein Beispiel zu nennen. Im Allgemeinen ist Lithografie die Bildung einer Struktur auf einem Ziel (Target). In einer Art von Lithografie, bezeichnet als Fotolithografie, geht Strahlung, wie Ultraviolettlicht, durch eine Maske oder wird von dieser reflektiert, bevor sie auf eine Fotolackbeschichtung auf dem Ziel (Target) trifft. Fotolithografie überträgt eine Struktur von der Maske auf den Fotolack, der dann selektiv entfernt wird, um die Struktur freizulegen. Das Target wird dann Bearbeitungsschritten unterzogen, die die Form des verbleibenden Fotolacks nutzen, um Merkmale auf dem Ziel zu erzeugen. Eine andere Art von Lithografie, bezeichnet als Direktschreiben-Lithografie, verwendet einen Laser, einen Elektronenstrahl (e-Strahl), Ionenstrahl oder eine andere eng fokussierte Emission zum Freilegen einer Fotolackbeschichtung oder zum direkten Strukturieren einer Materialschicht. E-Strahl-Lithografie ist eine der häufigsten Arten einer Direktschreiben-Lithografie und kann zum Entfernen, Hinzufügen oder anderweitigen Veränderung einer Materialschicht mit beachtlicher Genauigkeit verwendet werden, indem ein kollimierter Strahl von Elektronen auf die freizulegende Fläche gerichtet wird. Ein Verfahren zum Strukturieren von Halbleiterstrukturen ist beispielsweise bekannt aus US 2012 / 0 208 361 A1 . Ähnliche Verfahren sind außerdem bekannt aus US 2014 / 0 127 905 A1 , US 2014 / 0 154 887 A1 oder US 8 524 605B1 .
  • Zum Erreichen noch kleinerer kritischer Dimensionen (CD) von Bauteilmerkmalen können mehrere lithografische Strukturierungsdurchgänge durchgeführt werden, um einen einzigen Merkmalsatz zu definieren. Wegen der komplexen Wechselwirkungen zwischen den lithografischen Durchgängen beinhalten jedoch viele solcher Prozesse strenge Designregeln, die für die zu verwendende Lithografie-Technik spezifisch sind. Designregeln, die zu einem bestimmten lithografischen Ablauf gehören, können nicht für alle Designs zutreffend sein. Während daher bestehende Lithografie-Techniken allgemein brauchbar sind, haben sie sich nicht in jeder Hinsicht als vollkommen zufriedenstellend erwiesen. Eine verbesserte Technik für eine Mehrfachstrukturierung kann bestehende Designregeln lockern, bestehende Einschränkungen überwinden und dadurch eine Herstellung noch robusterer Schaltungskreise ermöglichen.
  • Figurenliste
  • Die vorliegende Offenbarung wird aus der folgenden ausführlichen Beschreibung am besten verständlich, wenn diese mit den beiliegenden Figuren gelesen wird. Es wird betont, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht im Maßstab gezeichnet sind und nur der Veranschaulichung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale der deutlichen Besprechung wegen beliebig vergrößert oder verkleinert sein.
    • 1A ist ein Ablaufdiagramm eines ersten lithografischen Verfahrens zum Strukturieren eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 1B-1H sind perspektivische Ansichten eines Teils eines Werkstücks, das dem ersten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird.
    • 1I ist eine Draufsicht auf einen anderen Teil des Werkstücks, das dem ersten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird.
    • 2A ist ein Ablaufdiagramm eines zweiten lithografischen Verfahrens zum Strukturieren eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2B-2H sind perspektivische Ansichten eines Teils eines Werkstücks, das dem zweiten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird.
    • 2I ist eine Draufsicht auf einen anderen Teil des Werkstücks, das dem zweiten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird.
    • 3 ist ein Ablaufdiagramm eines Verfahrens zum Strukturieren eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 4 ist eine Darstellung einer Design-Datenbank, die eine Struktur spezifiziert, die auf einem Werkstück gemäß verschiedenen Aspekten der vorliegenden Offenbarung gebildet wird.
    • 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A und 16A sind Draufsichten auf einen Teil eines Werkstücks, das einem Strukturierungsverfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird.
    • 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, 15B und 16B sind Querschnittsansichten eines Teils eines Werkstücks, das einem Strukturierungsverfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird.
    • 17 ist ein Rasterelektronenmikroskop- (Scanning-Electron Microscope, SEM) Bild eines Werkstücks, das dem Strukturierungsverfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wurde.
    • 18 ist ein Systemdiagramm eines Rechnersystems, das betreibbar, um die Techniken der vorliegenden Offenbarung auszuführen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft im Allgemeinen die Herstellung einer IC-Vorrichtung und insbesondere ein System und eine Technik zum lithografischen Strukturieren eines Werkstücks zur Bildung eines Merkmalsatzes.
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele zur Implementierung verschiedener Merkmale der Offenbarung vor. Spezielle Beispiele von Komponenten und Anordnungen sind in der Folge zur Vereinfachung der vorliegenden Offenbarung beschrieben. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale zwischen den ersten und zweiten Merkmalen gebildet sein können, so dass die ersten und zweiten Merkmale nicht in direktem Kontakt sein mögen. Zusätzlich kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und legt selbst kein Verhältnis zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen fest.
  • Ferner können raumbezogene Begriffe, wie „unterhalb“, „unter“, „niedriger“, „über“, „oberer“ und dergleichen hier zur einfachen Beschreibung verwendet werden, um ein Verhältnis eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmale(en) zu beschreiben, die in den Figuren dargestellt sind. Die raumbezogenen Begriffe sollen unterschiedliche Orientierungen der Vorrichtung in Verwendung oder Betrieb zusätzlich zu der in den Figuren dargestellten Orientierung beinhalten. Wenn die Vorrichtung zum Beispiel in den Figuren umgedreht wird, wären Elemente, die als „unter“ oder „unterhalb“ anderen Elementen oder Merkmalen beschrieben sind, dann „über“ den anderen Elementen oder Merkmalen orientiert. Somit kann der beispielhafte Begriff „unter“ sowohl eine Orientierung über wie auch unter umfassen. Die Vorrichtung kann anders orientiert (90 Grad oder in anderen Orientierungen gedreht) sein und die raumbezogenen Deskriptoren, die hier verwendet werden, können ebenso dementsprechend interpretiert werden.
  • Die vorliegende Offenbarung betrifft die Strukturierung eines Werkstücks, wie einer Halbleiterträgerschicht, unter Verwendung von Lithografie. Die Techniken der vorliegenden Offenbarung gelten gleichermaßen für einen weiten Bereich lithografischer Techniken, einschließlich Fotolithografie und Direktschreiben-Lithografie. Einige Beispiele einer solchen lithografischen Technik sind unter Bezugnahme auf 1A-1I beschrieben. 1A ist ein Ablaufdiagramm eines ersten lithografischen Verfahrens 100 zum Strukturieren eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Es ist klar, dass zusätzliche Schritte vor, während und nach dem Verfahren 100 durchgeführt werden können und dass einige der beschriebenen Schritte für andere Ausführungsformen des Verfahrens 100 ersetzt oder eliminiert werden können. 1B-1H sind perspektivische Ansichten eines Teils eines Werkstücks 150, das dem ersten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird. 1I ist eine Draufsicht auf einen anderen Teil des Werkstücks 150, das dem ersten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird. Der Klarheit und einfachen Erklärung wegen sind einige Elemente der Figuren vereinfacht.
  • Unter Bezugnahme auf Block 102 von 1A und auf 1B wird ein Werkstück 150 aufgenommen, das eine Trägerschicht 152 umfasst, auf der andere Materialien gebildet werden können. Eine allgemeine Art von Trägerschicht 152, die in der Herstellung einer integrierten Schaltung (IC) verwendet wird, ist eine Bulk-Silizium-Trägerschicht. Alternativ kann die Trägerschicht 152 einen elementaren (Einzelelement) Halbleiter umfassen, wie Silizium oder Germanium in einer kristallinen Struktur; einen Verbindungshalbleiter, wie Silizium-Germanium, Silizium-Karbid, Gallium-Arsen, Gallium-Phosphid, Indium-Phosphid, Indium-Arsenid und/oder Indium-Antimonid; ein Nicht-Halbleitermaterial, wie Kalk-Natron-Glas, Quarzgut, Quarzglas und/oder Kalziumfluorid (CaF2); und/oder Kombinationen davon. Mögliche Trägerschichten 152 umfassen auch eine Silizium-auf-Isolator- (SOI) Trägerschicht. SOI-Trägerschichten werden mittels Trennung durch Implantation von Sauerstoff (SIMOX), Wafer-Bonding und/oder andere geeignete Verfahren hergestellt. In anderen Beispielen kann die Trägerschicht 152 eine mehrschichtige Halbleiterstruktur umfassen.
  • Die Trägerschicht 152 kann verschiedene dotierte Regionen (z.B. p-leitende Wannen oder n-leitende Wannen) wie Source/Drain-Gebiete umfassen. Die dotierten Gebiete können abhängig von Designanforderungen mit p-leitenden Dotierungsmitteln, wie Phosphor oder Arsen, und/oder n-leitenden Dotierungsmitteln, wie Bor oder BF2, dotiert sein. Die dotierten Gebiete können direkt auf der Trägerschicht, in einer P-Wannenstruktur, in einer N-Wannenstruktur, in einer Doppelwannenstruktur oder unter Verwendung einer erhabenen Struktur gebildet werden. Dotierte Gebiete können durch Implantation von Dotierungsatomen, in-situ dotiertes Epitaxialwachstum und/oder andere geeignete Techniken gebildet werden. In einigen Ausführungsformen umfassen die dotierten Gebiete Halo/Taschengebiete, die Kurzkanaleffekte (z.B. Durchstanzeffekte) verringern können und durch Schrägwinkel-Ionenimplantation oder eine andere geeignete Technik gebildet werden können.
  • Auf der Trägerschicht 152 können auch verschiedene darauf gebildete Materialschichten angeordnet sein. In der dargestellten Ausführungsform umfasst das Werkstück 150 eine zu strukturierende Materialschicht 154 und eine Hartmaskenschicht 156, die auf der Materialschicht 154 angeordnet ist. Natürlich ist für einen Fachmann auf dem Gebiet klar, dass auf der Trägerschicht 152 eine beliebige Anzahl von darauf gebildeten Materialschichten und/oder Hartmaskenschichten angeordnet sein kann. Geeignete Materialien für die Materialschicht(en) und Hartmaskenschicht(en) können anhand einer Ätzmittelselektivität gewählt werden und in verschiedenen beispielhaften Ausführungsformen haben die Materialschicht 154 und Hartmaskenschicht 156 unterschiedliche Ätzmittelselektivitäten, so dass jede Schicht mit einem entsprechenden Ätzmittel entfernt werden kann, ohne die andere Schicht signifikant zu ätzen. Zum Beispiel umfasst in verschiedenen Ausführungsformen, in welchen die Strukturierungstechnik zum Strukturieren einer dielektrische Zwischenschicht (ILD) zur Bildung einer Verbindungsstruktur verwendet wird, die Materialschicht 154 ein Halbleiter- und/oder ein dielektrisches Material, wie ein Halbleiteroxid, Halbleiternitrid und/oder Halbleiteroxynitrid; und die Hartmaskenschicht 156 umfasst ein anderes Material mit einer anderen Ätzmittelselektivität, wie einen anderen Halbleiter, ein anderes dielektrisches Material, ein Metallnitrid (z.B. TiN, TaN, usw.), ein Metalloxid, ein Metalloxynitrid und/oder ein Metallkarbid.
  • Auf der Trägerschicht 152 kann auch ein darauf gebildeter lithografisch-empfindlicher Lack 158 angeordnet sein, wie einen Fotolack und/oder einen e-Strahllack, der auf die besondere Technik und Energiequelle abgestimmt ist, die in den folgenden Strukturierungsschritten verwendet werden. Ein beispielhafter Lack 158 umfasst ein lichtempfindliches Material, das bewirkt, dass das Material eine Veränderung seiner Eigenschaft erfährt, wenn es Strahlung ausgesetzt wird. Diese Veränderung der Eigenschaft kann zum selektiven Entfernen von belichteten (im Fall eines positiven Lacks) oder nicht belichteten (im Fall eines negativen Lacks) Teilen der Lackschicht 158 verwendet werden.
  • Unter Bezugnahme auf Block 104 von 1A und auf 1C ist die Lackschicht 158 strukturiert. Die Strukturierung kann mit jeder geeigneten lithografischen Technik, einschließlich Fotolithografie und/oder Direktschreiben-Lithografie ausgeführt werden. Ein beispielhafter fotolithografischer Strukturierungsprozess umfasst das Weichbacken einer Lackschicht, Maskenausrichten, Belichten, Backen nach dem Belichten, Entwickeln der Lackschicht, Spülen und Trocknen (z.B. Hartbacken). Ein beispielhafter Direktschreiben-Strukturierungsprozess umfasst das Abtasten der Oberfläche einer Lackschicht mit einem e-Strahl oder einer anderen Energiequelle, während die Intensität der Energiequelle variiert wird, um die Dosierung zu variieren, die von verschiedenen Gebieten oder Lackschicht aufgenommen wird.
  • In vielen herkömmlichen Strukturierungstechniken werden mehrere Belichtungen verwendet, um einen einzigen Satz von Merkmalen zu definieren. Zum Beispiel kann eine erste Belichtung große Gebiete definieren, die einem oder mehreren Merkmal(en) entsprechen, während eine zweite Belichtung (häufig als Trennschnitt bezeichnet) zu entfernende Segmente der großen Gebiete definiert, um die Merkmale zu trennen. Ein korrektes Ausrichten der Belichtungen in einem Mehrfachbelichtungsprozess ist jedoch eine Herausforderung und Ausrichtungsfehler können ein Werkstück unbrauchbar machen. Daher stellen die Ausführungsformen von 1A-1I eine Technik zur Trennung von Merkmalen unter Verwendung eines Linienende-Schrumpfungsprozesses bereit, der Merkmale ohne separate Trennschnittbelichtung trennen kann.
  • In dem Beispiel von 1C wird eine einzelne Ausnehmung in einer Lackschicht 158 zum Definieren von zwei unabhängigen, getrennten und nicht miteinander verbundenen Merkmalen verwendet. Merkmalgebiete sind allgemein durch die Markierung 160 angegeben. Die Merkmalgebiete 160 innerhalb des Grabens sind durch ein Linienende-Verbindungsmerkmal 162 gekennzeichnet. Ein Linienende-Verbindungsmerkmal 162 kann zwischen beliebigen zwei Merkmalen hinzugefügt werden und kann verwendet werden, wenn die Merkmale weniger als einen gewissen Minimalschwellenwert voneinander beabstandet sind. In der dargestellten Ausführungsform hat das Linienende-Verbindungsmerkmal 162 eine geringere Breite als die Merkmalgebiete 160. Die Breite wird so gewählt, dass ein Abstandhaltermaterial, das innerhalb des Linienende-Verbindungsmerkmals 162 abgeschieden ist, das Linienende-Verbindungsmerkmal 162 überspannt und die Merkmalgebiete 160 physisch trennt.
  • Unter Bezugnahme auf Block 106 von 1A und auf 1D wird ein Abstandhalter 164 an den Seitenwänden des verbleibenden Lacks 158 gebildet. Der Abstandhalter 164 kann jedes geeignete Material (z.B. Metalloxid, Metallnitrid, Metalloxynitrid, Metallkarbid, Halbleiter, Dielektrikum usw.) umfassen und kann so gewählt werden, dass er eine andere Ätzmittelselektivität aufweist als die Hartmaskenschicht 156. Das Material des Abstandhalters 164 kann durch jeden geeigneten Prozess abgeschieden werden, einschließlich Atomlagenabscheidung (ALD), chemischer Dampfphasenabscheidung (CVD), plasmaverstärkter CVD (PE CVD) und/oder anderer geeigneter Abscheidungstechniken. In einer solchen Ausführungsform wird das Material des Abstandhalters 164 durch ALD konform abgeschieden und eine anisotropische (direktionale) Ätztechnik, wie ein anisotropisches Plasmaätzen, wird zur Entfernung von Teilen des Abstandhalters 164 durchgeführt, die auf horizontalen Oberflächen der Lackschicht 158 und der Hartmaskenschicht 156 abgeschieden sind. Auf diese Weise verbleiben nur jene Teile des Abstandhalters 164, die auf den vertikalen Oberflächen der Lackschicht 158 abgeschieden sind.
  • In anderen Ausführungsformen wird das Material des Abstandhalters 164 mit einem nasschemischen Reaktionsmittel abgeschieden, das zur Reaktion mit der Lackschicht 158 gewählt ist, um einen Niederschlag zu erzeugen, der den Abstandhalter 164 bildet. Das Werkstück 150 kann gespült werden, um nicht zur Reaktion gebrachtes Reaktionsmittel zu entfernen, und es kann ein anisotropisches Ätzen durchgeführt werden, um Teile des Abstandhalters 164 zu entfernen, die auf horizontalen Oberflächen der Lackschicht 158 und der Hartmaskenschicht 156 abgeschieden sind.
  • Die Verwendung dieser Linienende-Schrumpfungstechnik kann zahlreiche Vorteile bieten. Zum Beispiel, wie oben erwähnt, kann durch Verwendung einer Linienende-Schrumpfung und der zugehörigen Linienende-Verbindungsmerkmale 160 ein Trennschnittprozess eliminiert werden. Dies kann wiederum Komplikationen und Defekte vermeiden, die mit einem zusätzlichen lithografischen Strukturierungsschritt verbunden sind. In einigen Anwendungen verringert ein Eliminieren eines Trennschnittprozesses die Anzahl von Hartmaskenschichten, die zum Strukturieren der Materialschicht 154 verwendet wird. Als ein weiteres Beispiel, da der Abstandhalter 164 an den Seitenwänden der Hartmaskenschicht 156 gebildet ist, ist der Graben in der Hartmaskenschicht 156, der in Block 104 gebildet wird, in Linienbreitenrichtung breiter als das zu bildende Merkmal. Da viele lithografische Prozesse bei sehr hohen Grenzwerten der minimalen auflösbaren Linienbreite arbeiten, kann die Bildung eines breiteren Grabens in der Hartmaskenschicht 156 eine Lockerung gewisser lithografischer Regeln ermöglichen und kann die Bildung kleinerer Merkmale ermöglichen, die andernfalls nicht möglich wäre. Natürlich sind diese Vorteile nur beispielhaft und es ist kein Vorteil für eine besondere Ausführungsform erforderlich.
  • Unter Bezugnahme auf Block 108 von 1A und auf 1E wird ein freiliegender Teil der Hartmaskenschicht 156 geätzt, um die Struktur der Lackschicht 158 und des Abstandhalters 164 auf die Hartmaskenschicht 156 zu übertragen. Das Ätzen kann jede geeignete Ätztechnik umfassen, einschließlich Nassätzens, Trockenätzens, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Technik, und die Ätztechnik und Ätzchemie können zum Erzeugen eines im Wesentlichen isotropischen Ätzens der freiliegenden Hartmaskenschicht 156 ohne wesentliches Ätzen des Lackschicht 158 und/oder des Abstandhalters 164 gewählt werden. Unter Bezugnahme auf 1F können die Lackschicht 158 und/oder der Abstandhalter 164 nach dem Ätzen der Hartmaskenschicht 156 entfernt werden.
  • Die geätzte Hartmaskenschicht 156 kann zum selektiven Bearbeiten jedes darunter liegenden Teils der Trägerschicht 152 und/oder Materialschichten (z.B. Schicht 154) verwendet werden. In diesem Zusammenhang kann die Hartmaskenschicht 156 in Verbindung mit jedem Ätzprozess, Abscheidungsprozess, Implantationsprozess, Epitaxieprozess und/oder jedem anderen Herstellungsprozess verwendet werden. In einigen Ausführungsformen wird die Materialschicht 154 unter Verwendung der Hartmaskenschicht 156 strukturiert, um eine Verbindungsstruktur zu bilden. In einem solchen Beispiel, unter Bezugnahme auf Block 110 von 1A und auf 1G, werden die freiliegenden Teile der Materialschicht 154 unter Verwendung jeder geeigneten Ätztechnik, einschließlich Trockenätzens, Nassätzens, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Ätztechnik strukturiert. Nach dem Ätzen kann jeder verbleibende Teil der Hartmaskenschicht 156 entfernt werden.
  • Unter Bezugnahme auf Block 112 von 1A und auf 1H werden eine oder mehrere Schicht(en) eines leitenden Materials 166 auf der strukturierten Materialschicht 154 abgeschieden, einschließlich innerhalb des geätzten Teils. Geeignete leitende Materialien 166 umfassen Metalle, Metalloxide, Metallnitride, Metalloxynitride, Metallkarbide und/oder nichtmetallische Leiter und in einer solchen Ausführungsform umfasst das leitende Material 166 eine TiN-Sperrschicht, die auf der Materialschicht 154 abgeschieden ist, und ein kupferhaltiges Füllmaterial, das auf der Sperrschicht abgeschieden ist. Jeder Teil des leitenden Materials 166, der sich über die Materialschicht 154 erstreckt, kann mit einem chemisch-mechanischen Polier/Einebnungs- (CMP-) Prozess oder einer anderen geeigneten Technik entfernt werden.
  • Die Technik kann auch bei Merkmalen des Werkstücks 150 angewendet werden, die in der Linienbreitenrichtung versetzt sind. Daher zeigt 1I ein anderes Gebiet des Werkstücks 150, in dem ein Linienende-Schrumpfungsmerkmal 162 zur Durchführung einer Linienende-Schrumpfung verwendet wird, um versetzte Merkmale zu trennen. 1I zeigt das Werkstück 150 nach der Bildung des Abstandhalters, wie in Block 106 von 1A beschrieben. Das Verfahren 100 fährt auf identische Weise fort und in vielen Ausführungsformen umfasst das Werkstück 150 Merkmale, die in der Linienbreitenrichtung ausgerichtet sind, wie in 1B-1H dargestellt ist, wie auch versetzt sind, wie in 1I dargestellt ist.
  • Während das Beispiel von Verfahren 100 einen Abstandhalter 164 an den vertikalen Seitenwänden der Lackschicht 158 bildet und die Kombination aus Abstandhalter 164 und Lackschicht 158 zum Strukturieren der Hartmaskenschicht 156 verwendet, wird in weiteren Ausführungsformen die Lackschicht 158 zum Strukturieren der Hartmaskenschicht 156 verwendet und der Abstandhalter 164 wird anschließend auf der Hartmaskenschicht 156 gebildet. Einige Ausführungsformen, die die spätere Technik verwenden, sind unter Bezugnahme auf 2A-2I beschrieben. 2A ist ein Ablaufdiagramm eines zweiten lithografischen Verfahrens 200 zum Strukturieren eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Es ist klar, dass zusätzliche Schritte vor, während und nach dem Verfahren 200 vorgesehen sein können und dass einige der beschriebenen Schritte für andere Ausführungsformen des Verfahrens 200 ersetzt oder eliminiert werden können. 2B-2H sind perspektivische Ansichten eines Teils eines Werkstücks 150, das dem zweiten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird. 2I ist eine Draufsicht auf einen anderen Teil des Werkstücks 150, der dem zweiten lithografischen Verfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird. Der Deutlichkeit und einfachen Erklärung wegen wurden einige Elemente der Figuren vereinfacht.
  • Unter Bezugnahme auf Block 202 von 2A und auf 2B wird ein Werkstück 150 aufgenommen, das eine Trägerschicht 152 umfasst, auf der andere Materialien gebildet sein können. In einigen Ausführungsformen umfasst die Trägerschicht 152 eine Materialschicht 154, eine Hartmaskenschicht 156 und eine Lackschicht 158, die jeweils im Wesentlichen jenen von 1A-I ähnlich sind. Unter Bezugnahme auf Block 204 von 2A und auf 2C wird die Lackschicht 158 strukturiert. Die Strukturierung kann im Wesentlichen wie in Bezug auf Block 104 von 1A beschrieben durchgeführt werden und kann jede geeignete lithografische Technik verwenden, einschließlich Fotolithografie und/oder Direktschreiben-Lithografie. In dem Beispiel von 2C wird eine einzige Ausnehmung in der Lackschicht 158 zum Definieren von zwei unabhängigen, getrennten und nicht miteinander verbundenen Merkmalen verwendet. Merkmalgebiete sind allgemein durch die Markierung 160 angegeben. Die Merkmalgebiete 160 innerhalb des Grabens sind durch ein Linienende-Verbindungsmerkmal 162 verbunden. Ein Linienende-Verbindungsmerkmal 162 kann zwischen beliebigen zwei Merkmalen hinzugefügt werden und kann verwendet werden, wenn die Merkmale weniger als einen gewissen Minimalschwellenwert voneinander beabstandet sind. In der dargestellten Ausführungsform hat das Linienende-Verbindungsmerkmal 162 eine geringere Breite als die Merkmalgebiete 160. Die Breite wird so gewählt, dass ein Abstandhaltermaterial, das innerhalb des Linienende-Verbindungsmerkmals 162 abgeschieden ist, das Linienende-Verbindungsmerkmal 162 überspannt und die Merkmalgebiete 160 physisch trennt.
  • Unter Bezugnahme auf Block 205 von 2D wird der strukturierte Lack 158 zum selektiven Entfernen eines Teils der Hartmaskenschicht 156 verwendet. Dies überträgt die Struktur des Lacks 158 auf die Hartmaskenschicht 156. Das Ätzen kann jede geeignete Ätztechnik umfassen, einschließlich Nassätzens, Trockenätzens, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Technik, und die Ätztechnik und Ätzchemie können zum Erzeugen eines im Wesentlichen isotropischen Ätzens der freiliegenden Hartmaskenschicht 156 ohne wesentliches Ätzen des Lackschicht 158 gewählt werden. Unter Bezugnahme auf 2E kann die verbleibende Lackschicht 158 nach dem Ätzen der Hartmaskenschicht 156 entfernt werden.
  • Unter Bezugnahme auf Block 208 von 2A und auf 2F wird ein Abstandhalter 164 an den Seitenwänden der verbleibenden Hartmaskenschicht 156 gebildet. Der Abstandhalter 164 kann im Wesentlichen jenem von 1A-1I ähnlich sein kann und jedes geeignete Material (z.B. Metalloxid, Metallnitrid, Metalloxynitrid, Metallkarbid, Halbleiter, Dielektrikum usw.) umfassen. Das Material des Abstandhalters 164 kann so gewählt sein, dass es eine andere Ätzmittelselektivität als die Materialschicht 154 hat. Das Material des Abstandhalters 164 kann durch jeden geeigneten Prozess abgeschieden werden, einschließlich Atomlagenabscheidung (ALD), chemischer Dampfphasenabscheidung (CVD), plasmaverstärkter CVD (PE CVD) und/oder anderer geeigneter Abscheidungstechniken. In einer solchen Ausführungsform wird das Material des Abstandhalters 164 durch ALD konform abgeschieden und eine anisotropische (direktionale) Ätztechnik, wie ein anisotropisches Plasmaätzen, wird zur Entfernung von Teilen des Abstandhalters 164 durchgeführt, die auf horizontalen Oberflächen der Hartmaskenschicht 156 und der Materialschicht 154 abgeschieden sind. Auf diese Weise verbleiben nur jene Teile des Abstandhalters 164, die auf den vertikalen Oberflächen der Hartmaskenschicht 156 abgeschieden sind.
  • In anderen Ausführungsformen wird das Material des Abstandhalters 164 mit einem nasschemischen Reaktionsmittel abgeschieden, das zur Reaktion mit der Hartmaskenschicht 156 gewählt ist, um einen Niederschlag zu erzeugen, der den Abstandhalter 164 bildet. Das Werkstück 150 kann gespült werden, um nicht zur Reaktion gebrachtes Reaktionsmittel zu entfernen, und es kann ein anisotropisches Ätzen durchgeführt werden, um Teile des Abstandhalters 164 zu entfernen, die auf horizontalen Oberflächen der Hartmaskenschicht 156 und der Materialschicht 154 abgeschieden sind.
  • Der Abstandhalter 164 und die Hartmaskenschicht 156 können zum selektiven Bearbeiten jedes darunter liegenden Teils der Trägerschicht 152 und/oder Materialschichten (z.B. Schicht 154) verwendet werden. In diesem Zusammenhang können der Abstandhalter 164 und die Hartmaskenschicht 156 in Verbindung mit jedem Ätzprozess, Abscheidungsprozess, Implantationsprozess, Epitaxieprozess und/oder jedem anderen Herstellungsprozess verwendet werden. In einigen Ausführungsformen wird die Materialschicht 154 unter Verwendung des Abstandhalters 164 und der Hartmaskenschicht 156 strukturiert, um eine Verbindungsstruktur zu bilden. In einem solchen Beispiel, unter Bezugnahme auf Block 210 von 2A und auf 2G, werden die freiliegenden Teile der Materialschicht 154 unter Verwendung jeder geeigneten Ätztechnik, einschließlich Trockenätzens, Nassätzens, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Ätztechnik strukturiert. Nach dem Ätzen kann jeder verbleibende Teil des Abstandhalters 164 und der Hartmaskenschicht 156 entfernt werden.
  • Unter Bezugnahme auf Block 212 von 2A und auf 2H werden eine oder mehrere Schicht(en) eines leitenden Materials 164 auf der strukturierten Materialschicht 154 abgeschieden, einschließlich innerhalb des geätzten Teils. Geeignete leitende Materialien 164 umfassen Metalle, Metalloxide, Metallnitride, Metalloxynitride, Metallkarbide und/oder nichtmetallische Leiter und in einer solchen Ausführungsform umfasst das leitende Material 164 eine TiN-Sperrschicht, die auf der Materialschicht 154 abgeschieden ist, und ein kupferhaltiges Füllmaterial, das auf der Sperrschicht abgeschieden ist. Jeder Teil des leitenden Materials 164, der sich über die Materialschicht 154 erstreckt, kann mit einem chemisch-mechanischen Polier/Einebnungs- (CMP-) Prozess oder einer anderen geeigneten Technik entfernt werden.
  • Die Technik kann auch bei Merkmalen des Werkstücks 150 angewendet werden, die in der Linienbreitenrichtung versetzt sind. Daher zeigt 2I ein anderes Gebiet des Werkstücks 150, in dem ein Linienende-Schrumpfungsmerkmal 162 zur Durchführung einer Linienende-Schrumpfung verwendet wird, um versetzte Merkmale zu trennen. 2I zeigt das Werkstück 150 nach der Bildung des Abstandhalters, wie in Block 208 von 2A beschrieben. Das Verfahren 200 fährt auf identische Weise fort und in vielen Ausführungsformen umfasst das Werkstück 150 Merkmale, die in der Linienbreitenrichtung ausgerichtet sind, wie in 2B-2H dargestellt ist, wie auch versetzt sind, wie in 2I dargestellt ist.
  • Weitere Ausführungsformen der lithografischen Strukturierungstechnik werden nun unter Bezugnahme auf 3-17 beschrieben. 3 ist ein Ablaufdiagramm eines Verfahrens 300 zum Strukturieren eines Werkstücks 500 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Es ist klar, dass zusätzlich Schritte vor, während und nach dem Verfahren 300 vorgesehen sein können und dass einige der beschriebenen Schritte für andere Ausführungsformen des Verfahrens 300 ersetzt oder eliminiert werden können. 4 ist eine Darstellung einer Design-Datenbank 400, die eine Struktur spezifiziert, die an dem Werkstück gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu bilden ist. 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A und 16A sind Draufsichten auf einen Teil des Werkstücks 500, das dem Strukturierungsverfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird. 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, 15B und 16B sind entsprechende Querschnittsansichten eines Teils des Werkstücks, das dem Strukturierungsverfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wird. Die Querschnittsebene ist in 5A durch Referenzlinie 501 dargestellt. 17 ist ein Rasterelektronenmikroskop- (SEM) Bild 1700 eines Werkstücks 500, das dem Strukturierungsverfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wurde. Der Deutlichkeit und einfachen Erklärung wegen sind einige Elemente der Figuren vereinfacht.
  • Unter Bezugnahme auf Block 302 von 3 und auf 4 wird eine Design-Datenbank 400 bei einem Rechnersystem empfangen. Die Design-Datenbank 400 umfasst eine beliebige Anzahl von Merkmalen 402, die auf dem Werkstück zu bilden sind, und stellt diese Merkmale in der Form einer Datendatei dar, die auf einem nicht flüchtigen computerlesbaren Medium gespeichert ist. Es gibt verschiedene Designstandards zur Darstellung der Merkmale 402, einschließlich GDSIL, OASIS, CIF (Caltech Intermediate Form) und MEBES®, ein eingetragenes Warenzeichen von Applied Materials, und in verschiedenen Ausführungsformen stellt die Design-Datenbank 400 die Merkmale 402 in diesen und/oder anderen geeigneten Formaten dar. In der dargestellten Ausführungsform sind die Merkmale 402 in Bahnen 404 ausgerichtet. Bahnen werden typischerweise zum Ausrichten von Merkmalen 402 mit einem Minimalabstand verwendet, obwohl es keine Anforderung gibt, dass ein Merkmal 402 mit einer Bahn 404 ausgerichtet wird.
  • Unter Bezugnahme auf Block 304 von 3 und auf 4 sind die Merkmale 402 teilweise anhand der Bahnen 404 gruppiert, auf welche sie ausgerichtet sind. In der dargestellten Ausführungsform von 4 entsprechen die Bahnen 404 drei Gruppen gemäß einem sich wiederholenden Muster, die mit A, B und C markiert sind. In diesem Beispiel haben die Merkmale 402 in Gruppe A eine erste Teilung (z.B. einen Abstand von Mitte zu Mitte) in Bezug auf die anderen Merkmale 402 in der Gruppe und Merkmale 402 in Gruppe B haben eine ähnliche Teilung in Bezug auf die anderen Merkmale 402 in der Gruppe. In diesem Beispiel haben jedoch Merkmale in Gruppe C eine kleinere Teilung, teilweise weil Gruppe C mit jeder zweiten Bahn 404 verbunden ist. Zur Unterstützung dieser kleineren Teilung können Design-Regeln implementiert werden, um die Genauigkeit von Merkmalen 402 in Gruppe C sicherzustellen. Zum Beispiel kann eine Design-Regel verlangen, dass Merkmale der Gruppe C mit Minimalabstand entweder durch ein Merkmal der Gruppe B oder ein Merkmal der Gruppe A getrennt sind. In einem anderen Beispiel kann eine Design-Regel verhindern, dass Linienenden von Merkmalen der Gruppe B oder Merkmalen der Gruppe A zwischen Merkmalen der Gruppe C mit Minimalabstand auftreten. Diese Design-Regel und andere können der Design-Datenbank 400 unerwünschte Einschränkungen auferlegen. Daher sieht die Technik von Verfahren 300 eine Mehrfachstrukturierungstechnik vor, die frei von einigen oder allen dieser Design-Regeln ist. Dies bietet Designern einen größeren Spielraum, der genutzt werden kann, um ein Routing zu vereinfachen und Merkmale 402 in einer kleineren Fläche zu bilden.
  • Unter Bezugnahme auf Block 306 von 3 wird die Design-Datenbank 400, die die gruppierten Merkmale umfasst, für eine lithografische Bearbeitung bereitgestellt. In einem solchen Beispiel führt ein Maskenhaus oder eine andere Einheit eine optische Nahbereichskorrektur (Optical Proximity Correction, OPC) an der Design-Datenbank 400 aus, indem Sub-Auflösungshilfsmerkmale, rechteckige/quadratische Bereiche (Serifs), T-förmige Strukturen an den Linienenden (Hammerheads) und/oder andere Verbesserungen an den Datenbankmerkmalen 402 hinzugefügt werden. Andere Arten von optischem Ausgleich sind in der Folge ausführlicher beschrieben. Einige lithografische Bearbeitungsschritte, die in Block 306 ausgeführt werden, sind für die Art von auszuführender lithografischer Technik spezifisch. Für eine lithografische Direktschreib-Technik kann eine Bearbeitung ein Erzeugen eines Satzes von Emitterintensitätswerten und/oder anderer Strahlsteuerungen für einen Emitter (z.B. einen Laser, einen e-Strahlemitter, einen Ionenstrahlemitter, usw.) anhand der Merkmale 402 der Design-Datenbank 400 umfassen.
  • Für eine fotolithografische Technik kann eine Bearbeitung ein Erzeugen einer oder mehrerer Maske(n) (z.B. reflektierender und/oder durchlässiger Masken) anhand der Design-Datenbank 400 umfassen. In einem solchen Beispiel verwendet das Maskenhaus die Design-Datenbank 400 zum Herstellen einer Maske oder eines Maskensatzes. In einigen solchen Ausführungsformen wird ein e-Strahl oder eine e-Strahlanordnung zum Strukturieren einer Maske durch Belichten von Teilen eines Strahllacks, der auf der Maske gebildet ist, verwendet. Der strukturierte Lack wird dann zum Entfernen von Gebieten einer optischen Schicht verwendet, wie einer absorbierenden Schicht der Maske. Zusätzlich oder alternativ entfernt der e-Strahl die optische Schicht direkt durch Abtragen oder vergrößert die optische Schicht durch Ausführung einer punktgenauen Abscheidung. Häufig wird ein direktes Abtragen oder Abscheiden zur Korrektur von Maskendefekten verwendet. In dieser Hinsicht führt das Maskenhaus, nachdem eine Maske hergestellt wurde, eine Maskenüberprüfung durch um festzustellen, ob die hergestellte Maske Defekte aufweist. Abhängig von der Anzahl und Art der vorliegenden Defekte kann die Maske repariert oder wiederverwertet werden.
  • Unter Bezugnahme auf Blöcke 308-324 wird das Ergebnis dieser Bearbeitung, ob es sich nun um einen Maskensatz, Direktschreib-Anweisungen oder eine andere lithografische Darstellung handelt, zum Strukturieren einer oder mehrerer Materialschicht(en) eines Werkstücks verwendet. 5A und 5B zeigen ein solches geeignetes Werkstück 500. Das beispielhafte Werkstück umfasst eine Trägerschicht 502, auf der andere Materialien gebildet werden können. Die Trägerschicht 502 kann im Wesentlichen der Trägerschicht 152 von 1A-1I und/oder 2A-2I ähnlich sein und kann einen elementaren Halbleiter, einen Verbindungshalbleiter, ein Nicht-Halbleitermaterial und/oder eine Kombination davon umfassen.
  • Auf der Trägerschicht 502 können auch verschiedene Materialschichten gebildet sein. In der dargestellten Ausführungsform umfasst das Werkstück 500 eine Materialschicht 504, die zu strukturieren ist, und zwei Hartmaskenschichten (Schicht 506 und Schicht 508), die auf der Materialschicht abgeschieden sind. Diese können jenen, die in Zusammenhang mit 1A-1I und/oder 2A-2I beschrieben sind, ähnlich sein. Natürlich wird ein Fachmann erkennen, dass die Trägerschicht 502 eine beliebige Anzahl von Materialschichten und/oder Hartmaskenschichten umfassen kann. Geeignete Materialien für die Materialschichten und Hartmaskenschichten können anhand einer Ätzmittelselektivität gewählt werden und in verschiedenen beispielhaften Ausführungsformen haben die Materialschicht 504, die Hartmaskenschicht 506 und Hartmaskenschicht 508 unterschiedliche Ätzmittelselektivitäten, so dass jede Schicht unter Verwendung eines entsprechenden Ätzmittels entfernt werden kann, ohne die anderen Schichten signifikant zu ätzen. Zum Beispiel umfasst in verschiedenen Ausführungsformen, in welchen die Strukturierungstechnik zum Strukturieren einer dielektrischen Zwischenschicht (ILD) verwendet wird, um eine Zwischenverbindungsstruktur zu bilden, die Materialschicht 504 ein Halbleiter- und/oder ein dielektrisches Material, wie ein Halbleiteroxid, Halbleiternitrid und/oder Halbleiteroxynitrid; die Hartmaskenschicht 506 umfasst ein anderes Material mit einer anderen Ätzmittelselektivität, wie Metallnitrid (z.B. TiN, TaN, usw.), Metalloxid, Metalloxynitrid, Metallkarbid, Halbleiter und/oder Dielektrikum; und die Hartmaskenschicht 508 umfasst ein weiteres anderes Material, wie ein anderes Metallnitrid.), Metalloxid, Metalloxynitrid, Metallkarbid, Halbleiter und/oder Dielektrikum. In einer solchen Ausführungsform umfasst die Materialschicht 504 Siliziumoxynitrid, die Hartmaskenschicht 506 umfasst Titannitrid und die Hartmaskenschicht 508 umfasst amorphes Silizium.
  • Das Werkstück 500 kann auch einen lithografisch-empfindlichen Lack 510 wie einen Fotolack und/oder einen e-Strahllack umfassen, der auf die bestimmte Technik und Energiequelle abgestimmt ist, die in den folgende Strukturierungsschritten verwendet wird. Ein beispielhafter Lack 510 umfasst ein lichtempfindliches Material, das das Material veranlasst, eine Veränderung einer Eigenschaft zu erfahren, wenn es Strahlung ausgesetzt wird. Diese Eigenschaftsänderung kann für ein selektives Entfernen belichteter (in Fall eines positiven Lacks) oder nicht belichteter (im Fall eines negativen Lacks) Teile des Fotolacks 510 genutzt werden.
  • Unter Bezugnahme auf Block 308 und auf 6A und 6B wird eine erste Strukturierung des Werkstücks 500 zur Bildung einer ersten Struktur in der Lackschicht 510 durchgeführt. Eine Strukturierung kann unter Verwendung jeder geeigneten lithografischen Technik ausgeführt werden, einschließlich Fotolithografie und/oder Direktschreiben-Lithografie. Ein beispielhafter fotolithografischer Strukturierungsprozess umfasst das Weichbacken der Lackschicht 510, ein Maskenausrichten, Belichten, Backen nach Belichten, Entwickeln der Lackschicht 510, Spülen und Trocknen (z.B. Hartbacken). Ein beispielhafter Direktschreiben-Strukturierungsprozess umfasst das Abtasten der Oberfläche der Lackschicht 510 mit einem e-Strahl oder einer anderen Energiequelle, während die Intensität der Energiequelle variiert wird, um die Dosierung zu variieren, die von verschiedenen Gebieten der Lackschicht 510 aufgenommen wird. Die Struktur, die in der Lackschicht 510 durch die erste Strukturierung des Werkstücks 500 gebildet wird, beruht auf der Design-Datenbank 400 und insbesondere auf Merkmalen 402 in Gruppe A. Insbesondere bedeckt in dem dargestellten Beispiel die strukturierte Lackschicht 510 jede Bahn der Gruppe B, legt jede Bahn der Gruppe C frei, die nicht neben einem Linienenden-Verbindungsmerkmal 608 liegt (wie in der Folge ausführlicher erklärt) und legt jene Bahnen der Gruppe A frei, in welchen ein Merkmal 402 zu bilden ist. Unter Bezugnahme auf 6A sind Bahnen 404 auf das Werkstück 500 gelegt, um die relativen Stellen dieser Merkmalgruppen zu markieren. In dem dargestellten Beispiel liegen die Ränder der Strukturform so, dass sich ein Abstandhalter, der anschließend auf der strukturierten Hartmaskenschicht 508 gebildet wird, entlang jener Teile der Bahnen der Gruppe C erstreckt, die nicht neben einem Linienenden-Verbindungsmerkmal 608 liegen. Dies geht aus den folgenden Figuren deutlicher hervor.
  • Wie erkennbar ist, bezieht sich die Struktur, die auf dem Werkstück 500 gebildet wird, auf die Merkmale 402 der Design-Datenbank 400, umfasst aber auch Modifizierungen, die an der Design-Datenbank 400 in Block 306 vorgenommen werden. Zur näheren Darstellung dieses Punkts sind zwei zu bildende Merkmale der Gruppe A durch punktierte Kästen 602 dargestellt. In dem dargestellten Beispiel ist die entsprechende strukturierte Fläche des Lacks 510 in eine Richtung parallel zu der entsprechenden Bahn 404 und in eine Richtung senkrecht zur entsprechenden Bahn 404 größer, wie durch Referenzmarkierungen 604 bzw. 606 dargestellt. Die strukturierte Fläche des Lacks 510 umfasst auch ein Linienenden-Verbindungsmerkmal, dargestellt durch den punktierten Kasten 608. Die Linienenden-Verbindungsmerkmale 608 können im Wesentlichen Linienenden-Verbindungsmerkmalen 162 von 1A-1I und/oder 2A-2I ähnlich sein und in dieser Hinsicht können Linienenden-Verbindungsmerkmale 608 zwischen beliebigen zwei Merkmalen der Gruppe A hinzugefügt werden, die weniger als einen gewissen Minimalabstand voneinander beabstandet sind. In der dargestellten Ausführungsform hat das Gebiet des Linienenden-Verbindungsmerkmals eine schmälere Breite senkrecht zur Bahn 404 als das Merkmalgebiet. Diese optischen Korrekturen (erweitere Merkmalfläche und Linienenden-Verbindungsmerkmale 608) und andere können an der Design-Datenbank 400 während der Bearbeitung von Block 306 vorgenommen werden oder können an einer Maske oder einem Satz von Direktschreib-Anweisungen ohne Aktualisierung der Design-Datenbank 400 vorgenommen werden.
  • Unter Bezugnahme auf Block 310 von 3 und 7A und 7B wird die Struktur des Lacks 510 durch jeden geeigneten Ätzprozess, einschließlich Nassätzen, Trockenätzen, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Technik, auf den Rest des Werkstücks 500 übertragen. Der Ätzprozess und/oder die Ätzreagenzien können zum Ätzen der Hartmaskenschicht 508 ohne signifikantes Ätzen der Hartmaskenschicht 506 gewählt werden. Jeglicher verbleibender Lack 510 kann nach dem Strukturieren der Hartmaskenschicht 508 abgestreift werden.
  • Unter Bezugnahme auf Block 312 von 3 und auf 8A und 8B wird ein erster Abstandhalter 802 an den Seitenwänden der verbleibenden Hartmaskenschicht 508 gebildet. Der erste Abstandhalter 802 kann jedes geeignete Material (z.B. Metalloxid, Metallnitrid, Metalloxynitrid, Metallkarbid, Halbleiter, Dielektrikum usw.) umfassen, das so gewählt werden kann, dass es eine andere Ätzmittelselektivität aufweist als die umgebenden Schichten (z.B. Hartmaskenschicht 508, Hartmaskenschicht 506, usw.). In einer beispielhaften Ausführungsform umfasst der erste Abstandhalter 802 TiO, um ihn von einer Hartmaskenschicht 508 aus amorphem Silizium und einer Hartmaskenschicht 506, die TiN umfasst, zu unterscheiden.
  • Das Material des ersten Abstandhalters 802 kann durch jeden geeigneten Prozess abgeschieden werden, einschließlich Atomlagenabscheidung (ALD), chemischer Dampfphasenabscheidung (CVD), plasmaverstärkter CVD (PECVD) und/oder anderer geeigneter Abscheidungstechniken. In einem solchen Beispiel wird das Material des ersten Abstandhalters 802 durch ALD konform abgeschieden und eine anisotropische (direktionale) Ätztechnik, wie ein anisotropisches Plasmaätzen, wird zur Entfernung von Teilen des ersten Abstandhalters 802 durchgeführt, die auf horizontalen Oberflächen der der Hartmaskenschicht 508 und Hartmaskenschicht 506 abgeschieden sind. Auf diese Weise verbleiben nur jene Teile des ersten Abstandhalters 802, die auf den vertikalen Oberflächen der Hartmaskenschicht 508 abgeschieden sind.
  • In anderen Beispielen wird das Material des ersten Abstandhalters 802 mit einem nasschemischen Reaktionsmittel abgeschieden, das zur Reaktion mit der Hartmaskenschicht 508 gewählt ist, um einen Niederschlag zu erzeugen, der den ersten Abstandhalter 802 bildet. Das Werkstück 500 kann gespült werden, um nicht zur Reaktion gebrachtes Reaktionsmittel zu entfernen, und es kann ein anisotropisches Ätzen durchgeführt werden, um Teile des ersten Abstandhalters 802 zu entfernen, die auf horizontalen Oberflächen der Hartmaskenschicht 508 und Hartmaskenschicht 506 abgeschieden wurden.
  • Unter Bezugnahme auf Block 314 von 3 und auf 9A und 9B wird ein zweiter Lack 902 (z.B. ein Fotolack, e-Strahllack, usw.) auf dem Werkstück 500 gebildet und strukturiert, um eine zweite Struktur zu bilden. Die Strukturierung kann mit jeder geeigneten lithografischen Technik, einschließlich Fotolithografie und/oder Direktschreiben-Lithografie ausgeführt werden und kann von einer anderen Art als die Technik sein, die zum Strukturieren der ersten Lackschicht 510 verwendet wird. Die Strukturierung, die in der zweiten Lackschicht 902 gebildet wird, beruht auf der Design-Datenbank 400 und insbesondere auf Merkmalen 402 in Gruppe B. In dem dargestellten Beispiel bedeckt die strukturierte Lackschicht 902 jede Bahn der Gruppe A, legt jede Bahn der Gruppe C frei und legt jene Teile von Bahnen der Gruppe B frei, in welchen ein Merkmal 402 gebildet werden soll.
  • Unter Bezugnahme auf Block 316 von 3 und auf 10A und 10B wird die Struktur des zweiten Lacks 902 auf das Werkstück 500 übertragen, indem jene Teile der Hartmaskenschicht 508 entfernt werden, die durch den zweiten Lack 902 freigelegt werden. Die Übertragung kann unter Verwendung jeder geeigneten Ätztechnik, einschließlich Nassätzen, Trockenätzen, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Technik, erreicht werden. Der Ätzprozess und/oder die Ätzreagenzien können zum Ätzen der Hartmaskenschicht 508 ohne signifikantes Ätzen der Hartmaskenschicht 506 und/oder des ersten Abstandhalters 802 gewählt werden. Jeglicher verbleibender zweiter Lack 902 kann nach dem Strukturieren der Hartmaskenschicht 508 abgestreift werden.
  • Unter Bezugnahme auf Block 318 von 3 und auf 11A und 11B wird ein zweiter Abstandhalter 1102 an den Seitenwänden des ersten Abstandhalters 802 und/oder auf jedem verbleibenden Teil der Hartmaskenschicht 508 gebildet. Der zweite Abstandhalter 1102 kann jedes geeignete Material (z.B. Metalloxid, Metallnitrid, Metalloxynitrid, Metallkarbid, Halbleiter, Dielektrikum usw.) umfassen, das so gewählt werden kann, dass es eine andere Ätzmittelselektivität aufweist als die umgebenden Schichten (z.B. Hartmaskenschicht 508, Hartmaskenschicht 506, erster Abstandhalter 802, usw.). In einem Beispiel umfasst der zweite Abstandhalter 1102 Siliziumdioxid, um ihn von einem TiO-haltigen ersten Abstandhalter 802, einer Hartmaskenschicht 508 aus amorphem Silizium und einer TiN-haltigen Hartmaskenschicht 506 zu unterscheiden.
  • Ähnlich wie beim ersten Abstandhalter 802 kann das Material des zweiten Abstandhalters 1102 durch jeden geeigneten Prozess abgeschieden werden, einschließlich Atomlagenabscheidung (ALD), chemischer Dampfphasenabscheidung (CVD), plasmaverstärkter CVD (PE CVD) und/oder anderer geeigneter Abscheidungstechniken. In einem solchen Beispiel wird das Material des zweiten Abstandhalters 1102 durch ALD konform abgeschieden und anschließend unter Verwendung einer anisotropischen (direktionale) Ätztechnik geätzt, um Teile des zweiten Abstandhalters 1102 zu entfernen, die auf horizontalen Oberflächen der Hartmaskenschicht 508, der Hartmaskenschicht 506 und/oder des ersten Abstandhalters 802 abgeschieden sind. Auf diese Weise verbleiben nur jene Teile des zweiten Abstandhalters 1102, die auf den vertikalen Oberflächen des ersten Abstandhalters 802 und/oder der Hartmaskenschicht 508 abgeschieden sind.
  • In anderen Beispielen wird das Material des zweiten Abstandhalters 1102 unter Verwendung eines nasschemischen Reaktionsmittel abgeschieden, das zur Reaktion mit den Materialien des Werkstücks 500 gewählt ist, um einen Niederschlag zu erzeugen, der den zweiten Abstandhalter 1102 bildet. Das Werkstück 500 kann gespült werden, um nicht zur Reaktion gebrachtes Reaktionsmittel zu entfernen, und es kann ein anisotropisches Ätzen durchgeführt werden, um Teile des zweiten Abstandhalters 1102 zu entfernen, die auf horizontalen Oberflächen der Hartmaskenschicht 508, der Hartmaskenschicht 506 und/oder des ersten Abstandhalters 802 abgeschieden sind.
  • Unter Bezugnahme auf Block 320 von 3 und auf 12A und 12B wird ein dritter Lack 1202 (z.B. ein Fotolack, ein e-Strahllack, usw.) auf dem Werkstück 500 gebildet und strukturiert, um eine dritte Struktur zu bilden. Die Strukturierung kann mit jeder geeigneten lithografischen Technik, einschließlich Fotolithografie und/oder Direktschreiben-Lithografie, ausgeführt werden und kann von einer anderen Art als die Technik sein, die zum Strukturieren der ersten Lackschicht 510 und der zweiten Lackschicht 902 verwendet wird. Die Struktur, die in der dritten Lackschicht 1202 gebildet wird, beruht auf der Design-Datenbank 400 und insbesondere auf Merkmalen 402 in Gruppe C. In dem dargestellten Beispiel legt die strukturierte Lackschicht 1202 Teile von Bahnen der Gruppe C frei, in welchen ein Merkmal 402 gebildet werden soll.
  • Unter Bezugnahme auf Block 322 von 3 und auf 13A und 13B wird die Struktur des dritten Lacks 1202 auf das Werkstück 500 übertragen, indem jene Teile des ersten Abstandhalters 1802 entfernt werden, die durch den dritten Lack 1202 freigelegt werden. Die Übertragung kann unter Verwendung jeder geeigneten Ätztechnik, einschließlich Nassätzens, Trockenätzens, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Technik, erreicht werden. Der Ätzprozess und/oder die Ätzreagenzien können zum Ätzen des ersten Abstandhalters 802 ohne signifikantes Ätzen der umgebenden Materialschichten, einschließlich des zweiten Abstandhalters 1102 gewählt werden. Jeglicher verbleibender Lack 1202 kann nach dem Strukturieren abgestreift werden. An diesem Punkt wird die fertige Struktur durch den Rest der Hartmaskenschicht 508, den ersten Abstandhalter 802 und/oder den zweiten Abstandhalter 1102 definiert. Das Werkstück kann unter Verwendung dieser Struktur ohne weitere Strukturierung selektiv bearbeitet werden. In einigen Beispielen jedoch wird die Struktur als Teil dieser Bearbeitung zuerst auf eine andere Hartmaskenschicht (z.B. Hartmaskenschicht 506) übertragen.
  • Daher wird, unter Bezugnahme auf Block 324 von 3 und auf 14A und 14B die Halbleitervorrichtungsstruktur 506 unter Verwendung der verbleibenden Teile der Hartmaskenschicht 508, des ersten Abstandhalters 802 und/oder des zweiten Abstandhalters 1102 strukturiert. Diese Strukturierung kann unter Verwendung jedes geeigneten Ätzprozesses erreicht werden, einschließlich Nassätzens, Trockenätzens, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Technik. Nach dem Strukturieren der Hartmaskenschicht 506 können die verbleibenden Teile der Hartmaskenschicht 508, des ersten Abstandhalters 802 und/oder des zweiten Abstandhalters 1102 entfernt werden.
  • Wie aus der vorangehenden Beschreibung erkennbar ist, besitzt diese Strukturierungstechnik mehrere Vorteile, die sich bei anderen Mehrfachstrukturierungstechniken nicht finden. Zum Beispiel ermöglich das Linienende-Verbindungsmerkmal 608 (in 6A dargestellt) eine präzise Kontrolle von Linienende-Abständen ohne separaten Trennschnitt-Strukturierungsschritt. Ein Vermeiden eines Trennschnitt-Strukturierungsschritts kann die Anzahl von Hartmaskenschichten und die Maskenkosten und Zeit, die mit dem zusätzlichen Strukturierungsschritt verbunden sind, verringern. Zusätzlich ermöglicht diese Technik in einigen Ausführungsformen, dass Linienenden in den Gebieten gebildet werden, wo sie in anderen Techniken nicht möglich sind. (z.B. zwischen Merkmalen der Gruppe C mit Minimalabstand). Natürlich sind diese Vorteile nur beispielhaft und es ist kein Vorteil für eine bestimmte Ausführungsform erforderlich.
  • Unter Verwendung der Technik von Blöcken 302-324 wird eine Struktur in der Hartmaskenschicht 506 beruhend auf den drei Wiederholungen einer lithografischen Strukturierung gebildet, um eine Struktur zu bilden, die in der Design-Datenbank 400 spezifiziert ist. Die Hartmaskenschicht 506 kann dann zum selektiven Bearbeiten der darunter liegenden Trägerschicht 502 und/oder Materialschichten (z.B. Schicht 504) verwendet werden. Die strukturierte Hartmaskenschicht 506 kann in Verbindung mit jedem Ätzprozess, Abscheidungsprozess, Implantationsprozess, Epitaxieprozess und/oder jedem anderen Herstellungsprozess verwendet werden. In einigen Beispielen, die im Zusammenhang mit Block 326 von 3 und 15A und 15B beschrieben ist, wird eine Materialschicht 504 unter Verwendung der Hartmaskenschicht 506 strukturiert, um eine Verbindungsstruktur zu bilden. Dabei werden die freiliegenden Teile der Materialschicht 504 unter Verwendung jeder geeigneten Ätztechnik, einschließlich Trockenätzens, Nassätzens, reaktiven Ionenätzens, Veraschens und/oder einer anderen geeigneten Ätztechnik strukturiert. Nach dem Ätzen kann jeder verbleibende Teil der Hartmaskenschicht 506 entfernt werden.
  • Unter Bezugnahme auf Block 328 und auf 16A und 16B werden eine oder mehrere Schicht(en) eines leitenden Materials 1602 auf der strukturierten Materialschicht 504 abgeschieden, einschließlich innerhalb des geätzten Teils. Geeignete leitende Materialien 1602 umfassen Metalle, Metalloxide, Metallnitride, Metalloxynitride, Metallkarbide und/oder nichtmetallische Leiter und in einem solchen Beispiel umfasst das leitende Material 1602 eine TiN-Sperrschicht, die auf der Materialschicht 504 abgeschieden ist, und ein kupferhaltiges Füllmaterial, das auf der Sperrschicht abgeschieden ist. Jeder Teil des leitenden Materials 1602, der sich über die Materialschicht 504 erstreckt, kann mit einem chemisch-mechanischen Polier/Einebnungs- (CMP-) Prozess oder einer anderen geeigneten Technik entfernt werden.
  • 17 ist ein erläutertes Rasterelektronenmikroskop- (SEM) Bild eines Werkstücks 500, das dem Strukturierungsverfahren gemäß verschiedenen Aspekten der vorliegenden Offenbarung unterzogen wurde. In den dargestellten Beispielen sind einige nicht lineare Merkmalteile, insbesondere in Gruppen B und C, vorhanden, von welchen Beispiele mit den Referenzmarkierungen 1702 und 1704 bezeichnet sind. In vielen Anwendungen jedoch hat diese Nichtlinearität eine minimale elektrische und/oder Leistungsauswirkung auf das fertige Werkstück 500 und ist ein akzeptabler Kompromiss für die Vorteile, die die vorliegende Technik bietet, wie die Fähigkeit, Linienenden zwischen Merkmalen der Gruppe C mit Minimalabstand zu bilden, ohne die Belastung zusätzlicher Strukturierungsschritte.
  • 18 ist ein Systemdiagramm eines Rechnersystems 1800, das imstande ist, die Techniken der vorliegenden Offenbarung auszuführen. Das Rechnersystem 1800 kann einen Prozessor 1802, wie eine Mikrosteuerung oder eine zweckbestimmte zentrale Verarbeitungseinheit (CPU), ein nicht transitorisches, computerlesbares Speichermedium 1804 (z.B. eine Festplatte, einen Direktzugriffsspeicher (RAM), eine Compact Disk Nur-LeseSpeicher (CD-ROM), usw.), eine Videosteuerung 1806, wie eine grafische Verarbeitungseinheit (GPU) und eine Netzkommunikationsvorrichtung 1808, wie eine Ethernet-Steuerung oder drahtlose Kommunikationssteuerung, umfassen. In dieser Hinsicht ist das Rechnersystem 1800 in einigen Ausführungsformen programmierbar und programmiert, um Prozesse auszuführen, die jene umfassen, die mit einer Gruppierung von Merkmalen, Erstellen einer Design-Datenbank 400 zur Lithografie, und Strukturieren eines Werkstücks 500 anhand der Design-Datenbank 400 verbunden sind. Daher ist klar, dass jeder Betrieb des Rechnersystems 1800 gemäß verschiedenen Aspekten der vorliegenden Offenbarung vom Rechnersystem 1800 unter Verwendung entsprechender Anweisungen ausgeführt werden kann, die auf oder in einem nicht transitorischen computerlesbaren Medium gespeichert sind, auf das das Verarbeitungssystem zugriefen kann. In dieser Hinsicht ist das Rechnersystem 1800 betreibbar, um eine oder mehrere der Aufgaben auszuführen, die in Bezug auf 1A, 2A und/oder 3 beschrieben sind.
  • Die vorliegenden Ausführungsformen können die Form einer vollständigen Hardware-Ausführungsform, einer vollständigen Software-Ausführungsform oder einer Ausführungsform, die sowohl Hardware wie auch Software, annehmen. Ferner können Ausführungsformen der vorliegenden Offenbarung die Form eines Computerprogrammprodukts, das von einem materiellen, computerbrauchbaren oder computerlesbaren Medium zugänglich ist, das einen Programmcode zur Verwendung von oder in Verbindung mit einem Computer oder einem beliebigen Anweisungsausführungssystem bereitstellt, annehmen. Für den Zweck dieser Beschreibung kann ein materielles, computerbrauchbares oder computerlesbares Medium jede Vorrichtung sein, die das Programm zur Verwendung durch oder in Verbindung mit dem Anweisungsausführungssystem, dem Gerät oder der Vorrichtung speichern kann. Das Medium kann einen nicht flüchtigen Speicher umfassen, einschließlich eines Magnetspeichers, Festkörperspeichers, optischen Speichers, Cache-Speichers, Direktzugriffsspeichers (RAM).
  • Somit stellt die vorliegende Offenbarung eine Technik zum Bilden von Merkmalen auf einem Werkstück bereit, die eine größere Design-Flexibilität und weniger Design-Begrenzungen bietet. Die Erfindung betrifft ein Verfahren umfassend das Aufnehmen eines Werkstücks, das eine Materialschicht und ein darauf abgeschiedenes Hartmaskenmaterial umfasst. Eine lithografische Strukturierung des Hartmaskenmaterials wird durchgeführt, um eine Ausnehmung darin zu definieren. Ein Abstandhaltermaterial wird innerhalb der Ausnehmung des strukturierten Hartmaskenmaterials abgeschieden, um zumindest zwei physisch getrennte Merkmalgebiete zu definieren, wobei zwischen den beiden Merkmalgebieten ein Verbindungsmerkmal vorgesehen ist, das eine geringere Breite als die Merkmalgebiete aufweist, so dass das Abstandhaltermaterial, das innerhalb des Verbindungsmerkmals abgeschieden ist, das Verbindungsmerkmal überspannt, wobei die Merkmalgebiete in Linienbreitenrichtung versetzt zueinander sind, und ein Teil des Werkstücks wird anhand einer Struktur, die durch das strukturierte Hartmaskenmaterial und das Abstandhaltermaterial in der Ausnehmung definiert ist, selektiv verarbeitet. In einigen solchen Ausführungsformen umfasst das Abscheiden des Abstandhaltermaterials innerhalb der Ausnehmung das Durchführen eines im Wesentlichen konformen Abscheidens des Abstandhalters und das Durchführen eines Ätzprozesses, der so gestaltet ist, dass ein Teil des Abstandhalters an einer Seitenfläche der Hartmaskenschicht verbleibt. In einigen solchen Ausführungsformen umfasst die Bearbeitung des Teils des Werkstücks das Ätzen eines freigelegten Teils der Materialschicht anhand der Struktur und das Abscheiden eines leitenden Materials innerhalb der geätzten Materialschicht.
  • Die Erfindung betrifft ferner ein Verfahren umfassend das Empfangen eines Datensatzes, der mehrere Merkmale spezifiziert, die auf dem Werkstück zu bilden sind. Eine erste Strukturierung einer Hartmaske des Werkstücks wird anhand eines ersten Satzes von Merkmalen der mehreren Merkmale durchgeführt, wobei das Durchführen der ersten Strukturierung das Bilden eines Verbindungsmerkmals zwischen einem ersten Merkmalgebiet des ersten Satzes von Merkmalen und einem zweiten Merkmalgebiet des ersten Satzes von Merkmalen umfasst und wobei das Verbindungsmerkmal eine geringere Breite als das erste Merkmalgebiet und das zweite Merkmalgebiet aufweist; und anschließend wird ein erstes Abstandhaltermaterial an einer Seitenwand der strukturierten Hartmaske abgeschieden. Eine zweite Strukturierung der Hartmaske wird anhand eines zweiten Satzes von Merkmalen der mehreren Merkmale durchgeführt; und danach wird ein zweites Abstandhaltermaterial an einer Seitenwand des ersten Abstandhaltermaterials abgeschieden, wobei das erste und das zweite Abstandhaltermaterial, das innerhalb des Verbindungsmerkmals abgeschieden ist, das Verbindungsmerkmal überspannt und die Merkmalgebiete physisch trennt. Eine dritte Strukturierung der Hartmaske wird anhand eines dritten Satzes von Merkmalen der mehreren Merkmale durchgeführt. Ein Teil des Werkstücks wird unter Verwendung einer Struktur, die durch einen Rest von zumindest einem der strukturierten Hartmaskenschicht, des ersten Abstandhaltermaterials oder des zweiten Abstandhaltermaterials definiert ist, selektiv bearbeitet, wobei der Rest nach der Durchführung der ersten Strukturierung, der zweiten Strukturierung und der dritten Strukturierung verbleibt. In einer solchen Ausführungsform umfasst das Durchführen der ersten Strukturierung das Bilden eines Verbindungsmerkmals zwischen einem ersten Merkmalgebiet des ersten Satzes von Merkmalen und einem zweiten Merkmalgebiet des ersten Satzes von Merkmalen. Das Verbindungsmerkmal kann eine geringere Breite als jedes von dem ersten Merkmalgebiet und dem zweiten Merkmalgebiet haben.
  • Darüber hinaus betrifft die Erfindung ein Verfahren zum Strukturieren einer Materialschicht bereitgestellt. Das Verfahren umfasst das Aufnehmen eines Werkstücks, das die Materialschicht und eine Hartmaskenschicht umfasst. Die Hartmaskenschicht wird gemäß einem ersten Satz von Merkmalen strukturiert, die auf dem Werkstück zu bilden sind, wobei das Strukturieren der Hartmaskenschicht gemäß dem ersten Satz von Merkmalen das Bilden eines Verbindungsmerkmals zwischen einem ersten Merkmal und einem zweiten Merkmal jedes des ersten Satzes von Merkmalen umfasst, wobei das Verbindungsmerkmal eine Breite aufweist, die geringer ist als die Breite eines Gebiets, das mit dem ersten Merkmal verbunden ist, und geringer ist als die Breite eines Gebiets, das mit dem zweiten Merkmal verbunden ist. Ein erstes Abstandhaltermaterial wird an einer Seitenfläche der strukturierten Hartmaskenschicht abgeschieden und danach wird die Hartmaskenschicht gemäß einem zweiten Satz von Merkmalen strukturiert, die auf dem Werkstück zu bilden sind. Ein zweites Abstandhaltermaterial wird an zumindest einer Seitenfläche von zumindest einem von der Hartmaskenschicht oder dem ersten Abstandhaltermaterial abgeschieden, wobei das erste und das zweite Abstandhaltermaterial, das innerhalb des Verbindungsmerkmals abgeschieden ist, das Verbindungsmerkmal überspannt und das erste und das zweite Merkmal physisch trennt. Danach wird das erste Abstandhaltermaterial gemäß einem dritten Satz von Merkmalen strukturiert, die auf dem Werkstück zu bilden sind. Eine Struktur wird auf die Materialschicht übertragen, wobei die Struktur durch zumindest eines der folgenden definiert ist: die Hartmaskenschicht, die erste Abstandhalterschicht; oder die zweite Abstandhalterschicht. In einigen solchen Ausführungsformen ist die Strukturierung des ersten Abstandhaltermaterials so gestaltet, dass ein signifikantes Ätzen eines freiliegenden Teils des zweiten Abstandhaltermaterials vermieden wird. In einigen solchen Ausführungsformen umfasst die Strukturierung der Hartmaskenschicht gemäß dem ersten Satz von Merkmalen das Bilden eines Verbindungsmerkmals zwischen einem ersten Merkmal und einem zweiten Merkmal jeweils des ersten Satzes von Merkmalen.
  • In weiteren Beispielen wird ein Verfahren zur Halbleiterherstellung bereitgestellt, das das Aufnehmen einer Trägerschicht mit einer darauf abgeschiedenen Materialschicht und mit einer Hartmaskenschicht, die auf der Materialschicht abgeschieden ist, umfasst. Ein Satz von Merkmalen, die in der Materialschicht zu bilden sind, wird identifiziert. Die Merkmale des Satzes von Merkmalen sind entsprechend Bahnen gruppiert, mit welchen die Merkmale ausgerichtet sind. Die Hartmaskenschicht wird gemäß einer ersten Gruppe von Merkmalen des Satzes von Merkmalen strukturiert und danach wird ein erstes Abstandhaltermaterial innerhalb der Hartmaskenschicht abgeschieden. Die Hartmaskenschicht, in der das erste Abstandhaltermaterial abgeschieden ist, wird gemäß einer zweiten Gruppe von Merkmalen des Satzes von Merkmalen strukturiert. Ein zweites Abstandhaltermaterial, das sich vom ersten Abstandhaltermaterial unterscheidet, wird innerhalb der Hartmaskenschicht abgeschieden. Das erste Abstandhaltermaterial wird gemäß einer dritten Gruppe von Merkmalen des Satzes von Merkmalen strukturiert und die Materialschicht wird anhand einer Struktur strukturiert, die durch zumindest eines von: der Hartmaskenschicht, dem ersten Abstandhaltermaterial oder dem zweiten Abstandhaltermaterial definiert ist.

Claims (17)

  1. Verfahren, umfassend: Aufnehmen eines Werkstücks (150), das eine Materialschicht (154) und ein darauf abgeschiedenes Hartmaskenmaterial (156) aufweist; Durchführen einer lithografischen Strukturierung des Hartmaskenmaterials (156), um eine das Hartmaskenmaterial (156) entlang einer Linienlängenrichtung durchquerende Ausnehmung darin zu definieren, welche das Hartmaskenmaterial (156) in einer senkrecht zur Linienlängenrichtung verlaufenden Linienbreitenrichtung in zwei unabhängige, getrennte und nicht miteinander verbundene Gebiete trennt; Abscheiden eines Abstandhalters (164) innerhalb der Ausnehmung des strukturierten Hartmaskenmaterials (156), um zumindest zwei in Linienlängenrichtung physisch voneinander getrennte Merkmalgebiete (160) zu definieren, welche Teilgebiete der Ausnehmung sind, wobei die Ausnehmung zwischen den beiden Merkmalgebieten (160) ein Verbindungsmerkmal (162) aufweist, das eine geringere Breite als die Merkmalgebiete (160) aufweist, so dass das Abstandhaltermaterial (164), das innerhalb des Verbindungsmerkmals (162) abgeschieden ist, das Verbindungsmerkmal (162) überspannt, wobei die Merkmalgebiete (160) in Linienbreitenrichtung versetzt zueinander sind; und selektives Verarbeiten eines Teils des Werkstücks (150) anhand einer Struktur, die durch das strukturierte Hartmaskenmaterial (156) und das Abstandhaltermaterial in der Ausnehmung definiert wird.
  2. Verfahren nach Anspruch 1, wobei das Abscheiden des Abstandhaltermaterials innerhalb der Ausnehmung das Durchführen eines im Wesentlichen konformen Abscheidens des Abstandhalters (164) und das Durchführen eines Ätzprozesses, der so gestaltet ist, dass ein Teil des Abstandhalters (164) an einer Seitenfläche der Hartmaskenschicht (156) verbleibt, umfasst.
  3. Verfahren nach Anspruch 2, wobei das im Wesentlichen konforme Abscheiden einen Atomlagenabscheidungs-Prozess umfasst.
  4. Verfahren nach Anspruch 2, wobei das im Wesentlichen konforme Abscheiden umfasst, das Hartmaskenmaterial (156) einem flüssigen Reaktionsmittel auszusetzen, um den Abstandhalter (164) abzuscheiden.
  5. Verfahren nach einem der vorangehenden Ansprüche, wobei die selektive Verarbeitung eines Teils des Werkstücks (150) das Ätzen eines freiliegenden Teils der Materialschicht (154) gemäß der Struktur umfasst.
  6. Verfahren nach einem der vorangehenden Ansprüche, wobei die selektive Verarbeitung eines Teils des Werkstücks (150) ferner das Abscheiden eines leitenden Materials innerhalb der geätzten Materialschicht (154) umfasst.
  7. Verfahren zum Strukturieren eines Werkstücks (500), wobei das Verfahren umfasst: Empfangen eines Datensatzes, der mehrere Merkmale spezifiziert, die auf dem Werkstück (500) zu bilden sind; Durchführen einer ersten Strukturierung einer Hartmaske (508) des Werkstücks (500) anhand eines ersten Satzes von Merkmalen der mehreren Merkmale zum Bilden einer die Hartmaske (508) entlang einer Linienlängenrichtung durchquerenden Ausnehmung, wobei das Durchführen der ersten Strukturierung das Bilden eines Verbindungsmerkmals (608) zwischen einem ersten Merkmalgebiet des ersten Satzes von Merkmalen und einem zweiten Merkmalgebiet des ersten Satzes von Merkmalen umfasst, wobei das Verbindungsmerkmal und das erste und das zweite Merkmalgebiet Teilgebiete der Ausnehmung sind, wobei das Verbindungsmerkmal (608) eine geringere Breite als das erste Merkmalgebiet und das zweite Merkmalgebiet aufweist, und wobei die Merkmalgebiete in einer senkrecht zur Linienlängenrichtung verlaufenden Linienbreitenrichtung versetzt zueinander sind; danach Abscheiden eines ersten Abstandhaltermaterials (802) an einer Seitenwand der strukturierten Hartmaske (508); Durchführen einer zweiten Strukturierung der Hartmaske (508) anhand eines zweiten Satzes von Merkmalen der mehreren Merkmale; danach Abscheiden eines zweiten Abstandhaltermaterials (1102) an einer Seitenwand des ersten Abstandhaltermaterials (802), wobei das erste und das zweite Abstandhaltermaterial (802, 1102), das innerhalb des Verbindungsmerkmals (608) abgeschieden ist, das Verbindungsmerkmal (608) überspannt und die Merkmalgebiete in Linienlängenrichtung physisch trennt; Durchführen einer dritten Strukturierung des Werkstücks (500) anhand eines dritten Satzes von Merkmalen der mehreren Merkmale; und selektives Verarbeiten eines Teils des Werkstücks (500) unter Verwendung einer Struktur, die durch einen Rest von zumindest einem von der Hartmaske (508), dem ersten Abstandhaltermaterial (802) oder dem zweiten Abstandhaltermaterial (1102) definiert ist, wobei der Rest nach dem Durchführen der ersten Strukturierung, der zweiten Strukturierung und der dritten Strukturierung verbleibt.
  8. Verfahren nach Anspruch 7, wobei das Durchführen der dritten Strukturierung das selektive Entfernen eines freiliegenden Teils des ersten Abstandhaltermaterials (802) umfasst.
  9. Verfahren nach Anspruch 7 oder 8, wobei das Durchführen der dritten Strukturierung so gestaltet ist, dass ein signifikantes Ätzen eines freiliegenden Teils des zweiten Abstandhaltermaterials (1102) vermieden wird.
  10. Verfahren nach einem der Ansprüche 7 bis 9, wobei das Durchführen der ersten Strukturierung so gestaltet ist, dass das Abscheiden des ersten Abstandhaltermaterials (802) das erste Abstandhaltermaterial (802) innerhalb eines Gebiets abscheidet, das dem dritten Satz von Merkmalen entspricht.
  11. Verfahren nach einem der Ansprüche 7 bis 10, wobei das Durchführen der ersten Strukturierung so gestaltet ist, dass das Abscheiden des ersten Abstandhaltermaterials (802) das erste Abstandhaltermaterial (802) innerhalb jeder Bahn abscheidet, die dem dritten Satz von Merkmalen entspricht, die nicht neben einem Merkmal liegt, das zwei Merkmale des ersten Satzes von Merkmalen verbindet.
  12. Verfahren nach einem der Ansprüche 7 bis 11, wobei der dritte Satz von Merkmalen eine Bahn mit einem Abstand innerhalb des Satzes aufweist, der sich von einem Bahnabstand innerhalb des ersten Satzes von Merkmalen und einem Bahnabstand innerhalb des zweiten Satzes von Merkmalen unterscheidet.
  13. Verfahren nach Anspruch 12, wobei der Bahnabstand innerhalb des dritten Satzes von Merkmalen etwa halb so groß ist wie der Bahnabstand innerhalb des ersten Satzes und der Bahnabstand innerhalb des zweiten Satzes.
  14. Verfahren nach einem der Ansprüche 7 bis 13, wobei die Bearbeitung des Teils des Werkstücks (500) das Strukturieren einer anderen Hartmaskenschicht (506), die sich von der strukturierten Hartmaskenschicht (508) unterscheidet, anhand der Struktur, die durch den Rest definiert ist, umfasst.
  15. Verfahren zum Strukturieren einer Materialschicht (504), wobei das Verfahren umfasst: Aufnehmen eines Werkstücks (500), das die Materialschicht (504) und eine Hartmaskenschicht (508) umfasst; Strukturieren der Hartmaskenschicht (508) gemäß einem ersten Satz von Merkmalen, die auf dem Werkstück (500) zu bilden sind, zum Bilden einer die Hartmaskenschicht (508) entlang einer Linienlängenrichtung durchquerenden Ausnehmung, wobei das Strukturieren der Hartmaskenschicht (508) gemäß dem ersten Satz von Merkmalen das Bilden eines Verbindungsmerkmals (608) zwischen einem ersten Merkmal und einem zweiten Merkmal jedes des ersten Satzes von Merkmalen umfasst, wobei das Verbindungsmerkmal und das erste und das zweite Merkmal Teilgebiete der Ausnehmung sind, wobei das Verbindungsmerkmal eine Breite aufweist, die geringer ist als die Breite eines Gebiets, das mit dem ersten Merkmal verbunden ist, und geringer ist als die Breite eines Gebiets, das mit dem zweiten Merkmal verbunden ist, und wobei das erste und das zweite Merkmal in einer senkrecht zur Linienlängenrichtung verlaufenden Linienbreitenrichtung versetzt zueinander sind; Abscheiden eines ersten Abstandhaltermaterials (802) an einer Seitenfläche der strukturieren Hartmaskenschicht(508) ; danach Strukturieren der Hartmaskenschicht (508) gemäß einem zweiten Satz von Merkmalen, die auf dem Werkstück (500) zu bilden sind; Abscheiden eines zweiten Abstandhaltermaterials (1102) an zumindest einer Seitenfläche von dem ersten Abstandhaltermaterial, wobei das erste und das zweite Abstandhaltermaterial (802, 1102), das innerhalb des Verbindungsmerkmals (608) abgeschieden ist, das Verbindungsmerkmal (608) überspannt und das erste und das zweite Merkmal in Linienlängenrichtung physisch trennt; danach Strukturieren des ersten Abstandhaltermaterials (508) gemäß einem dritten Satz von Merkmalen, die auf dem Werkstück (500) zu bilden sind; und Übertragen einer Struktur, die durch zumindest eines von: der Hartmaskenschicht (508), der ersten Abstandhalterschicht (802) oder der zweiten Abstandhalterschicht (1102) definiert ist, auf die Materialschicht (504).
  16. Verfahren nach Anspruch 15, wobei das Strukturieren des ersten Abstandhaltermaterials (802) so gestaltet ist, dass ein signifikantes Ätzen eines freiliegenden Teils des zweiten Abstandhaltermaterials (1102) vermieden wird.
  17. Verfahren nach einem der Ansprüche 15 bis 16, wobei das Strukturieren der Hartmaskenschicht (508) gemäß dem ersten Satz von Merkmalen so gestaltet ist, dass das Abscheiden des ersten Abstandhaltermaterials (802) das erste Abstandhaltermaterial (802) innerhalb eines Gebiets abscheidet, das dem dritten Satz von Merkmalen entspricht.
DE102015116124.9A 2014-12-19 2015-09-24 Lithografie-Verfahren zur Trennung eines Elements durch Schrumpfung des Linienendes Active DE102015116124B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462094759P 2014-12-19 2014-12-19
US62/094,759 2014-12-19
US14/835,495 2015-08-25
US14/835,495 US9613850B2 (en) 2014-12-19 2015-08-25 Lithographic technique for feature cut by line-end shrink

Publications (2)

Publication Number Publication Date
DE102015116124A1 DE102015116124A1 (de) 2016-06-23
DE102015116124B4 true DE102015116124B4 (de) 2023-05-04

Family

ID=56097790

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015116124.9A Active DE102015116124B4 (de) 2014-12-19 2015-09-24 Lithografie-Verfahren zur Trennung eines Elements durch Schrumpfung des Linienendes

Country Status (5)

Country Link
US (4) US9613850B2 (de)
KR (1) KR101831035B1 (de)
CN (1) CN105719957B (de)
DE (1) DE102015116124B4 (de)
TW (1) TWI581333B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048292B2 (en) * 2012-10-25 2015-06-02 Micron Technology, Inc. Patterning methods and methods of forming electrically conductive lines
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
CN106373880B (zh) * 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
KR20200050576A (ko) * 2018-11-02 2020-05-12 에스케이하이닉스 주식회사 반도체 장치 및 반도체 장치의 패턴 형성방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120208361A1 (en) 2011-02-14 2012-08-16 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device
US8524605B1 (en) 2012-04-16 2013-09-03 Vigma Nanoelectronics Fabrication and mask design methods using spatial frequency sextupling technique
US20140127905A1 (en) 2012-11-07 2014-05-08 Winbond Electronics Corp. Method of forming pattern in substrate
US20140154887A1 (en) 2012-12-03 2014-06-05 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6034403A (en) 1998-06-25 2000-03-07 Acer Semiconductor Manufacturing, Inc. High density flat cell mask ROM
KR100791344B1 (ko) * 2006-08-29 2008-01-03 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8562843B2 (en) 2011-10-18 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit method with triple patterning
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120208361A1 (en) 2011-02-14 2012-08-16 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device
US8524605B1 (en) 2012-04-16 2013-09-03 Vigma Nanoelectronics Fabrication and mask design methods using spatial frequency sextupling technique
US20140127905A1 (en) 2012-11-07 2014-05-08 Winbond Electronics Corp. Method of forming pattern in substrate
US20140154887A1 (en) 2012-12-03 2014-06-05 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates

Also Published As

Publication number Publication date
US10388523B2 (en) 2019-08-20
US20170207081A1 (en) 2017-07-20
KR20160075366A (ko) 2016-06-29
CN105719957B (zh) 2019-04-02
US20190378712A1 (en) 2019-12-12
US9613850B2 (en) 2017-04-04
TW201633404A (zh) 2016-09-16
US10763113B2 (en) 2020-09-01
DE102015116124A1 (de) 2016-06-23
KR101831035B1 (ko) 2018-04-04
CN105719957A (zh) 2016-06-29
TWI581333B (zh) 2017-05-01
US20180277358A1 (en) 2018-09-27
US9984876B2 (en) 2018-05-29
US20160181110A1 (en) 2016-06-23

Similar Documents

Publication Publication Date Title
DE102015116124B4 (de) Lithografie-Verfahren zur Trennung eines Elements durch Schrumpfung des Linienendes
DE102014019374B4 (de) Zuletzt geschnittene selbstadjustierende Litho-Ätz Strukturierung
DE102015112271B4 (de) Verfahren und Struktur für eine Strukturierung mit Dorn und Abstandshalter
DE102014019674B4 (de) Selbstjustierte cut-first-strukturierung durch lithografie und ätzen
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102012205914B4 (de) Mandrelmodifzierung zum Erreichen einer einzelfinnen-finnenähnlichen Feldeffekttransistor-(FINFET-)Vorrichtung
DE112012005734B4 (de) Lithographieprozess und Lithographische Struktur mit doppelter Hartmaske
DE102017120849B4 (de) Layouts für integrierte Schaltkreise mit Leitungsendverlängerungen
DE102016123943A1 (de) Halbleiterverfahren und -vorrichtungen
DE102017117937A1 (de) Aufgeteilte Schienenstrukturen, die sich in angrenzenden Metallschichten befinden
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102011056669B4 (de) Verfahren zum Definieren einer Trennstruktur in einem Halbleiterbauelement
DE102015114918A1 (de) Umweltbewusste OPC
DE112010003269T5 (de) Kopplung zwischen Strukturen mit sublithographischem Rasterabstand und Strukturen mit lithographischem Rasterabstand
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102017125781A1 (de) Verfahren zum Entfernen einer Ätzmaske
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE102014103428A1 (de) Verfahren zum Bearbeiten eines Trägers
DE102019201059A1 (de) Verfahren, Vorrichtung und System zum Reduzieren einer Gate-Schnitt-Aushöhlung und/oder eines Gate-Höhenverlusts in Halbleitervorrichtungen
DE102014107531A1 (de) Verfahren zur Verarbeitung eines Trägers und Träger
DE102019206959A1 (de) Halbleiterbauelemente mit aktiven Gebieten in RAM-Bereichen mit durch Abscheidung bestimmtem Abstand
DE102017127390B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE112016007030T5 (de) Ausgekleidete Photobucket-Strucktur zur Bildung von BEOL-Zwischenverbindungen
DE102016114876B4 (de) Verfahren zur Herstellung einer Abstandshalterstruktur
DE102019204838A1 (de) Halbleiterbauelemente mit selbstausgerichteten aktiven Gebieten für eine ebene Transistorarchitektur

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final