DE102014019379B4 - Verpackungsverfahren für eine Halbleitervorrichtung, verpackte Halbleitervorrichtungen, und Designverfahren solcher - Google Patents

Verpackungsverfahren für eine Halbleitervorrichtung, verpackte Halbleitervorrichtungen, und Designverfahren solcher Download PDF

Info

Publication number
DE102014019379B4
DE102014019379B4 DE102014019379.9A DE102014019379A DE102014019379B4 DE 102014019379 B4 DE102014019379 B4 DE 102014019379B4 DE 102014019379 A DE102014019379 A DE 102014019379A DE 102014019379 B4 DE102014019379 B4 DE 102014019379B4
Authority
DE
Germany
Prior art keywords
die
dies
electrical connections
passages
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014019379.9A
Other languages
English (en)
Other versions
DE102014019379A1 (de
Inventor
Chuei-Tang Wang
Monsen Liu
Chen-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014019379A1 publication Critical patent/DE102014019379A1/de
Application granted granted Critical
Publication of DE102014019379B4 publication Critical patent/DE102014019379B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/82005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI] involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • H01L2225/06586Housing with external bump or bump-like connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Ein Verfahren zur Verpackung einer Vielzahl von Halbleiter-Dies, das Verfahren umfassend:Bereitstellen eines ersten Die (102) mit einer Input/Output Region (104) auf einer Seite des ersten Die (102);Koppeln einer Vielzahl von zweiten Dies (132a, 132b) auf der Seite des ersten Die (102) mit der Input/Output Region (104); undBilden von elektrischen Verbindungen (110,120,140') zwischen dem ersten Die (102) und jedem von der Vielzahl von zweiten Dies (132a, 132b), wobei ein Teil von jeder der elektrischen Verbindungen (110, 120, 140') einen Durchgang (120) umfasst, der vertikal zur Seite des ersten Die (102) mit der Input/Output Region (104) angeordnet ist und zwischen der Vielzahl von zweiten Dies (132a, 132b) angeordnet ist.

Description

  • HINTERGRUND
  • Halbleitervorrichtungen werden in verschiedenen elektronischen Anwendungen, wie beispielsweise Computern, Mobiltelefonen, Digitalkameras, und anderen elektronischen Ausrüstungen als Beispiele verwendet. Halbleitervorrichtungen werden typischerweise hergestellt durch sequenzielle Anordnungen isolierender oder dielektrischer Schichten, leitenden Schichten, und halbleitenden Schichten eines Materials über einem Halbleitersubstrat, und Strukturierung der verschiedenen Materialschichten unter Verwendung von Lithografie um Schaltkreiskomponenten, und Elemente darauf, zu bilden.
  • Dutzende oder hunderte von integrierten Schaltkreisen werden typischerweise auf einem einzigen Halbleiterwafer hergestellt. Die individuellen Dies werden durch sägen der integrierten Schaltung entlang einer Schreiblinie vereinzelt. Die individuellen Dies werden dann separat in Multichipmodule, oder andere Arten von Verpackungen, beispielsweise, verpackt.
  • Die Halbleiterindustrie verbessert fortlaufend die Integrationsdichte von verschiedenen elektronischen Komponenten (z. B., Transistoren, Dioden, Resitoren, Kapazitoren, usw.) durch fortlaufende Verringerung der minimalen Merkmalsgröße, was ermöglicht mehr Komponenten in ein gegebenes Gebiet zu integrieren. Diese kleineren elektronischen Komponenten benötigen auch kleinere Verpackungen die weniger Raum im Vergleich zu Verpackungen aus der Vergangenheit in einigen Anwendungen verbrauchen.
    US 2013/0105939 A1 beschreibt eine Halbleitervorrichtung, die einen ersten Interposer, einen Halbleiterchip und einen zweiten Interposer umfasst. Der erste Interposer ist über dem ersten Interposer angeordnet. Der zweite Interposer ist über dem ersten Interposer ohne den Halbleiterchip ausgebildet. Der erste Interposer umfasst eine Durchsteckelektrode, die elektrisch mit dem Halbleiterchip gekoppelt ist, und eine Durchsteckelektrode, die elektrisch mit dem zweiten Zwischenschaltregler gekoppelt ist.
    DE 102 09 922 A1 beschreibt ein Modul mit in vertikal versetzten Ebenen angeordneten elektronischen Komponenten., die über offene Bereiche von Kontaktspitzenpunkten oder Bondverbindungen innerhalb der jeweiligen Komponenten und durch Leiterstränge elektrisch miteinander verbunden sind. Die Leiterstränge sind die mit den offenen Bereichen verbunden und zwischen den Schichten der Komponenten angeordnet.
  • Figurenliste
    • 1 bis 7 illustrieren Querschnittsansichten eines Verfahrens zur Verpackung von Halbleitervorrichtungen in verschiedenen Stadien, in Übereinstimmung mit einigen Ausführungsformen.
    • 8 ist eine Aufsicht auf eine verpackte Halbleitervorrichtung gezeigt in 7.
    • 9 bis 15 illustrieren Querschnittsansichten von einem Verfahren zur Verpackung von Halbleitervorrichtungen in verschiedenen Stadien, in Übereinstimmung mit einigen Ausführungsformen.
    • 16 ist eine Aufsicht auf eine verpackte Halbleitervorrichtung die in 15 gezeigt ist.
    • 17 bis 23 illustrieren Querschnittsansichten von einem Verfahren zur Verpackung Halbleitervorrichtungen in verschiedenen Stadien in Übereinstimmung mit mehreren Ausführungsformen.
    • 24 ist eine Aufsicht auf eine verpackte Halbleitervorrichtung die in 23 gezeigt ist.
    • 25 ist ein Flowchart eines Verfahrens zur Verpackung von Halbleitervorrichtungen in Übereinstimmung mit einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Einige Ausführungsformen der vorliegenden Offenbarung beziehen sich auf Verfahren zur Verpackung von Halbleitervorrichtungen und Strukturen solcher. Einige Ausführungsformen beziehen sich auf Designverfahren für verpackte Halbleitervorrichtungen. Die verpackten Halbleitervorrichtungen und Designs beinhalten Durchgänge welche zwischen einer Vielzahl von Dies angeordnet sind, die auf einem weiteren Die gestapelt sind. Die Durchgänge können in einer Formmasse angeordnet sein, oder die Durchgänge können in einem Die oder einem Interposer angeordnet sein, was weiter hierin beschrieben werden wird.
  • 1 bis 7 illustrieren Querschnittsansichten eines Verfahrens zur Verpackung Halbleitervorrichtungen in verschiedenen Stadien in Übereinstimmung mit einigen Ausführungsformen. Mit Bezug zu 1 wird zunächst ein erster Die 102 gezeigt. Der erste Die 102 ist angepasst um eine erste Funktion in einigen Ausführungsformen durchzuführen. Zum Beispiel kann der erste Die 102 in einigen Ausführungsformen eine Speichervorrichtung umfassen. Alternativ kann der erste Die 102 angepasst sein um andere Arten von Funktionen durchzuführen. Der erste Die 102 wird in einigen Ausführungsformen beispielsweise unter Verwendung eines relativ fortgeschrittenen Waferknotens hergestellt.
  • Der erste Die 102 beinhaltet eine Input/Output Region 104, die benachbart zu einer Oberfläche auf einer Seite des ersten Die 102 angeordnet ist. Die Input/Output Region 104 kann eine Vielzahl von Verbindern beinhalten wie z. B. Kontaktflächen (nicht gezeigt) angeordnet auf einer Oberfläche dieser. Auf die Input/Output Region 104 wird hier auch, z. B. in einigen der Ansprüche, als ein Input/Output Interface verwiesen. Die Kontaktflächen können an interne Verkabelung des ersten Dies 102 gekoppelt werden, zum Beispiel an Durchgänge und/oder leitende Leitungen in Metallisierungsschichten oder Polysilikonschichten des ersten Die 102, als Beispiele, auch nicht gezeigt. Die Verbinder der Input/Output Region 104 sind primär in einer zentralen Region des ersten Die 102 in einigen Ausführungsformen angeordnet. Die Verbindungen der Input/Output Region 104 können über einer gesamten Oberfläche des ersten Dies 102 ausgebildet sein. Die Input/Output Region 104 umfasst ein weites Input/Output (I/O) Interface, beispielsweise, in einigen Ausführungsformen. Verbindungen des I/O Interface können einen Pitch von ungefähr 1µm bis 300 µm umfassen, und die I/O Anzahl kann eine Nummer von ungefähr 100 bis ungefähr 1000 oder größer umfassen, als Beispiele, in einigen Ausführungsformen. Alternativ kann das I/O Interface der Input/Output Region 104 andere Pitches und I/O Anzahlnummern umfassen.
  • Der erste Die 102 ist an einen Träger 100 gebunden, auch gezeigt in 1. Der erste Die 102 kann an den Träger 100 beispielsweise unter Verwendung eines Adhäsives oder Klebers gekoppelt werden. Der Träger 100 kann einen Wafer umfassen wie zum Beispiel einen Halbleiterwafer, oder der Träger 100 kann ein organisches Substrat oder eine andere Art von Substrat umfassen. Der Träger 100 umfasst eine Opferkomponente die entfernt wird nachdem der Die 102 mit den anderen Dies wie den zweiten Dies 132a und 132b gezeigt in 4 verpackt ist, was weiter hier beschrieben werden wird. Der Träger 100 kann später gereinigt werden und verwendet werden um beispielsweise andere Halbleitervorrichtungen zu verpacken. Alternativ kann der Träger 100 nach dem Verpackungsprozess verworfen werden.
  • In Übereinstimmung mit einigen Ausführungsformen umfasst der erste Die 102 einen Die der mit einer Vielzahl von zweiten Dies verpackt werden wird (nicht gezeigt in 1; siehe zweite Dies 132a und 132b gezeigt in 4).
  • In Übereinstimmung mit einigen Ausführungsformen wird eine Vielzahl der ersten Dies 102 über dem Träger 100 gebildet, nicht gezeigt. Eine Vielzahl der ersten Dies 102 kann simultan über dem Träger 100 verpackt werden und später vereinzelt werden um eine Vielzahl von verpackten Halbleitervorrichtungen zu bilden, beispielsweise.
  • Eine Formmasse 106a wird über dem ersten Die 102 wie in 2 gezeigt gebildet. Die Formmasse 106a umfasst ein Formmaterial und kann Epoxy, ein organisches Polymer, oder ein Polymer mit einem hinzugefügten Silikat basierten Füller, als Beispiele, umfassen. In einigen Ausführungsformen umfasst die Formmasse 106a eine flüssige Formmasse (LMC), die wenn sie angewendet wird eine gelartige Flüssigkeit ist. Alternativ kann die Formmasse 106a andere isolierende Materialien umfassen. Wenn die Formmasse 106a über einer obersten Oberfläche der Verbinder innerhalb der Input/Output Region 104 des ersten Die 102 hinausragt, wird die Formmasse 106a oberhalb der Input/Output Region 104 beispielsweise entfernt. Die Formmasse 106a wird um den ersten Die 102 in einigen Ausführungsformen gebildet.
  • Ein isolierendes Material 108 und Zwischenverbindungen 110 sind über dem ersten Die 102 und der Formmasse 106a angeordnet, auch in 2 gezeigt. Die Zwischenverbindungen 110 können eine Post-Passivierungszwischenverbindung (PPI) Struktur umfassen, und das isolierende Material 108 kann Polybenzoxazol (PBO) in einigen Ausführungsformen, zum Beispiel, umfassen. Alternativ können die Zwischenverbindungen 110 und das isolierende Material 108 andere Materialien umfassen.
  • Ein Die 122 ist über dem ersten Die 102 gekoppelt, wie in 3 gezeigt. Der Die 122 wird hier auch als dritter Die 122 bezeichnet, z. B., in einigen der Ansprüche. Der Die 122 umfasst einen Input/Output Die, zum Beispiel, in einigen Ausführungsformen. Der Die 122 beinhaltet eine Vielzahl von darin gebildeten Durchgängen 120. Ein isolierendes Material 124 kann auf einer Seite des Dies 122 angeordnet sein. Die Enden der Durchgänge 120 oder Kontaktflächen, die an die Durchgänge 120 gekoppelt sind, werden durch das isolierende Material 124 exponiert, um elektrische Verbindungen zum Die 122 herzustellen. Die entgegengesetzten Enden der Durchgänge 120 sind an die Zwischenverbindungen 110, die über dem ersten Die 102 angeordnet sind, gekoppelt. Die Durchgänge 120 werden im Die 122 in Übereinstimmung mit einigen Ausführungsformen vor-gebildet. Die Durchgänge 120 erstrecken sich von einer Seite des Dies 122 zu der anderen Seite, und stellen vertikale elektrische Verbindungen bereit, die an den ersten Die 102 gekoppelt sind. Die Durchgänge 120 sind mit einem Ende an die Zwischenverbindungen 110, angeordnet über und gekoppelt an den ersten Die 102, in einigen Ausführungsformen, verbunden.
  • Die Durchgänge 120 umfassen Kupfer oder eine Kupferlegierung in einigen Ausführungsformen. Die Durchgänge 120 können eine Lage, eine Barrierenschicht, eine Seed-Schicht, und ein leitfähiges Füllmaterial in einigen Ausführungsformen beinhalten. Alternativ können die Durchgänge 120 andere Materialien und Materialschichten umfassen. Die Durchgänge 120 werden auf einem relativ engen Pitch in einigen Ausführungsformen gebildet. Zum Beispiel können die Durchgänge 120 in einigen Ausführungsformen auf einer minimalen Merkmalsgröße oder kritischen Dimension (CD) des dritten Dies 122 gebildet werden. Die Durchgänge 120 können eine Weite von ungefähr 1 µm bis ungefähr 200 µm und einen Pitch von ungefähr 1 µm bis ungefähr 300 µm in einigen Ausführungsformen, als Beispiele, umfassen. Die Durchgänge 120 können die Form eines Kreises, eines Ovals, eines Quadrats, eines Rechtecks, oder anderen Formen in einer Aufsicht, zum Beispiel, nicht gezeigt, umfassen. Alternativ können die Durchgänge 120 andere Formen und Dimensionen umfassen.
  • Die Durchgänge 120 umfassen einen Teil elektrischer Verbindungen die zwischen dem ersten Die 102 und jedem von den zweiten Dies 132a und 132b gebildet werden. Die Durchgänge 120 umfassen vertikal elektrische Verbindungen.
  • Der Die 122 umfasst einen Input/Output Controller in einigen Ausführungsformen. In einigen Ausführungsformen umfasst der Die 122 einen niedrig-Ende Waferknoten, wie zum Beispiel einen Bulk-Planar Knoten, beispielsweise. Die Durchgänge 120 können Durch-Substratgänge (TSVs) umfassen die zum Beispiel innerhalb des Dies 122 angeordnet sind. Die Durchgänge 120 oder die an die Durchgänge 120 gekoppelten Kontaktflächen können an die Zwischenverbindungen 120 mittels eines Metalls-zu-Metall Verbindungsprozesses gekoppelt sein, wie zum Beispiel ein Kupfer-zu-Kupfer Verbindungsprozess, z. B. in Ausführungsformen in denen die Durchgänge 120, oder die an die Durchgänge 120 gekoppelten Kontaktflächen und die Zwischenverbindungen 120 Kupfer oder eine Kupferlegierung umfassen, als weiteres Bespiel. Die Durchgänge 120 umfassen vertikale elektrische Verbindungen angeordnet innerhalb des Die 122 die elektrisch an die Input/Output Region 104 des ersten Die 102 gekoppelt sind, in einigen Ausführungsformen, zum Beispiel.
  • In Ausführungsformen wo eine Vielzahl der ersten Dies 102 simultan über einen Träger 100 verpackt werden, wird ein Die 122 an jeden der ersten Dies 102 gekoppelt. In einigen Ausführungsformen können zwei oder mehr Dies 122 an den ersten Die 102 gekoppelt werden, nicht gezeigt.
  • Als nächstes wird eine Vielzahl von zweiten Dies 132a und 132b an den ersten Die 102 gekoppelt, wie in 4 gezeigt. Nur zwei zweite Dies 132a und 132b werden in den Zeichnungen gezeigt; jedoch können, alternativ, drei oder mehr zweite Dies 132a und 132b an jeden der ersten Dies 102 gekoppelt werden, nicht gezeigt. Der dritte Die 122 der die Durchgänge 120 beinhaltet ist zwischen zwei der Vielzahl von zweiten Dies 132a und 132b gekoppelt. Jeder der zweiten Dies 132a und 132b kann ein isolierendes Material 134a und 134b beinhalten, respektive, angeordnet in der Nähe einer Oberfläche der zweiten Dies 132a und 132b. Verbinder (auch nicht gezeigt) wie zum Beispiel Kontakte, Kontaktflächen, und/oder Verbindungsflächen können innerhalb der isolierenden Materialien 134a und 134b angeordnet sein um elektrische Verbindungen zu den zweiten Dies 132a und 132b herzustellen.
  • In einigen Ausführungsformen sind die zweiten Dies 132a und 132b angepasst um eine zweite Funktion durchzuführen, die zweite Funktion ist dabei unterschiedlich zu der erste Funktion des ersten Die 102. Alternativ können die zweiten Dies 132a und 132b eine ähnliche oder die gleiche Funktion wie der erste Die 102 in anderen Ausführungsformen umfassen. In einigen Ausführungsformen umfasst der erste Die 102 und die Vielzahl von zweiten Dies 132a und 132b Funktionen so dass sie als ein System auf einem Chip (SOC) funktionieren, wenn der erste Die und die Vielzahl von zweiten Dies 132a und 132b miteinander verpackt sind.
  • In einigen Ausführungsformen umfassen die zweiten Dies 132a und 132b Prozessoren. Die zweiten Dies 132a und 132b umfassen erweiterte Knoten integrierte Schaltung in einigen Ausführungsformen. In einigen Ausführungsformen können die zweiten Dies 132a und 132b multiple Gate Effekttransistoren (MUGFETs) umfassen, und können FinFETs umfassen, zum Beispiel. Alternativ können die zweiten Dies 132a und 132b andere Arten von Vorrichtungen umfassen.
  • In einigen Ausführungsformen wird ein einzelner zweiter Die der mit dem ersten Die 102 verpackt werden soll re-designed, so dass der einzelne zweite Die die Vielzahl von zweiten Dies 132a und 132b umfasst, so dass der die Durchgänge 120 beinhaltende dritte Die 122 zwischen zwei von der Vielzahl von zweiten Dies 132a und 132b platziert werden kann, wie weiter hier beschrieben werden wird.
  • Der dritte Die 122 umfassend die Durchgänge 120 ist zwischen zwei von der Vielzahl von zweiten Dies 132a und 132b in Übereinstimmung mit einigen Ausführungsformen gekoppelt. Teile des ersten Die 102, z. B., Zwischenverbindungen 110, sind elektrisch an die Durchgänge 120 im dritten Die 122 in Übereinstimmung mit einigen Ausführungsformen gekoppelt.
  • Ein Formmasse 106b ist zwischen den zweiten Dies 132a und 132b und dem dritten Die 122, wie auch in 4 gezeigt, angeordnet. Die Formmasse 106b wird um die zweiten Dies 132a und 132b und dem dritten Die 122, zum Beispiel, gebildet. Die Formmasse 106b umfasst ein ähnliches Material wie es für die Formmasse 106a beschrieben ist. Die Formassen 106a und 106b werden hier auch als erste oder zweite Formmassen 106a und 106b bezeichnet, abhängig von der Reihenfolge der Einführung, z. B., in einigen der Ansprüche. Die Formmasse 106b ist um die zweiten Dies 132a und 132b und den Teil der Vielzahl von elektrischen Verbindungen (z. B., die Durchgänge 120 innerhalb des dritten Die 122), die angeordnet sind zwischen den zweiten Dies 132a und 132b, in einigen Ausführungsformen, zum Beispiel, angeordnet.
  • Ein isolierendes Material 138 und Zwischenverbindungen 140 und 140' werden über der Vielzahl der zweiten Dies 132a und 132b und dem dritten Die 122, wie in 5 gezeigt, gebildet. Das isolierende Material 138 umfasst ein oder mehrere isolierende Materialschichten und/oder Passivierungsschichten. Die Zwischenverbindungen 140 und 140' umfassen leitfähige Leitungen und/oder leitfähige Durchgänge, die innerhalb des isolierenden Materials 138 gebildet werden. Das isolierende Material 138 und Zwischenverbindungen 140 und 140' umfassen eine PPI Struktur und/oder eine Verteilungsschicht (RDL) in einigen Ausführungsformen. Alternativ können das isolierende Material 138 und die Zwischenverbindungen 140 und 140' andere Arten von Verbindungsstrukturen umfassen.
  • Das isolierende Material 139 und Zwischenverbindungen 140 und 140' umfassen horizontal elektrische Verbindungen die in einigen Ausführungsformen über der Formmasse 106b, den zweiten Dies 132a und 132b, und dem dritten Die 122, in einigen Ausführungsformen gebildet werden. Die horizontalen elektrischen Verbindungen sind auf einer Seite der verpackten Halbleitervorrichtung 150 angeordnet (siehe 7) in der Nähe der zweiten Dies 132a und 132b in Übereinstimmung mit einigen Ausführungsformen. Teile der Zwischenverbindungen 140' (z. B. die horizontalen elektrischen Verbindungen) werden an die Durchgänge 120 des dritten Die 122 gekoppelt. In einigen Ausführungsformen beinhalten das isolierende Material 138 und Zwischenverbindungen 140 fan-out Regionen, so dass elektrische Verbindungen zu der verpackten Halbleitervorrichtung 150 auf einer breiteren Grundfläche hergestellt werden können als Verbindungen, Verbindungsflächen, oder Bindeflächen des ersten Die 102 und der zweiten Dies 132a und 132b, zum Beispiel.
  • Der Träger 100 wird entfernt, und eine Vielzahl von Leitern 146 werden dann an die Zwischenverbindungen 140 in einigen Ausführungsformen wie in 6 gezeigt gekoppelt. Die Leiter 146 werden gebildet über und gekoppelt an Teile der horizontalen elektrischen Verbindung, zum Beispiel. Die Leiter 146 können zum Beispiel ein eutektisches Material wie zum Beispiel ein Lötmittel das gekoppelt ist an eine Kontaktfläche oder Bindefläche der Zwischenverbindungen 140 umfassen. Die Leiter 146 können einen Lötbump oder einen Lötball beispielsweise umfassen. Die Verwendung des Wortes „Lötmittel“ beinhaltet sowohl Blei-basierte wie auch Blei-freie Lötmittel, wie beispielsweise Pb-Sn Zusammensetzungen für Blei-basierte Lötmittel; Blei-freie Lötmittel beinhaltend InSb; Zinn, Silber, und Kupfer („SAC“) Zusammensetzung; und andere eutektische Materialen die einen gemeinsamen Schmelzpunkt aufweisen und leitende Lötverbindungen in elektrischen Anwendung bilden. Zum Blei-freien Löten, können SAC Lötmittel von verschiedenen Zusammensetzungen verwendet werden, wie zum Beispiel SAC 105 (Sn 98,5%, Ag 1,0%, Cu 0,5%), SAC 305, und SAC 405, als Beispiele. Blei-freie Leiter 146 wie Lötbälle können ebenfalls aus SnCu-Verbindungen gebildet werden, ohne Verwendung von Silber (Ag). Alternativ können Blei-freie Lötverbinder Zinn und Silber beinhalten, Sn-Ag, ohne Verwendung von Kupfer. Die Leiter 146 können ein inmitten eines Arrays der als Grid gebildeten Leiter 146 sein, bezeichnet als ein „ball grid array“ oder „BGA“. Die Leiter 146 können alternativ in anderen Formen angeordnet werden. Die Leiter 146 können zum Beispiel auch nicht-sphärische leitfähige Verbinder umfassen. In einigen Ausführungsformen sind die Leiter 146 nicht eingeschlossen.
  • Die verpackte Halbleitervorrichtung 150 wird dann invertiert wie in 7 gezeigt ist. Eine Vielzahl der verpackten Halbleitervorrichtungen 150 kann vereinzelt werden in dem sie entlang von Schreiblinien unter Verwendung einer Die-Säge in einigen Ausführungsformen separiert werden. Die verpackte Halbleitervorrichtung 150 beinhaltet den ersten Die 102 der verpackt ist mit den zweiten Dies 132a und 132b. Die Durchgänge 120 im dritten Die 122 stellen vertikale elektrische Verbindungen für die verpackte Halbleitervorrichtung 150 bereit. Zwischenverbindungen 140 und 140' stellen horizontale elektrische Verbindungen für die verpackte Halbleitervorrichtung 150 bereit. Vorteilhafterweise ist, da die Durchgänge 120 zwischen den zweiten Dies 132a und 132b angeordnet sind, die Länge der Verkabelung und das Routing der Verkabelung (z. B. Zwischenverbindungen 140') minimiert, was die Leistung der verpackten Halbleitervorrichtung 150 verbessert.
  • 8 ist eine Aufsicht der verpackten Halbleitervorrichtung 150 die in 7 gezeigt ist. Die Durchgänge 120 des dritten Die 122 sind zwischen den zweiten Dies 132a und 132b angeordnet.
  • Die Zwischenverbindungen 110, 140 und 140' können ein Metall wie zum Beispiel Ti, Al, Ni, Nickelvanadium (NiV), Cu, oder Kombination oder verschiedene Schichten davon als Beispiele umfassen. Die Zwischenverbindungen 110, 140 und 140' können unter Verwendung von elektrolytischem Plattieren, stromlosen Plattieren, Sputtern, chemischen Dampfablagerungsverfahren, und/oder Fotolithografieverfahren, als Beispiele, gebildet werden. Die Zwischenverbindungen 110, 140 und 140' können eine einfache Schicht oder mehrfache Schichten umfassen mittels einer Adhäsionsschicht aus Ti, TiW, Cr, oder anderen Materialen, als Beispiel. Die isolierenden Materialen 108, 124, und 138 können ein Polymer umfassen, wie zum Beispiel ein Epoxy, Polyimid, Benzocyclobuten (BCB), PBO, und ähnliche, obgleich andere relativ weiche, oft organische, dielektrische Materialen auch verwendet werden können. Spinbeschichtung oder andere üblicherweise verwendete Anordnungsverfahren können zum Beispiel verwendet werden um die isolierenden Materialien 108, 124, und 138 aufzutragen. Alternativ können die Zwischenverbindungen 110, 140 und 140' und die isolierenden Materialen 108, 124, 138 andere Materialien umfassen und können unter Verwendung anderer Verfahren gebildet werden.
  • 9 bis 15 illustrieren Querschnittsansichten eines Verfahrens zur Verpackung von Halbleitervorrichtungen in verschiedenen Stadien in Übereinstimmung mit einigen Ausführungsformen. Ein erste Die 102 einschließlich eine Input/Output Region 104 ist an einen Träger 100 gekoppelt, wie in 9 gezeigt. Eine Formmasse 106a wird um den ersten Die 102 ausgebildet, und ein isolierendes Material 108 und Zwischenverbindungen 110 werden über dem ersten Die 102 und der Formmasse 106a ausgebildet, wie in 10 gezeigt.
  • Ein Interposer 152 wird über dem ersten Die 102 gekoppelt, wie in 11 gezeigt. Der Interposer 152 umfasst einen passiven Interposer in einigen Ausführungsformen, zum Beispiel. Der Interposer 152 beinhaltet eine Vielzahl darin gebildeter Durchgänge 120. Enden der Durchgänge 120, oder Kontakte die an die Durchgänge 120 gekoppelt sind, werden auf jeder Seite des Interposers 152 zur Herstellung elektrischer Verbindungen zum Interposer 152 exponiert. Die Durchgänge 120 werden im Interposer 152 vorgebildet, gemäß einigen Ausführungsformen. Die Durchgänge 120 erstrecken sich von einer Seite des Interposers 152, und stellen vertikale elektrische Verbindungen bereit, die gekoppelt sind an den ersten Die 102. Die Durchgänge 120 sind an einem Ende mit den Zwischenverbindungen 110, die angeordnet sind über und gekoppelt sind an den ersten Die 102, in einigen Ausführungsformen verbunden.
  • Als nächstes werden eine Vielzahl von zweiten Dies 132a und 132b an den ersten Die 102 gekoppelt wie in 12 gezeigt ist. Der Interposer 152 der die Durchgänge 120 beinhaltet ist zwischen zwei von der Vielzahl von zweiten Dies 132a und 132b gekoppelt. Eine Formmasse 106b ist zwischen den zweiten Dies 132a und 132b und dem Interposer 152 angeordnet. Ein isolierendes Material 138 und Zwischenverbindungen 140 und 140' werden über der Vielzahl von zweiten Dies 132a und 132b und dem Interposer 152, wie in 13 gezeigt, gebildet. Eine Vielzahl von Leitern 146 werden dann in einigen Ausführungsformen an Teile der Zwischenverbindungen 140 gekoppelt, wie in 14 gezeigt wird.
  • Die verpackte Halbleitervorrichtung 150' wird dann invertiert, wie in 15 gezeigt wird. Eine Vielzahl der verpackten Halbleitervorrichtungen 150' können durch Separierung davon entlang von Schreiblinien unter Verwendung einer Die-Säge vereinzelt werden, in einigen Ausführungsformen. Die verpackte Halbleitervorrichtung 150' beinhaltet den ersten Die 102 der verpackt ist mit zweiten Dies 132a und 132b. Die Durchgänge 120 im Interposer 152 stellen vertikale elektrische Verbindungen für die verpackte Halbleitervorrichtung 150' bereit. Die Zwischenverbindungen 140 und 140' stellen horizontale elektrische Verbindungen für die verpackte Halbleitervorrichtung 150' bereit. Vorteilhafterweise, da die Durchgänge 120 innerhalb des Interposers 152 zwischen den zweiten Dies 132a und 132b angeordnet sind, ist die Länge und das Routing der Verkabelung (z. B. Zwischenverbindungen 140') minimiert, was die Leistung der verpackten Halbleitervorrichtung 150' verbessert. 16 ist eine Aufsicht der in 15 gezeigten verpackten Halbleitervorrichtung 150'.
  • 17 bis 23 illustrieren Querschnittsansichten eines Verfahren zur Verpackung Halbleitervorrichtungen in verschiedenen Stadien in Übereinstimmung mit einigen Ausführungsformen. Anstatt innerhalb eines dritten Die 122 oder eines Interposers 152 angeordnet zu sein, wie es in den vorhergehenden Ausführungsformen beschrieben ist, werden die Durchgänge 120 über einen Träger 100a gebildet und später mit einer Formmasse 106b verkapselt. Zum Beispiel wird in 17 ein erster Träger 100a bereitgestellt und eine Seed Schicht 154 wird über dem Träger 100a gebildet. Die Seed Schicht 154 kann Kupfer oder eine Kupferlegierung umfassen die gebildet wird mittels eines Sputterverfahrens, physikalische Dampfablagerung (PVD), Atomschichtablagerungen (ALD), oder anderen Verfahren. Ein Photoresist (nicht gezeigt) wird über der Seed Schicht 154 gebildet, und der Photoresist wird mit einer gewünschten Struktur für die Durchgänge 120 strukturiert. Der Photoresist kann strukturiert werden mittels Lithographie, durch Exponieren des Photoresist mit Licht oder Energie reflektiert von, oder übermittelt durch, eine Lithographiemaske (nicht gezeigt) aufweisend eine gewünschte Struktur darauf. Der Photoresist wird dann entwickelt, und dann werden exponierte Teile (oder nicht exponierte Teile, abhängig davon ob der Photoresist einen positiven oder negativen Photoresist umfasst) des Photoresist werden dann hinweg verascht oder geätzt, was Strukturen im Photoresist zurücklässt. Der Photoresist wird dann als eine Maske während einer elektro-chemischen Plattierung (ECP) oder eines Elektro-Plattierungsverfahrens verwendet, das verwendet wird um die Durchgänge 120 durch den strukturierten Photoresist über der Seed Schicht 154 zu bilden. Der Photoresist wird dann entfernt was die Durchgänge 120 gebildet über der Seed Schicht 154 wie in 17 gezeigt zurücklässt.
  • Die Vielzahl von zweiten Dies 132a und 132b werden dann an den ersten Träger 100a über der Seed Schicht 154 wie in 18 gezeigt gekoppelt. Die Vielzahl von zweiten Dies 132a und 132b werden an den Träger 100a mit einem Adhäsiv oder Kleber, zum Beispiel, gekoppelt. Eine Formmasse 106b wird dann zwischen den zweiten Dies 132a und 132b, zwischen den Durchgängen 120, und zwischen den zweiten Dies 132a und 132b und den Durchgängen 120, wie auch in 18 gezeigt, gebildet. Die Durchgänge 120 sind daher in der Formmasse 106b angeordnet und zwischen der Vielzahl von zweiten Dies 132a und 132b angeordnet. Das isolierende Material 138 und die Zwischenverbindungen 140 und 140' werden über den zweiten Dies 132a und 132b und den in der Formmasse 106b angeordneten Durchgängen 120, gebildet, wie in 19 gezeigt.
  • Der erste Träger 100a wird dann entfernt, wie in 20 gezeigt, und die Halbleitervorrichtung wird invertiert. Ein zweiter Träger 100b wird dann an das isolierende Material 138 und Zwischenverbindungen 140 (z. B., die horizontale elektrische Verbindungen umfassen) gekoppelt, wie auch in 20 gezeigt ist. Die Seed Schicht 154 wird dann entfernt, und das isolierende Material 108 und die Zwischenverbindungen 110 werden über den zweiten Dies 132a und 132b, den Durchgängen 120, und der Formmasse 106b gebildet, wie auch in 20 gezeigt ist. Die Zwischenverbindungen 110 sind elektrisch an die Durchgänge 120 gekoppelt, zum Beispiel.
  • Der erste Die 102 wird dann an die zweiten Dies 132a und 132b und die Durchgänge 120 gekoppelt wie in 21 gezeigt ist. Teile des ersten Die 102 sind elektrisch an die Durchgänge 120 gekoppelt. Die Input/Output Region 104 des ersten Die 102 ist elektrisch durch Zwischenverbindungen 110 an die Durchgänge 120, zum Beispiel, gekoppelt.
  • Eine Formmasse 106 wird über und um den ersten Die 102 gebildet, und der zweite Träger 100b wird entfernt, wie in 22 gezeigt ist. In einigen Ausführungsformen werden Verbinder 146 auf Teilen der Zwischenverbindungen 140 gebildet, wie in 23 gezeigt ist. Die Verbinder 146 sind an Teile der durch die Zwischenverbindung 140 gebildeten horizontalen elektrischen Verbindungen gekoppelt, zum Beispiel in einigen Ausführungsformen. In einigen Ausführungsformen kann eine Vielzahl der verpackten Halbleitervorrichtungen 150" durch Separierung dieser entlang einer Schreiblinie unter Verwendung einer Die-Säge vereinzelt werden. 24 ist eine Aufsicht der in 23 gezeigten verpackten Halbleitervorrichtungen 150".
  • 25 ist ein Flow Chart 160 eines Verfahrens zur Prozessierung einer Halbleitervorrichtung in Übereinstimmung mit einigen Ausführungsformen. In Schritt 162 wird ein erster Die 102 bereitgestellt (siehe auch 1). In Schritt 164 werden zweite Dies 132a und 132b an den ersten Die 102 gekoppelt (4). In Schritt 166 wird eine elektrische Verbindung zwischen dem ersten Die 102 und jedem von den zweiten Dies 132a und 132b gebildet, worin ein Teil von jeder der elektrischen Verbindungen zwischen den zweiten Dies 132a und 132b angeordnet ist (4).
  • Einige Ausführungsformen der vorliegenden Offenbarung umfassen Designverfahren zur Verpackung von Halbleitervorrichtungen 150, 150', oder 150". Zum Beispiel wird ein erster Die Design bereitgestellt, und ein zweiter Die Design wird bereitgestellt. Ein zweiter Die des zweiter Die Designs wird angepasst um auf den ersten Die 102 des erster Die Designs gestapelt zur werden. Das zweiter Die Design wird in ein Design für eine Vielzahl von zweiten Dies 132a und 132b partitioniert. Elektrische Verbindungen für die verpackte Halbleitervorrichtungen 150, 150' oder 150" werden dann designt. Die elektrischen Verbindungen umfassen die Durchgänge 120 und die Zwischenverbindungen 140 und 140' in einigen Ausführungsformen. Designen der elektrischen Verbindungen umfasst Designen horizontaler elektrischer Verbindungen umfassend die Zwischenverbindungen 140 und 140', die koppelbar sind an die Vielzahl von zweiten Dies 132a und 132b. Designen der elektrischen Verbindungen umfasst weiter Designen vertikaler elektrischer Verbindungen umfassend die Durchgänge 120, die koppelbar sind zwischen den horizontalen Verbindungen umfassend die Zwischenverbindungen 140 und 140' und den ersten Die 102. Die vertikalen Verbindungen umfassend die Durchgänge 120 sind verfügbar zwischen zwei von der Vielzahl von zweiten Dies 132a und 132b. Designen der vertikalen elektrischen Verbindungen umfasst Designen einer Vielzahl von Durchgängen 120 angeordnet in der Formmasse 106b wie in 23 gezeigt ist, Designen eines dritten Dies 122 umfassend eine Vielzahl von Durchgängen 120 wie in 7 gezeigt ist, oder Designen eines Interposers 152 umfassend eine Vielzahl von Durchgängen 120 wie in 15 gezeigt ist.
  • Vorteile und Nutzen von einigen Ausführungsformen der vorliegenden Offenbarung beinhalten Bereitstellen neuer verpackter Halbleitervorrichtungen 150, 150' und 150", welche die Durchgänge 120, angeordnet zwischen den zweiten Dies 132a und 132b, die gestapelt sind innerhalb eines Pakets mit dem ersten Die 102, beinhalten. Ein zweites Die Design wird partitioniert, und eine Vielzahl von zweiten Dies 132a und 132b, die angepasst sind um die originale zweite Die Design Funktion durchzuführen, werden hergestellt und mit dem ersten Die 102 verpackt. Niedrigkosten Durchgänge 120 werden dann zwischen die Vielzahl von zweiten Dies 132a und 132b eingefügt, welche elektrische Verbindungen mit kurzen Abständen und hohen Input/Output Verbindungen bereitstellen. Die Durchgänge 120 können im dritten Die 122 oder Interposer 152 gebildete Durch-Substratgänge, oder Durch-Formmasse-106b-Gänge umfassen, in Übereinstimmung mit einigen Ausführungsformen. Niedrigkosten dritte Dies 122 und Niedrigkosten Interposer 152 können verwendet werden um die Durchgänge 120 bereitzustellen.
  • In Ausführungsformen in denen die Durchgänge 120 in einem dritten Die 122 oder einem Interposer 152 vorgebildet werden, können die Durchgänge 120 vorteilhafterweise vor dem Zusammenbau vorgetestet werden (z. B., vor dem Verpackungsverfahren), was in einer erhöhten Fertigungsausbeute der verpackten Halbleitervorrichtungen 150 und 150' resultiert. Die Durchgänge 120 stellen eine kürzerer Abstand elektrische Verbindung bereit als horizontale elektrische Verbindungen in einigen Ausführungsformen, bereitgestellt einen kürzesten Abstand für elektrische Verbindungen in den verpackten Halbleitervorrichtungen 150, 150' und 150".
  • Verpackungen für Halbleitervorrichtungen werden bereitgestellt, die verringerte Kosten und verbesserte elektrische Leistung aufgrund verkürzter elektrischer Verbindungen bereitgestellt durch die Durchgänge 120 angeordnet zwischen den zweiten Dies 132a und 132b aufweisen. Kosten zur Fertigung des ersten Die 102 und/oder der zweiten Dies 132a und 132b werden in einigen Ausführungsformen durch Vermeidung der Notwendigkeit der Bildung von Durch-Substratgängen im ersten Die 102 und/oder den zweiten Dies 132a und 132b verringert. Die Verwendung von Diefläche der ersten Dies 102 und/oder der zweiten Dies 132a und 132b wird verringert durch Vermeidung der Notwendigkeit der Bildung von Durch-Substratgängen in den ersten Dies 102 und/oder den zweiten Dies 132a und 132b in einigen Ausführungsformen, zum Beispiel. Die Platzierung der Durchgänge 120 in zentralen Bereichen der verpackten Halbleitervorrichtungen 150, 150' und 150" ergibt eine reduzierte Gesamtbelastung auf Verpackungen. Weiterhin sind die hier beschriebenen neuen Verpackungssysteme und Arbeitsabläufe einfach in Halbleitervorrichtungsverpackungssysteme und Arbeitsabläufe implementierbar.
  • In einigen Ausführungsformen beinhaltet ein Verfahren zur Verpackung einer Vielzahl von Halbleitervorrichtungen Breitstellen eines ersten Die, und Koppeln einer Vielzahl von zweiten Dies an den ersten Die. Eine elektrische Verbindung wird zwischen dem ersten Die und jedem von der Vielzahl der zweiten Dies gebildet. Ein Teil von jeder der elektrischen Verbindungen ist zwischen der Vielzahl von zweiten Dies angeordnet.
  • In einigen Ausführungsformen beinhaltet eine verpackte Halbleitervorrichtung einen ersten Die und eine Vielzahl von zweiten Dies die über dem ersten Die angeordnet sind. Eine Vielzahl von elektrischen Verbindungen ist zwischen dem ersten Die und jedem von der Vielzahl von zweiten Dies angeordnet. Ein Teil von jeder der Vielzahl von elektrischen Verbindungen ist zwischen der Vielzahl von zweiten Dies angeordnet.
  • In einigen Ausführungsformen beinhaltet ein Designverfahren für eine verpackte Halbleitervorrichtung Bereitstellen eines ersten Die Designs und Bereitstellen eines zweiten Die Designs. Ein zweiter Die des zweiter Die Designs ist angepasst um auf den ersten Die des erster Die Designs gestapelt zu werden. Das zweite Die Design wird in ein Design für eine Vielzahl von zweiten Dies partitioniert. Das Verfahren beinhaltet Designen elektrischer Verbindungen für die verpackte Halbleitervorrichtung. Designen der elektrischen Verbindungen umfasst Designen horizontal elektrischer Verbindungen, die an die Vielzahl von zweiten Dies koppelbar sind, und Designen vertikaler elektrischer Verbindungen, die zwischen den horizontalen Verbindungen und dem ersten Die koppelbar sind. Die vertikalen Verbindungen sind zwischen zwei von der Vielzahl von zweiten Dies angeordnet, in einigen Ausführungsformen.

Claims (18)

  1. Ein Verfahren zur Verpackung einer Vielzahl von Halbleiter-Dies, das Verfahren umfassend: Bereitstellen eines ersten Die (102) mit einer Input/Output Region (104) auf einer Seite des ersten Die (102); Koppeln einer Vielzahl von zweiten Dies (132a, 132b) auf der Seite des ersten Die (102) mit der Input/Output Region (104); und Bilden von elektrischen Verbindungen (110,120,140') zwischen dem ersten Die (102) und jedem von der Vielzahl von zweiten Dies (132a, 132b), wobei ein Teil von jeder der elektrischen Verbindungen (110, 120, 140') einen Durchgang (120) umfasst, der vertikal zur Seite des ersten Die (102) mit der Input/Output Region (104) angeordnet ist und zwischen der Vielzahl von zweiten Dies (132a, 132b) angeordnet ist.
  2. Das Verfahren gemäß Anspruch 1, wobei das Bilden der Durchgänge (120) das Bilden der Durchgänge (120) in einem dritten Die (122) oder einem Interposer (152) umfasst, und wobei das Bilden der Teile von jeder der elektrischen Verbindungen (110, 120, 140') Koppeln des dritten Die (122) oder des Interposers (152) zwischen zwei von der Vielzahl von zweiten Dies (132a, 132b) umfasst.
  3. Das Verfahren nach Anspruch 2, wobei das Bilden der Durchgänge (120) das Bilden vertikaler elektrischer Verbindungen (110, 120) umfasst, und wobei das Verfahren weiter umfasst: Koppeln des ersten Die (102) an einen Träger (100); Bilden einer ersten Formmasse (106a) um den ersten Die (102); Koppeln der Vielzahl von zweiten Dies (132a, 132b) und des dritten Die (122) oder des Interposers (152) an den ersten Die (102), wobei Teile des ersten Dies (102) elektrisch mit den Durchgängen (120) im dritten Die (122) oder dem Interposer (152) gekoppelt werden; Bilden einer zweiten Formmasse (106b) um die Vielzahl von zweiten Dies (132a, 132b) und den dritten Die (122) oder den Interposer (152); Bilden horizontaler elektrischer Verbindungen (140,140') über der zweiten Formmasse (106b), der Vielzahl von zweiten Dies (132a, 132b) und dem dritten Die (122) oder dem Interposer (152), wobei Teile der horizontalen elektrischen Verbindungen (140, 140') elektrisch an die Durchgänge (120) im dritten Die (122) oder dem Interposer (152) gekoppelt werden; nachfolgendes Entfernen des Trägers (100); und Koppeln einer Vielzahl von Leitern (146) an die horizontalen elektrischen Verbindungen (140, 140').
  4. Das Verfahren gemäß Anspruch 1, wobei Bilden der Durchgänge (120) das Anordnen der Durchgänge (120) in einer Formmasse (106b) umfasst, angeordnet zwischen der Vielzahl von zweiten Dies (132a, 132b).
  5. Das Verfahren gemäß Anspruch 4, wobei Bilden der Durchgänge (120) Plattieren der Durchgänge (120) über einen ersten Träger (100a) umfasst, wobei Bilden der Durchgänge (120) Bilden vertikaler elektrischer Verbindungen (120) umfasst, und wobei das Verfahren weiter umfasst: Koppeln der Vielzahl von zweiten Dies (132a, 132b) über dem ersten Träger (100a); Bilden der Formmasse (106b) zwischen der Vielzahl von zweiten Dies (132a, 132b) und den Durchgängen (120); Bilden horizontaler elektrischer Verbindungen (140, 140') über der Formmasse (106b), der Vielzahl von zweiten Dies (132a, 132b), und den Durchgängen (120), wobei Teile der horizontalen elektrischen Verbindungen (140, 140') elektrisch an die Durchgänge (120) gekoppelt sind; nachfolgendes Entfernen des ersten Trägers (100a); Koppeln eines zweiten Trägers (100b) an die horizontalen elektrischen Verbindungen (140, 140'); und Koppeln des ersten Die (102) an die Vielzahl von zweiten Dies (132a, 132b) und die Durchgänge (120), wobei Teile des ersten Die (102) elektrisch an die Durchgänge (120) gekoppelt werden.
  6. Das Verfahren nach Anspruch 5, wobei Bilden der Formmasse (106b) das Bilden einer ersten Formmasse (106b) umfasst, und wobei das Verfahren weiter umfasst: Bilden einer zweiten Formmasse (106a) um den ersten Die (102); nachfolgendes Entfernen des zweiten Trägers (100b); und Koppeln einer Vielzahl von Leitern (146) an die horizontalen elektrischen Verbindungen (140).
  7. Eine verpackte Halbleitervorrichtung (150, 150', 150"), umfassend: Einen ersten Die (102) mit einer Input/Output Region (104) auf einer Seite des ersten Die (102); Eine Vielzahl von zweiten Dies (132a, 132b) angeordnet über der Seite des ersten Die (102) mit der Input/Output Region (104); und Eine Vielzahl von elektrischen Verbindungen (110, 120, 140') angeordnet zwischen dem ersten Die (102) und jedem von der Vielzahl zweiter Dies (132a, 132b), wobei ein Teil jeder von der Vielzahl von elektrischen Verbindungen (110, 120, 140') einen Durchgang (120) umfasst, der vertikal zur Seite des ersten Die (102) mit der Input/Output Region (104) angeordnet ist und zwischen der Vielzahl von zweiten Dies (132a, 132b) angeordnet ist.
  8. Die verpackte Halbleitervorrichtung gemäß Anspruch 7, wobei der erste Die (102) ein Input/Output Interface umfasst, und wobei die vertikalen elektrischen Verbindungen (110, 120) elektrisch an das Input/Output Interface des ersten Dies (102) gekoppelt sind.
  9. Die verpackte Halbleitervorrichtung gemäß Anspruch 7, wobei die vertikalen elektrischen Verbindungen (110, 120) Durchgänge (110) angeordnet in einer Formmasse (106b) umfassen.
  10. Die verpackte Halbleitervorrichtung gemäß Anspruch 7, weiter umfassend einen dritten Die (122) oder einen Interposer (152) angeordnet zwischen zwei von der Vielzahl zweiter Dies (132a, 132b), wobei die vertikalen elektrischen Verbindungen (110, 120) Durchgänge (120) umfassen, die im dritten Die (122) oder dem Interposer (152) angeordnet sind.
  11. Die verpackte Halbleitervorrichtung gemäß Anspruch 7, wobei die Vielzahl von elektrischen Verbindungen (110, 120, 140') weiter horizontale elektrische Verbindungen (140, 140') umfassen, und wobei Teile der horizontalen elektrischen Verbindungen (140, 140') elektrisch an die vertikalen elektrischen Verbindungen (110, 120) gekoppelt sind.
  12. Die verpackte Halbleitervorrichtung nach Anspruch 11, wobei die horizontalen elektrischen Verbindungen (140, 140') auf einer Seite der verpackten Halbleitervorrichtung (150, 150', 150") benachbart zur Vielzahl von zweiten Dies (132a, 132b) angeordnet sind.
  13. Die verpackte Halbleitervorrichtung nach Anspruch 11, wobei die horizontalen elektrischen Verbindungen (140, 140') eine Verteilungsschicht oder eine Post-Passivierungszwischenverbindungs-Struktur umfassen.
  14. Die verpackte Halbleitervorrichtung gemäß Anspruch 11, weiter umfassend eine Vielzahl von Leitern (146) gekoppelt an die horizontalen elektrischen Verbindungen (140, 140').
  15. Die verpackte Halbleitervorrichtung gemäß Anspruch 7, weiter umfassend eine erste Formmasse (106a) angeordnet um den ersten Die (102), und eine zweite Formmasse (106b) angeordnet um die Vielzahl von zweiten Dies (132a, 132b), und der Teil von der Vielzahl von elektrischen Verbindungen (110, 120, 140') angeordnet zwischen der Vielzahl von zweiten Dies (132a, 132b).
  16. Die verpackte Halbleitervorrichtung nach Anspruch 7, wobei der erste Die (102) angepasst ist um eine erste Funktion durchzuführen, wobei die Vielzahl von zweiten Dies (132a, 132b) angepasst sind um eine zweite Funktion durchzuführen, die zweite Funktion unterschiedlich ist zu der erste Funktion, und wobei die verpackte Halbleitervorrichtung (150, 150', 150") ein System auf einem Chip umfasst.
  17. Ein Designverfahren für eine verpackte Halbleitervorrichtung (150, 150', 150"), umfassend: Bereitstellen eines ersten Die Designs für einen ersten Die (102) mit einer Input/Output Region (104) auf einer Seite; Bereitstellen eines zweiten Die Designs für einen zweiten Die (132a, 132b), wobei eine Vielzahl zweiter Dies (132a, 132b) des zweiten Die Designs angepasst ist um auf der Seite des ersten Die (102) mit der Input/Output Region (104) des erstenr Die Designs gekoppelt zu werden; Designen elektrischer Verbindungen (110, 120, 140') zur elektrischen Verbindung zwischen dem ersten Die (102) und jedem von der Vielzahl zweiter Dies (132a, 132b) für die verpackte Halbleitervorrichtung (150, 150', 150"), wobei Designen der elektrischen Verbindungen (110, 120, 140') umfasst: - Designen horizontaler elektrischer Verbindungen (140, 140') gekoppelt an die Vielzahl von zweiten Dies (132a, 132b); und - Designen vertikaler elektrischer Verbindungen (110, 120) gekoppelt zwischen den horizontalen Verbindungen (140, 140') und dem ersten Die (102), wobei jede der vertikalen elektrischen Verbindungen (110, 120) zwischen der Vielzahl von zweiten Dies (132a, 132b) angeordnet ist.
  18. Das Designverfahren nach Anspruch 17, wobei Designen der vertikalen elektrischen Verbindungen (110, 120) Designen einer Vielzahl von Durchgängen (120) oder Designen eines dritten Dies (122) oder eines Interposers (152), umfassend eine Vielzahl von Durchgängen (120), umfasst.
DE102014019379.9A 2014-01-16 2014-12-22 Verpackungsverfahren für eine Halbleitervorrichtung, verpackte Halbleitervorrichtungen, und Designverfahren solcher Active DE102014019379B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/157,364 2014-01-16
US14/157,364 US9396300B2 (en) 2014-01-16 2014-01-16 Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof

Publications (2)

Publication Number Publication Date
DE102014019379A1 DE102014019379A1 (de) 2015-07-16
DE102014019379B4 true DE102014019379B4 (de) 2020-03-05

Family

ID=53484737

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014019379.9A Active DE102014019379B4 (de) 2014-01-16 2014-12-22 Verpackungsverfahren für eine Halbleitervorrichtung, verpackte Halbleitervorrichtungen, und Designverfahren solcher

Country Status (4)

Country Link
US (3) US9396300B2 (de)
KR (1) KR101611684B1 (de)
DE (1) DE102014019379B4 (de)
TW (1) TWI548007B (de)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9839133B2 (en) 2014-06-04 2017-12-05 Apple Inc. Low-area overhead connectivity solutions to SIP module
US9305877B1 (en) 2014-10-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package with through substrate vias
US10624214B2 (en) 2015-02-11 2020-04-14 Apple Inc. Low-profile space-efficient shielding for SIP module
US10292258B2 (en) 2015-03-26 2019-05-14 Apple Inc. Vertical shielding and interconnect for SIP modules
US9613942B2 (en) * 2015-06-08 2017-04-04 Qualcomm Incorporated Interposer for a package-on-package structure
US9847230B2 (en) 2015-06-09 2017-12-19 The Charles Stark Draper Laboratory, Inc. Method and apparatus for using universal cavity wafer in wafer level packaging
US9917072B2 (en) * 2015-09-21 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated stacked package with a fan-out redistribution layer (RDL) and a same encapsulating process
US10049953B2 (en) 2015-09-21 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated fan-out package having fan-out redistribution layer (RDL) to accommodate electrical connectors
US9984998B2 (en) 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
US10068855B2 (en) * 2016-09-12 2018-09-04 Samsung Electro-Mechanics Co., Ltd. Semiconductor package, method of manufacturing the same, and electronic device module
US9978735B2 (en) * 2016-09-28 2018-05-22 Altera Corporation Interconnection of an embedded die
KR101983186B1 (ko) * 2016-12-16 2019-05-28 삼성전기주식회사 팬-아웃 반도체 패키지
US11222847B2 (en) 2016-12-28 2022-01-11 Intel Corporation Enabling long interconnect bridges
KR20180124256A (ko) * 2017-05-11 2018-11-21 에스케이하이닉스 주식회사 몰드비아를 갖는 적층 반도체 패키지 및 그의 제조방법
US10638608B2 (en) 2017-09-08 2020-04-28 Apple Inc. Interconnect frames for SIP modules
US10334732B2 (en) 2017-09-22 2019-06-25 Apple Inc. Area-efficient connections to SIP modules
WO2019066945A1 (en) * 2017-09-29 2019-04-04 Intel IP Corporation INTEGRATION AND ACCESS TO PASSIVE COMPONENTS IN WAFER-LEVEL BOXES
US11276676B2 (en) * 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200020634A1 (en) * 2018-07-16 2020-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package and method of manufacturing the same
KR102652872B1 (ko) * 2018-09-04 2024-04-02 삼성전자주식회사 반도체 패키지
US10770433B1 (en) 2019-02-27 2020-09-08 Apple Inc. High bandwidth die to die interconnect with package area reduction
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11239174B2 (en) 2019-12-27 2022-02-01 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method for manufacturing the same
CN113725098B (zh) * 2020-03-27 2023-12-26 矽磐微电子(重庆)有限公司 半导体封装方法及半导体封装结构
US11450615B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
KR20220001956A (ko) 2020-06-30 2022-01-06 삼성전자주식회사 집적회로 소자 및 이를 포함하는 반도체 패키지
KR20220138539A (ko) 2021-04-05 2022-10-13 삼성전자주식회사 반도체 패키지
KR20230032587A (ko) * 2021-08-31 2023-03-07 삼성전자주식회사 반도체 패키지

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5111278A (en) * 1991-03-27 1992-05-05 Eichelberger Charles W Three-dimensional multichip module systems
DE10209922A1 (de) * 2002-03-07 2003-10-02 Infineon Technologies Ag Elektronisches Modul, Nutzen mit zu vereinzelnden elektronischen Modulen und Verfahren zu deren Herstellung
US7078788B2 (en) * 2000-08-16 2006-07-18 Intel Corporation Microelectronic substrates with integrated devices
US7727806B2 (en) * 2006-05-01 2010-06-01 Charles Stark Draper Laboratory, Inc. Systems and methods for high density multi-component modules
US20130105939A1 (en) * 2010-12-21 2013-05-02 Panasonic Corporation Semiconductor device

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044362A (ja) * 1999-07-27 2001-02-16 Mitsubishi Electric Corp 半導体装置の実装構造および実装方法
US6734534B1 (en) * 2000-08-16 2004-05-11 Intel Corporation Microelectronic substrate with integrated devices
US7176506B2 (en) * 2001-08-28 2007-02-13 Tessera, Inc. High frequency chip packages with connecting elements
JP4112448B2 (ja) * 2003-07-28 2008-07-02 株式会社東芝 電気光配線基板及び半導体装置
US20050133929A1 (en) * 2003-12-18 2005-06-23 Howard Gregory E. Flexible package with rigid substrate segments for high density integrated circuit systems
TWI260056B (en) * 2005-02-01 2006-08-11 Phoenix Prec Technology Corp Module structure having an embedded chip
DE102006001767B4 (de) * 2006-01-12 2009-04-30 Infineon Technologies Ag Halbleitermodul mit Halbleiterchips und Verfahren zur Herstellung desselben
KR100843214B1 (ko) * 2006-12-05 2008-07-02 삼성전자주식회사 메모리 칩과 프로세서 칩이 관통전극을 통해 연결된 플래너멀티 반도체 칩 패키지 및 그 제조방법
US7514797B2 (en) 2007-05-31 2009-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die wafer level packaging
US7553752B2 (en) * 2007-06-20 2009-06-30 Stats Chippac, Ltd. Method of making a wafer level integration package
US7874065B2 (en) * 2007-10-31 2011-01-25 Nguyen Vinh T Process for making a multilayer circuit board
US8367470B2 (en) * 2009-08-07 2013-02-05 Stats Chippac, Ltd. Semiconductor device and method of forming cavity in build-up interconnect structure for short signal path between die
US9230898B2 (en) * 2009-08-17 2016-01-05 Stats Chippac Ltd. Integrated circuit packaging system with package-on-package and method of manufacture thereof
US8304888B2 (en) * 2009-12-22 2012-11-06 Fairchild Semiconductor Corporation Integrated circuit package with embedded components
TWI401753B (zh) * 2009-12-31 2013-07-11 Advanced Semiconductor Eng 可堆疊式封裝結構之製造方法
US8274149B2 (en) 2010-03-29 2012-09-25 Advanced Semiconductor Engineering, Inc. Semiconductor device package having a buffer structure and method of fabricating the same
US8535989B2 (en) * 2010-04-02 2013-09-17 Intel Corporation Embedded semiconductive chips in reconstituted wafers, and systems containing same
US8455300B2 (en) * 2010-05-25 2013-06-04 Stats Chippac Ltd. Integrated circuit package system with embedded die superstructure and method of manufacture thereof
US8754514B2 (en) * 2011-08-10 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip wafer level package
KR20130124858A (ko) * 2012-05-07 2013-11-15 삼성전자주식회사 반도체 패키지
US8703539B2 (en) 2012-06-29 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple die packaging interposer structure and method
US8957525B2 (en) * 2012-12-06 2015-02-17 Texas Instruments Incorporated 3D semiconductor interposer for heterogeneous integration of standard memory and split-architecture processor
US8669140B1 (en) * 2013-04-04 2014-03-11 Freescale Semiconductor, Inc. Method of forming stacked die package using redistributed chip packaging
KR102111742B1 (ko) * 2014-01-14 2020-05-15 삼성전자주식회사 적층 반도체 패키지

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5111278A (en) * 1991-03-27 1992-05-05 Eichelberger Charles W Three-dimensional multichip module systems
US7078788B2 (en) * 2000-08-16 2006-07-18 Intel Corporation Microelectronic substrates with integrated devices
DE10209922A1 (de) * 2002-03-07 2003-10-02 Infineon Technologies Ag Elektronisches Modul, Nutzen mit zu vereinzelnden elektronischen Modulen und Verfahren zu deren Herstellung
US7727806B2 (en) * 2006-05-01 2010-06-01 Charles Stark Draper Laboratory, Inc. Systems and methods for high density multi-component modules
US20130105939A1 (en) * 2010-12-21 2013-05-02 Panasonic Corporation Semiconductor device

Also Published As

Publication number Publication date
US20200020666A1 (en) 2020-01-16
TW201533810A (zh) 2015-09-01
KR20150085763A (ko) 2015-07-24
TWI548007B (zh) 2016-09-01
US20150200182A1 (en) 2015-07-16
DE102014019379A1 (de) 2015-07-16
US10872878B2 (en) 2020-12-22
KR101611684B1 (ko) 2016-04-11
US11289449B2 (en) 2022-03-29
US20160293576A1 (en) 2016-10-06
US9396300B2 (en) 2016-07-19

Similar Documents

Publication Publication Date Title
DE102014019379B4 (de) Verpackungsverfahren für eine Halbleitervorrichtung, verpackte Halbleitervorrichtungen, und Designverfahren solcher
DE102018108051B4 (de) Integrierte Fan-Out-Packages und Verfahren zu deren Herstellung
DE112019003048B4 (de) Multi-chip-packungsstruktur mit einer chip-zwischenverbindungsbrücke, die leistungsverbindungen zwischen chip und packungssubstrat bereitstellt und verfahren für ein aufbauen dieser multi-chip-packungsstruktur
DE102011056315B4 (de) Halbleiterbauelement und Verfahren zu dessen Herstellung
DE102016015805B3 (de) Multi-stack-package-on-package-strukturen
DE102020101431B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102014019634B4 (de) Gehäuse eines integrierten Schaltkreises und Verfahren zum Bilden desselben
DE102015105855B4 (de) Halbleitergehäuse und Verfahren zu ihrer Ausbildung
DE102015106576B4 (de) Halbleitervorrichtung mit ausgesparten rändern und herstellungsverfahren
DE102016100378B4 (de) Verringerung einer rissbildung durch einstellen einer öffnungsgrösse in pop-packages
DE102011016361B4 (de) Wafer-Level-Chip-Scale-Package-Vorrichtung mit Kontakthöcker-Einheiten, die so konfiguriert sind, dass sie durch mechanische Spannung bedingte Ausfälle vermindern
DE102017117810A1 (de) Umverteilungsschichten in halbleiter-packages und verfahren zu deren herstellung
DE102018119133B4 (de) Packaging-Schicht-Induktor und Verfahren zur Herstellung
DE102018100045A1 (de) Zwischenverbindungs-chips
DE102019128460A1 (de) Halbleiterpackages und verfahren für deren herstellung
DE102018106761A1 (de) Halbleiter-package mit routing bei zweiseitigem metall
DE102013107244A1 (de) Gestapelter Fan-Out-Halbleiterchip
DE102019103952A1 (de) Kreuzwafer-RDLs in konstruierten Wafern
DE112008000592T5 (de) Chip-Kontaktierhügel aus Kupfer mit Elektromigrationskappe und Lötmittelüberzug
DE102016100523B4 (de) Multi-Stack-Package-on-Package-Strukturen
DE102019129870A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102020119181A1 (de) Halbleiterpackages und verfahren zu deren herstellung
DE102012109984A1 (de) Halbleiterbauelement und Verfahren
DE102018102086A1 (de) Halbleiter-packages und verfahren zu deren herstellung
DE102012103571B4 (de) Halbleiterstruktur mit Silicium-Durchkontaktierung und verringerter Elektromigration sowie Verfahren zur Herstellung einer solchen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final