DE102011088581A1 - Gehäuseverbindungen - Google Patents

Gehäuseverbindungen Download PDF

Info

Publication number
DE102011088581A1
DE102011088581A1 DE102011088581A DE102011088581A DE102011088581A1 DE 102011088581 A1 DE102011088581 A1 DE 102011088581A1 DE 102011088581 A DE102011088581 A DE 102011088581A DE 102011088581 A DE102011088581 A DE 102011088581A DE 102011088581 A1 DE102011088581 A1 DE 102011088581A1
Authority
DE
Germany
Prior art keywords
region
substrate
carrier substrate
connection
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102011088581A
Other languages
English (en)
Other versions
DE102011088581B4 (de
Inventor
Rama Krishna KOTLANKA
Rakesh Kumar
Premachandran CHIRAYARIKATHUVEEDU SANKARAPILLAI
Pradeep Ramachandramurthy Yelehanka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
GlobalFoundries Singapore Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Singapore Pte Ltd filed Critical GlobalFoundries Singapore Pte Ltd
Publication of DE102011088581A1 publication Critical patent/DE102011088581A1/de
Application granted granted Critical
Publication of DE102011088581B4 publication Critical patent/DE102011088581B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/007Interconnections between the MEMS and external electrical signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/095Feed-through, via through the lid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)
  • Printing Elements For Providing Electric Connections Between Printed Circuits (AREA)

Abstract

Es wird ein Verfahren zur Herstellung eines Bauelements offenbart. Ein Trägersubstrat mit einer ersten und einer zweiten Hauptoberfläche wird bereitgestellt. Es wird eine Verbindung durch die erste und die zweite Hauptoberfläche in dem Trägersubstrat hergestellt. Die Verbindung besitzt einen ersten und einen zweiten Bereich. Der erste Bereich erstreckt sich von der ersten oder der zweiten Hauptoberfläche und der zweite Bereich erstreckt sich von der anderen Hauptoberfläche. Die Verbindung enthält ein Teilkontaktdurchführungselement mit einem leitenden Material und einem ersten Bereich der Verbindung. Das Kontaktdurchführungselement besitzt eine Unterseite bei einer Grenzfläche zwischen dem ersten und dem zweiten Bereich. Der zweite Bereich der Verbindung ist mit Dotiermitteln einer ersten Leitfähigkeitsart stark dotiert.

Description

  • Hintergrund
  • Es wurde vorgeschlagen, eine Gehäusetechnik auf Scheibenebene einzurichten, um Scheiben miteinander zu stapeln, so dass elektronische Bauelemente mit Gehäuse mit äußerst hoher Packungsdichte entstehen. Der Vorgang zur Herstellung von Siliziumdurchgangskontakten (TSV) ist eine der Techniken, die in der Gehäusetechnik auf Scheibenebene eingesetzt wird. Dadurch werden die Herstellung von Bauelementen mit kleinem Formfaktor und das Stapeln von Scheiben bei der Integration ermöglicht. Obwohl TSV eine höhere Zuverlässigkeit und weniger parasitäre Effekte erzielt, ist dennoch eine lange Verarbeitungszeit erforderlich, um die tiefen Durchgangslöcher beispielsweise mit einer Tiefe von ungefähr 150 μm zu erzeugen. Des weiteren ist die TSV eine relativ teuere Technik und erzeugt eine hohe mechanische Verspannung, die die Ausbeute beeinflussen kann. Dies verringert den Durchsatz und kann zu einer Zunahme der Fertigungskosten der Bauelemente führen.
  • Es ist eine Aufgabe der vorliegenden Erfindung, Gehäuse mit größerer Packungsdichte, hoher Zuverlässigkeit und geringen Fertigungskosten bereitzustellen.
  • Überblick
  • Es wird ein Verfahren zur Herstellung eines Bauelements bereitgestellt. Das Verfahren umfasst das Bereitstellen eines Trägersubstrats mit einer ersten und einer zweiten Hauptoberfläche. Das Verfahren umfasst ferner das Bilden einer Verbindung durch die erste und die zweite Hauptoberfläche in dem Trägersubstrat. Die Verbindung besitzt einen ersten und eine zweiten Bereich. Der erste Bereich erstreckt sich von der ersten oder der zweiten Hauptoberfläche und der zweite Bereich erstreckt sich von der anderen Oberfläche, d. h. von der zweiten bzw. der ersten Oberfläche. Die Verbindung umfasst einen Teilkontaktdurchführungspfropfen bzw. ein Teilkontaktdurchführungselement mit einem leitenden Material in einem ersten Bereich der Verbindung. Der Kontaktdurchführungspfropfen besitzt eine Unterseite ungefähr an einer Grenzfläche des ersten und des zweiten Bereichs. Der zweite Bereich der Verbindung ist stark mit Dotiermitteln einer ersten Leitfähigkeitsart dotiert.
  • In einer Ausführungsform wird ein Verfahren zur Herstellung eines Halbleitergehäuses offenbart. Das Verfahren umfasst das Bereitstellen eines Trägersubstrats mit einer ersten und einer zweiten Hauptoberfläche. Das Verfahren umfasst ferner das Bilden einer Verbindung durch die erste und die zweite Hauptoberfläche in dem Trägersubstrat. Die Verbindung besitzt einen ersten und einen zweiten Bereich. Der erste Bereich erstreckt sich von der ersten oder der zweiten Hauptoberfläche und der zweite Bereich erstreckt sich von der anderen Oberfläche, also von der zweiten oder der ersten Hauptoberfläche. Die Verbindung umfasst einen Teilkontaktdurchführungspfropfen mit einem leitenden Material in einem ersten Bereich der Verbindung. Der Kontaktdurchführungspfropfen besitzt eine Unterseite ungefähr an einer Grenzfläche des ersten und des zweiten Bereichs. Der zweite Bereich der Verbindung ist mit einem Dotiermittel einer ersten Leitfähigkeitsart stark dotiert. Das Verfahren umfasst ferner das Bereitstellen eines Halbleiterbauelements über dem Trägersubstrat.
  • In einer weiteren Ausführungsform ist ein Bauelement offenbart. Das Bauelement umfasst ein Trägersubstrat mit einer ersten und einer zweiten Hauptoberfläche. Das Bauelement umfasst ferner eine Verbindung durch die erste und die zweite Hauptoberfläche in dem Trägersubstrat. Die Verbindung besitzt einen ersten und einen zweiten Bereich. Der erste Bereich erstreckt sich von der ersten oder der zweiten Hauptoberfläche und der zweite Bereich erstreckt sich von der anderen der beiden Hauptoberflächen. Die Verbindung umfasst einen Teilkontaktdurchführungspfropfen mit einem leitenden Material in einem ersten Bereich der Verbindung. Der Kontaktdurchführungspfropfen besitzt eine Unterseite ungefähr an einer Grenzfläche des ersten und des zweiten Bereichs und der zweite Bereich der Verbindung ist mit einem Dotiermittel einer ersten Leitfähigkeitsart stark dotiert.
  • Diese Ausführungsformen zusammen mit anderen Vorteilen und Merkmalen, wie sie hierin offenbart sind, gehen aus der folgenden Beschreibung und den begleitenden Zeichnungen hervor. Ferner ist zu beachten, dass die Merkmale der diversen hierin beschriebenen Ausführungsformen sich nicht gegenseitig ausschließen und in diversen Kombinationen und geänderten Formen verwendet werden können.
  • Kurze Beschreibung der Zeichnungen
  • In den Zeichnungen bezeichnen gleiche Bezugszeichen generell die gleichen Teile durchgängig in den diversen Ansichten. Auch sind die Figuren nicht notwendiger Weise maßstabsgetreu, wobei generell Wert darauf gelegt wird, die Prinzipien der Erfindung darzustellen. In der folgenden Beschreibung sind die diversen Ausführungsformen der vorliegenden Erfindung mit Bezug zu den begleitenden Zeichnungen erläutert, in denen:
  • 1a bis 1d Querschnittsansichten diverser Ausführungsformen eines Bauelements zeigen;
  • 2a bis 2b eine Querschnittsansicht bzw. eine Draufsicht einer Ausführungsform einer Verbindung zeigen;
  • 3 ein Schaltungsmodell einer Ausführungsform einer Verbindung zeigt; und
  • 4 bis 4k eine Ausführungsform eines Prozesses zur Herstellung eines Bauelements zeigen.
  • Detaillierte Beschreibung
  • Die Ausführungsformen betreffen generell Bauelemente. Die Bauelemente können beispielsweise Halbleiterbauelemente sein. In anderen Ausführungsformen können die Bauelemente Hybridarten von Bauelementen sein, die MEMS und Halbleiter oder IC-Bauelemente enthalten. Die MEMS-Bauelemente können diverse Arten von MEMS-Bauelementen sein, etwa RF-MEMS, Trägheits-MEMS oder BioMEMS. Es können auch andere Arten von MEMS-Bauelementen verwendet werden. Insbesondere betreffen die Ausführungsformen solche Verbindungen, die das Einbringen der Bauelemente in ein Gehäuse ermöglichen bzw. erleichtern. Die Ausführungsformen können beispielsweise für Gehäusetechniken auf Scheibenebene eingesetzt werden. Die Bauelemente können beispielsweise in Produkten eingesetzt werden, etwa in Beschleunigungsmessern, Gyroskopen oder Resonatoren. Auch in anderen Produktarten ist die Verwendung geeignet.
  • 1a bis 1c zeigen Querschnittsansichten eines Teils diverser Ausführungsformen eines Bauelements 100. Der Bereich kann beispielsweise die Hälfte des Bauelements sein. Wie in 1a gezeigt ist, wird ein Trägersubstrat 120 bereitgestellt. Das Trägersubstrat dient als Handhabungssubstrat oder Handhabungsscheibe. Beispielsweise ist das Handhabungssubstrat ein Gehäuse oder ein Teil eines Gehäuses des Bauelements. Im Falle einer Handhabungsscheibe kann diese für die Gehäusetechnik auf Scheibenebene verwendet werden. In einigen Ausführungsformen ist das Trägersubstrat ein mikroelektromechanisches System-(MEMS)Bauelement. Das Substrat enthält eine erste und eine zweite Substrathauptoberfläche 122 und 124. Die erste Hauptoberfläche ist beispielsweise eine obere Fläche, während die zweite Hauptoberfläche eine Unterseitenfläche ist. Beispielsweise stellt die Hauptoberfläche eine Oberfläche bereit, auf der ein Halbleiter oder ein IC-Bauelement montiert wird.
  • In einer Ausführungsform umfasst das Trägersubstrat ein Halbleitermaterial, etwa ein kristallines Material. Beispielsweise enthält das Trägermaterial Silizium. Andere Arten von Halbleitermaterialien, etwa Silizium-Germanium (SiGe), Germanium (Ge), Gallium-Arsen (GaAs) und andere geeignete Halbleitermaterialien, wozu künftig entwickelte Materialien ebenfalls gehören, können ebenfalls verwendet werden, um als das Trägersubstrat zu dienen. Die Dicke des Handhabungssubstrats ist beispielsweise im Bereich von ungefähr 150 bis 725 μm bei einer 200 mm-Scheibe. Das Bereitstellen von Handhabungssubstraten mit anderen Dicken ist ebenfalls hierin mit eingeschlossen.
  • Das Trägersubstrat kann mit Dotiermitteln mit einer gewissen Leitfähigkeitsart dotiert werden. Beispielsweise ist das Substrat mit p-Dotiermitteln oder n-Dotiermitteln dotiert. Zu p-Dotiermitteln gehören Bor (B), Aluminium (Al), Indium (In) oder eine Kombination davon, während n-Dotiermittel Phosphor (P), Arsen (As), Antimon (Sb) oder eine Kombination davon mit einschließen. In anderen Ausführungsformen ist das Substrat ein intrinsisches Substrat.
  • In einer Ausführungsform ist das Handhabungssubstrat ein stark dotiertes Substrat. In einer Ausführungsform umfasst das Substrat ein stark dotiertes n-(n+)Substrat. Das Vorsehen eines dotierten p-(p+)Substrats ist ebenfalls hierin mit eingeschlossen. Die Dotierstoffkonzentration des Substrats sollte ausreichend sein, um einen geringen Schichtwiderstand zu erzeugen. Die Dotierstoffkonzentration ist in einer Ausführungsform ausreichend, um einen Schichtwiderstand von ungefähr 0,05 Ohm cm zu erreichen. Das Vorsehen eines Substrats mit anderen Schichtwiderstandswerten ist hierin ebenfalls mit eingeschlossen. Die erste und die zweite Hauptsubstratoberfläche werden mit einer ersten und einer zweiten dielektrischen Oberflächenschicht 132 und 134 versehen. Die dielektrischen Schichten sind beispielsweise ein Siliziumoxidmaterial. Andere Arten von dielektrischen Materialien, etwa Siliziumnitrid können ebenfalls verwendet werden, um als die dielektrische Schicht zu dienen. Zu beachten ist, dass die erste und die zweite dielektrische Schicht nicht aus dem gleichen Material hergestellt sein müssen.
  • Die erste Substratoberfläche enthält erste Kontaktflächen 146, die auf ersten leitenden Bahnen bzw. Leiterbahnen 140 ausgebildet sind. Die Anordnung oder die geometrische Gestaltung bzw. das Layout der ersten Kontaktflächen ermöglicht beispielsweise eine elektrische Kopplung mit Kontakten eines Halbleiterbauelements, das auf der ersten Substratoberfläche montiert ist. Beispielsweise ermöglicht die geometrische Gestaltung der ersten Kontaktfläche Verbindungen zu Kontakten eines umgekehrt aufgebrachten Chips. Die erste geometrische Gestaltung bzw. das Layout der ersten Kontaktfläche kann auch verwendet werden, um Verbindungen zu anderen Arten von Chips über Drahtverbindungstechniken zu ermöglichen. Die zweite Substratoberfläche enthält zweite Kontaktflächen 256, die auf zweiten leitenden Bahnen 150 hergestellt sind. Es sind auch Gehäusekontakte 160, etwa leitende Kontaktkugeln auf den zweiten Kontaktflächen ausgebildet. Die Gehäusekontakte umfassen beispielsweise Lotkugeln. Andere Arten von Gehäusekontakten sind ebenfalls geeignet. Die Anordnung bzw. das Layout der zweiten Kontaktflächen kann im Format eines Kontaktkugelnetzarrays (BGA) angeordnet sein. Das Vorsehen anderer Arten von zweiten Kontaktflächengestaltungen zur Bereitstellung anderer Gehäusekontaktkonfigurationen ist hier ebenfalls mit eingeschlossen. Die Kontaktflächen und die leitenden Bahnen bzw. Leiterbahnen können aus einem leitenden Material, etwa Kupfer oder einer Kupferlegierung hergestellt sein. Die Herstellung der Leiterbahnen und Kontaktflächen unter Anwendung anderer Arten von leitenden Materialien kann ebenfalls geeignet sein. Die Leiterbahnen und die Kontaktflächen sind durch die dielektrischen Oberflächenschichten elektrisch in Bezug auf das Trägersubstrat isoliert.
  • Verbindungen 170 sind in dem Substrat so angeordnet, dass elektrische Verbindungen zwischen den ersten und zweiten Leiterbahnen der ersten und der zweiten Hauptoberfläche geschaffen werden. Dies ermöglicht es, dass die ersten Kontaktflächen elektrisch mit den zweiten Kontaktflächen und den Gehäusekontakten verbunden werden. Die elektrischen Bahnen dienen als Umverteilungsschichten, um die geometrischen Gestaltung der ersten und der zweiten Kontaktflächen nach Wunsch auf der ersten und der zweiten Oberfläche zu gestalten.
  • Eine Verbindung oder Zwischenverbindung stellt generell eine elektrische Verbindung zwischen entsprechenden ersten und zweiten Kontaktflächen her. Die Verbindung bzw. Zwischenschichtverbindung stellt beispielsweise elektrische Verbindungen zu beiden Oberflächen des Substrats her. In einigen Fällen stellt die Verbindung eine Verbindung zu mehr als einer Kontaktfläche auf einer Oberfläche her. Beispielsweise sind einige Kontaktflächen gemeinsame Kontaktfläche auf einer Oberfläche.
  • In einer Ausführungsform ist eine Verbindung von anderen Teilen des Trägersubstrats durch eine Verbindungsisolierschicht 166 getrennt. Die Verbindungsisolierschicht ist beispielsweise aus Siliziumoxid aufgebaut. Andere Arten von dielektrischen Materialien können ebenfalls verwendet werden, um als die Verbindungsisolierschicht zu dienen. In einer Ausführungsform umgibt die Verbindungsisolierschicht die Verbindung und erstreckt sich von der ersten zu der zweiten Hauptoberfläche des Substrats.
  • Die Verbindung kann eine rechteckige Querschnittsgestalt besitzen. Der Durchmesser des Querschnitts kann beispielsweise ungefähr 40 μm betragen. Ferner wird auch das Bereitstellen einer Verbindung mit anderen Querschnittsformen oder Größen mit eingeschlossen
  • Die Verbindung umfasst in einer Ausführungsform ein dotiertes Gebiet des Substrats. In einer Ausführungsform ist die Verbindung ein stark dotiertes Verbindungsgebiet. Beispielsweise ist die Verbindung ein stark dotiertes Verbindungsgebiet mit Dotiermitteln einer ersten Leitfähigkeitsart. Die erste Leitfähigkeitsart kann eine n-Leitfähigkeitsart sein, wodurch ein stark dotiertes n-(n+)Verbindungsgebiet geschaffen wird. Das Bereitstellen eines stark p-dotierten (p+) Verbindungsgebiets wird hierin ebenfalls mit eingeschlossen. Die Dotierstoffkonzentration des stark dotierten Gebiets ist ausreichend, um einen geringen Schichtwiderstand bereitzustellen. Die Dotierstoffkonzentration ist in einer Ausführungsform ausreichend, um einen Schichtwiderstand von ungefähr 0,05 Ohm cm oder weniger zu erreichen. Das Bereitstellen eines dotierten Gebiets mit anderen Schichtwiderstandswerten ist hierin ebenfalls mit eingeschlossen.
  • Die Verbindung besitzt in einer Ausführungsform die gleiche Dotierstoffart und Konzentration wie das Trägersubstrat. Beispielsweise umfasst das Substrat ein stark dotiertes Substrat mit Dotiermitteln der ersten Leitfähigkeitsart. In einer Ausführungsform ist das Substrat ein n dotiertes Substrat für eine n-Verbindung. In anderen Ausführungsformen besitzt das Substrat eine andere Leitfähigkeitsart mit unterschiedlicher Dotierstoffkonzentration im Vergleich zu dem Verbindungsgebiet. Beispielsweise kann das Substrat intrinsisch sein oder mit anderen Dotiermitteln oder einer anderen Dotierstoffkonzentration als die Verbindung dotiert sein. In derartigen Fällen kann die Verbindung separat durch beispielsweise Ionenimplantation unter Anwendung einer Implantationsmaske dotiert werden.
  • Die Verbindung enthält einen ersten und einen zweiten Bereich 171 und 172. Der erste Bereich ist aus einer der beiden Hauptoberflächen und der zweite Bereich ist aus der anderen Hauptoberfläche des Substrats gebildet. Eine Grenzfläche 173 des ersten und des zweiten Bereichs der Verbindung ist in dem Substrat angeordnet.
  • Der erste Bereich der Verbindung umfasst mindestens einen leitenden Teilkontaktdurchführungspfropfen bzw. ein Teilkontaktdurchführungselement 176. Der leitende Teilkontaktdurchführungspfropfen besitzt eine Oberfläche und erstreckt sich von einer der Hauptoberflächen des Substrats. Der leitende Teil der Kontaktdurchführungspfropfen besitzt einen Oberflächenendbereich und einen inneren Endbereich. Der Oberflächenendbereich der Teilkontaktdurchführung ist beispielsweise ungefähr eben mit einer Oberfläche des Trägersubstrats. Der Oberflächenendbereich ist in einer Ausführungsform durch die Leiterbahn mit der Oberfläche des Substrats verbunden. Der innere Endbereich des leitenden Teilkontaktdurchführungspfropfens ist ungefähr an der Grenzfläche 173 des ersten und des zweiten Bereichs der Verbindung angeordnet. Der leitende Teilkontaktdurchführungspfropfen erstreckt sich nicht von einer Hauptoberfläche zu der anderen Hauptoberfläche.
  • Wie gezeigt, ist der erste Bereich ein oberer Bereich der Verbindung. Beispielsweise ist der erste Bereich aus der oberen (oder ersten) Oberfläche des Substrats gebildet. In anderen Ausführungsformen ist der erste Bereich ein unterer Bereich der Verbindung. Beispielsweise ist der erste Bereich aus der unteren (oder zweiten) Oberfläche des Substrats hergestellt.
  • Der oder die leitenden Kontaktdurchführungspfropfen enthalten in einer Ausführungsform Polysilizium, das mit Dotiermitteln der gleichen Leitfähigkeitsart wie das Verbindungsgebiet dotiert ist. Beispielsweise ist der Polysiliziumpfropfen oder das Polysiliziumelement mit Dotiermitteln einer ersten Leitfähigkeitsart dotiert. Die erste Leitfähigkeitsart kann vom n-Typ (n) oder vom p-Typ (p) sein. In einer Ausführungsform umfasst der leitende Kontaktdurchführungspfropfen Polysilizium, das stark mit Dotiermitteln der ersten Leitfähigkeitsart dotiert ist. Beispielsweise ist der leitende Kontaktdurchführungspfropfen ein stark dotierter Polysiliziumkontaktdurchführungspfropfen des n-Typs (n+) oder des p-Typs (p+). Die Verwendung von Polysilizium ermöglicht eine Vorgehensweise, in der das Kontaktloch zuerst hergestellt wird und dies ist vorteilhaft in Hochtemperaturprozessen. In anderen Ausführungsformen enthält der leitende Kontaktdurchführungspfropfen leitende Materialien, etwa Kupfer (Cu), Wolfram (W) oder Aluminium (Al). Das Bereitstellen anderer Arten von leitenden Materialien kann ebenfalls geeignet sein. Die Materialien werden ausgewählt in Abhängigkeit von den Temperaturen, die bei der Bearbeitung eingesetzt werden.
  • Der zweite Bereich der Verbindung umfasst das Substratmaterial, das stark mit Dotiermitteln der ersten Leitfähigkeitsart dotiert ist. Der stark dotierte Bereich der Verbindung bildet eine elektrische Verbindung zwischen den leitenden Teilkontaktierungspfropfen und dem Substratmaterial des Verbindungsgebiets. Dies erzeugt elektrische Verbindungen zwischen den ersten und zweiten elektrischen Leiterbahnen auf der ersten und der zweiten Substratoberfläche, ohne dass die Notwendigkeit für Durchkontaktierungspfropfen besteht, die sich von einer Hauptoberfläche zu der anderen Hauptoberfläche des Trägersubstrats erstrecken.
  • 1b zeigt eine weitere Ausführungsform des Bauelements 100. Das Bauelement ist ähnlich zu dem in 1a beschriebenen Bauelement ausgebildet. Die gleichen Bezugszeichen bezeichnen gleiche oder ähnliche Elemente. Gleiche oder ähnliche Elemente werden hierin nicht detaillierter erläutert. Wie gezeigt, ist ein Trägersubstrat 120 vorgesehen. Das Trägersubstrat umfasst beispielsweise ein Halbleitermaterial und dient als ein Handhabungssubstrat. In einer Ausführungsform umfasst das Trägersubstrat ein Substrat mit Aussparungen mit einer Aussparung 128. Das Substrat mit Aussparungen wird beispielsweise verwendet, um MEMS-Bauelemente, etwa RF-MEMS oder Trägheits-MEMS Bauelemente zu erzeugen. Das Herstellen anderer Arten von MEMS-Bauelementen wird hierin ebenfalls berücksichtigt. Die MEMS-Bauelemente werden beispielsweise in Produkte, etwa Mikrophone, Drucksensoren oder Energieerzeuger eingebaut. Die MEMS-Bauelemente können auch für andere Produktarten verwendet werden.
  • In einer Ausführungsform umfasst das Substrat mit Aussparungen ein Hauptsubstrat oder Vollsubstrat 121 mit einer Aussparung 128 und ein Oberflächensubstrat 123. Das Vollsubstrat kann optional durch eine vergrabene dielektrische Schicht 126 abgetrennt sein. Eine derartige Konfiguration ergibt beispielsweise ein Kristall-auf-Isolator-(COI-)Substrat, etwa ein Silizium-auf-Isolator-Substrat (SOI). Andere Arten von COI-Substraten können ebenfalls geeignet sein. Das COI-Substrat kann Strukturelemente und Bauteilschichten aufweisen, die ein MEMS-Bauelement bilden. Das Trägersubstrat enthält erste Kontaktflächen 146 und erste Leiterbahnen 140 auf einer ersten Hauptoberfläche 122, enthält zweite Kontaktflächen 156 mit Gehäusekontakten 160 und zweiten Leiterbahnen 150 auf einer zweiten Hauptoberfläche 124 und enthält Verbindungen 170, wie sie in 1a beschrieben sind.
  • 1c bis 1d zeigen weitere Ausführungsformen von Bauelementen 100. Die Bauelemente enthalten, wie gezeigt, Trägersubstrate ähnlich zu jenen, wie sie in den 1a bis 1b gezeigt sind. Gleiche Bezugszeichen kennzeichnen ähnliche Elemente. Ähnliche Elemente werden hierin nicht detaillierter erläutert. Mit Bezug zu den 1c bis 1d wird ein Trägersubstrat 120 bereitgestellt. Das Trägersubstrat umfasst beispielsweise ein Halbleitermaterial und dient als Handhabungssubstrat. In einigen Ausführungsformen umfasst das Handhabungssubstrat ein Substrat mit Aussparungen mit einer Aussparung 128, wie dies in 1d gezeigt ist. Das Trägersubstrat kann ein MEMS-Bauelement sein. Das Trägersubstrat enthält erste Kontaktflächen 146 und erste Leiterbahnen 140 auf einer ersten Hauptoberfläche 122, enthält zweite Kontaktflächen 156 mit Gehäusekontakten 160 und zweiten Leiterbahnen 150 auf einer zweiten Hauptoberfläche 124 und enthält Verbindungen bzw. Zwischenverbindungen 170.
  • In einer Ausführungsform ist ein Halbleiterbauelement 110 auf der ersten Oberfläche des Trägersubstrats montiert. In einer Ausführungsform ist das Halbleiterbauelement an dem Trägersubstrat unter Anwendung einer eutektischen Verbindung 182 angebracht. Die eutektische Verbindung kann beispielsweise Materialien wie Al, Cu, Au, Ag, In, Sn, Ge, Lotmaterial, Si oder eine Kombination davon umfassen. In einigen Ausführungsformen enthält die eutektische Verbindung Al, Ge oder Si-Ni. Eine eutektische Verbindung kann als eine Verbindung zwischen dem Trägersubstrat und dem Halbleiterbauelement dienen. Des weiteren kann die eutektische Verbindung eine hermetische Abdichtung zwischen dem Trägersubstrat und dem Halbleiterbauelement bilden, so dass diese als eine hermetische Verbindung dient. In anderen Ausführungsformen ist das Halbleiterbauelement an dem Trägersubstrat unter Anwendung von Klebeverbindungen, etwa BCB, SU8 oder SU8 mit Si-Nanoteilchen angebracht. Das Bereitstellen anderer Klebemittel oder Verbindungsverfahren etwa durch Glasmasse kann ebenfalls geeignet sein, wobei dies von den Prozesserfordernissen abhängt.
  • Das Halbleiterbauelement ist beispielsweise ein umgedrehter Chip bzw. ein Flip-Chip. Der umgekehrte Chip umfasst Chipkontakte 116 auf einer Kontaktoberfläche. Die Chipkontakte sind beispielsweise in Reihen, etwa einzelnen oder einer Doppelreihe geradlinig in einem Flip-Chip angeordnet. In anderen Ausführungsformen sind die Chip-Kontakte in einem BGA-Format angeordnet. Das Anordnen der Chipkontakte in anderen Konfigurationen kann ebenfalls geeignet sein. Die Chipkontakte sind zu den ersten Kontaktflächen auf dem Trägersubstrat ausgerichtet.
  • In anderen Ausführungsformen ist das Halbleiterbauelement eine andere Art an Halbleiterbauelement. Beispielsweise kann das Halbleiterbauelement Anschlussflächen bzw. Bondflächen aufweisen. Die Anschlussflächen können mit den ersten Kontaktflächen des Trägersubstrats durch beispielsweise Drahtverbindungen verbunden werden. Andere Techniken zur Ankopplung eines Halbleiterbauelements an das Trägersubstrat können ebenfalls geeignet sein.
  • 2a bis 2b zeigen eine Querschnittsansicht bzw. eine Draufsicht einer Ausführungsform einer Verbindung 170 in größerem Detail. Die Verbindung erstreckt sich von der ersten und der zweiten Hauptoberfläche 122 und 124 des Substrats 120. Die Verbindung stellt beispielsweise elektrische Verbindungen zwischen Elementen, etwa elektrischen Leiterbahnen auf der ersten und der zweiten Hauptoberfläche bereit. Ein Verbindungsdielektrikum 166 umgibt die Verbindung, um die Verbindung von anderen Teilen des Trägersubstrats zu isolieren.
  • Die Verbindung, wie sie gezeigt ist, besitzt eine rechteckige Querschnittsform. Es können auch andere Querschnittsformen für die Verbindung geeignet sein. Der Durchmesser des Querschnitts der Verbindung beträgt ungefähr 40 μm. Es können auch andere Größen für die Verbindung geeignet sein.
  • Die Verbindung ist stark mit Dotiermitteln dotiert. In einer Ausführungsform ist die Verbindung mit Dotiermitteln einer ersten Leitfähigkeitsart stark dotiert. Die Verbindung enthält einen ersten und einen zweiten Bereich 171 und 172. Der erste Bereich ist, wie gezeigt, aus der ersten Hauptfläche hergestellt und der zweite Bereich ist aus der zweiten Hauptoberfläche des Substrats aufgebaut. Eine Grenzfläche 173 des ersten und des zweiten Bereichs der Verbindung ist in dem Substrat angeordnet.
  • Der erste Bereich der Verbindung umfasst mindestens einen leitenden Teilkontaktdurchführungspfropfen bzw. ein Teilkontaktdurchführungselement 176. In einer Ausführungsform umfasst der erste Bereich mehrere leitende Teilkontaktdurchführungspfropfen 176 1 bis 176 i. Die Teilkontaktdurchführungspfropfen besitzen Oberflächenendbereiche, die sich von der Hauptoberfläche erstrecken, und innere Endbereiche an ungefähr der Grenzfläche zwischen dem ersten und dem zweiten Bereich der Verbindung. Die Kontaktdurchführungspfropfen bzw. Elemente besitzen beispielsweise eine rechteckige Querschnittsform, wie dies in 2a gezeigt ist. Das Vorsehen anderer Formen oder eine Kombination aus unterschiedlichen Querschnittsformen kann ebenfalls geeignet sein. Die ausgewählte Querschnittsform soll ermöglichen, dass hohlraumfreie Kontaktdurchführungselemente bzw. Pfropfen erzeugt werden können. Beispielsweise ermöglicht die Querschnittsform das Herstellen von Polysiliziumkontaktdurchführungspfropfen oder von Kontaktdurchführungspfropfen aus anderen leitenden Materialien. Der Querschnitt des Kontaktdurchführungspfropfens bzw. Elements kann beispielsweise eine Abmessung von ungefähr 1 μm besitzen. Es können jedoch auch andere Abmessungen geeignet sein.
  • Die Kontaktdurchführungspfropfen bzw. Elemente können in einer ersten Konfiguration angeordnet sein. Beispielsweise sind die Kontaktdurchführungspfropfen in einer M×N-Matrix angeordnet. Wie in 2b gezeigt ist, sind die Kontaktdurchführungspfropfen in einer 5×5 (M = 5 und N = 5) Matrix angeordnet sein. Es ist zu beachten, dass N nicht gleich M sein muss. Anderer Konfigurationen für die Kontaktdurchführungspfropfen sind ebenfalls geeignet. Beispielsweise muss eine Reihe nicht die gleiche Anzahl an Kontaktpfropfen wie andere Reihen aufweisen, oder eine Spalte muss nicht die gleiche Anzahl an Kontaktpfropfen wie andere Reihen aufweisen.
  • Die Teilkontaktdurchführungspfropfen enthalten in einer Ausführungsform Polysilizium. Das Polysilizium ist stark mit Dotierstoffen der ersten Leitfähigkeitsart dotiert. Die Dotierstoffkonzentration der ersten Leitfähigkeitsart hängt beispielsweise von den Anlageneigenschaften ab. Die Verwendung von Polysilizium ermöglicht eine Kompatibilität mit Halbleiterfertigungsprozessen. Beispielsweise können durch die Kompatibilität mit Halbleiterfertigungsprozessen Hochtemperaturprozesse angewendet werden.
  • In anderen Ausführungsformen enthalten die Teilkontaktdurchführungspfropfen andere Arten an leitenden Materialien, etwa Kupfer, Kupferlegierungen, Aluminium, Wolfram und/oder eine Kombination davon. Auch können andere Arten von leitenden Materialien verwendet werden, wobei dies von den Prozess- und Temperaturanforderungen abhängt.
  • Zu beachten ist, dass Kontaktdurchführungspfropfen anderer Verbindungen nicht das gleiche Material aufweisen müssen. Beispielsweise können einige Verbindungen aus dotiertem Polysilizium hergestellt sein, während andere aus anderen Arten an leitenden Materialien aufgebaut sind. In ähnlicher Weise müssen in einigen Fällen Kontaktdurchführungspfropfen einer Verbindung nicht notwendiger Weise das gleiche Material aufweisen.
  • Die Position der Grenzfläche des ersten und des zweiten Bereichs bestimmt beispielsweise die Tiefe der Teilkontaktdurchführungspfropfen. Die Tiefe der Kontaktdurchführungspfropfen liegt beispielsweise im Bereich von ungefähr 2 μm bis 200 μm. Beispielsweise beträgt die Tiefe der Kontaktdurchführungspfropfen ungefähr 80 μm. Es können auch andere Werte für die Tiefe der Kontaktdurchführungspfropfen geeignet sein. Die Abmessungen der Kontaktdurchführungspfropfen, etwa der Querschnitt, die Größe und die Tiefe hängen von den Entwurfserfordernissen, etwa dem Widerstand der Kontaktdurchführungspfropfen ab. Der Widerstand hängt beispielsweise von dem Material, der Konzentration an Dotierstoffen (falls anwendbar), der Querschnittsgröße und der Tiefe des Kontaktdurchführungspfropfens ab. Zusätzlich kann der Gesamtwiderstand der Verbindung im Hinblick auf die Gestaltung der Verbindung berücksichtigt werden. Dies beinhaltet beispielsweise den Widerstand der Kontaktdurchführungselemente, die Anzahl der Kontaktdurchführungspfropfen bzw. Elemente sowie das Substratmaterial und die Dotierstoffkonzentration.
  • Wie gezeigt, ist der erste Bereich, der den bzw. die Kontaktdurchführungspfropfen enthält, in einem unteren Bereich der Verbindung angeordnet. Beispielsweise ist der erste Bereich aus der oberen (oder ersten) Oberfläche des Substrats aufgebaut. In anderen Ausführungsformen ist der erste Bereich ein unterer Bereich der Verbindung. Beispielsweise ist der erste Bereich aus der unteren Oberfläche (oder der zweiten) Oberfläche des Substrats hergestellt. Es ist ferner zu beachten, dass alle Verbindungen des Substrats nicht unnötiger Weise die gleiche geometrische Anordnung der Kontaktdurchführungspfropfen besitzen müssen. Beispielsweise können unterschiedliche Verbindungen mit unterschiedlichen Layouts für die Kontaktöffnung abhängig von beispielsweise den Entwurfserfordernissen vorgesehen sein.
  • 3 zeigt ein Schaltbild einer Ausführungsform einer Verbindung 170. Die diversen Widerstandskomponenten zwischen einem ersten Endbereich 141 und einem zweiten Endbereich 151 der Verbindung sind entsprechend dargestellt. Der erste Endbereich ist Teil des ersten Bereichs 171 der Verbindung und der zweite Endbereich ist ein Teil des zweiten Bereichs 173 der Verbindung.
  • In einer Ausführungsform enthält der erste Bereich der Verbindung mehrere erste Widerstandskomponenten R1 bis Ri, die parallel geschaltet sind. Die ersten Widerstandskomponenten entsprechend den Kontaktdurchführungselementen bzw. Pfropfen 176 1 bis 176 i. In dem Falle, in welchem der erste Bereich einen einzelnen Pfropfen enthält, enthält somit der erste Bereich eine einzelne erste Widerstandskomponente. Die erste Widerstandskomponente oder Komponenten sind in Reihe mit einer zweiten Widerstandskomponente im zweiten Bereich der Verbindung geschaltet. Die zweite Widerstandskomponente entspricht dem Widerstand des Substratmaterials Rsub. Beispielsweise entspricht die zweite Widerstandskomponente dem zweiten oder unteren Bereich der vollständigen Verbindung. Der Gesamtwiderstand zwischen dem ersten und dem zweiten Endbereich der Verbindung kann durch die Gleichung 1 wie folgt definiert werden:
    Figure 00130001
  • Die Variablen in der Gleichung 1 sind wie folgt:
    Rtotal ist der Gesamtwiderstand der Verbindung;
    Rplug ist der Widerstand eines Kontaktdurchführungspfropfens bzw. Elements;
    N ist die Anzahl an Kontaktdurchführungspfropfen in dem ersten Bereich; und
    Rsub ist der Widerstand des zweiten Bereichs der Verbindung.
  • In Gleichung 1 wird angenommen, dass der Widerstand der Kontaktdurchführungspfropfen jeweils gleich ist. Im Falle, dass die Kontaktdurchführungspfropfen nicht alle den gleichen Widerstand besitzen, kann der Gesamtwiderstand durch geeignetes Modifizieren der Gleichung 1 ermittelt werden. Beispielsweise können ein oder mehrere Kontaktdurchführungspfropfen einer Anordnung aus Kontaktdurchführungen unterschiedliche Querschnittsflächen im Vergleich zu anderen Kontaktdurchführungspfropfen besitzen.
  • Des weiteren ist der Widerstand durch die Gleichung 2 wie folgt festgelegt:
    Figure 00140001
  • Die Variablen für Gleichung 2 sind:
    ρ ist der spezifische Widerstand des Materials;
    L ist die Länge des Widerstands; und
    A ist die Querschnittsfläche des Widerstands.
  • Auf der Grundlage der Gleichungen 1 und 2 wird eine Verbindung mit einem Gesamtwiderstand von ungefähr 1 Ohm gemäß einer beispielhaften analytischen Berechnung erreicht, die wie folgt in Tabelle 1 gezeigt ist. Tabelle 1
    N p (Ω.cm) L (μm) ⌀ (μm) R (Ω)
    Substrat 0.001 100 40 ≈ 0,8
    Kontaktdurchführungselement 100 0.001 8 1 ≈ 0,2
  • Daher kann der gesamte gewünschte Widerstand der Verbindung durch Modifizieren der Variablen aus Gleichungen 1 und 2 ermittelt werden.
  • Wie beschrieben, können die Verbindungen mit den gewünschten Widerstandsanforderungen ohne Notwendigkeit hergestellt werden, dass Durchgangskontakte hergestellt werden müssen. Das Herstellen tiefer Kontaktdurchführungen in einem kristallinen Material, etwa Silizium, erfordert eine lange Ätzzeit. Dies beeinflusst negativ die gesamte Durchsatzzeit, woraus sich erhöhte Erstellungskosten ergeben. Das Bereitstellen von Verbindungen unter Anwendung von Teilkontaktdurchführungen erhöht die Fertigungseffizienz und verringert die Kosten, während gleichzeitig die Leistungs- oder Entwurfsanforderungen erfüllt werden.
  • 4a bis 4k zeigen Querschnittsansichten einer Ausführungsform eines Prozesses 400 zur Herstellung eines Bauelements. Gemäß 4a ist ein Trägersubstrat 120 bereitgestellt. Das Substrat enthält eine erste und eine zweite Hauptoberfläche 122 und 424. Das Trägersubstrat kann als ein Handhabungssubstrat oder als eine Handhabungsscheibe dienen. Beispielsweise kann die Handhabungsscheibe ein Gehäuse oder ein Teil eines Gehäuses des Bauelements sein. Im Falle einer Handhabungsscheibe kann diese für die Gehäusetechnik auf Scheibenebene verwendet werden.
  • Das Trägersubstrat umfasst in einer Ausführungsform ein Halbleitermaterial, etwa ein kristallines Material. Beispielsweise enthält das Trägermaterial Silizium. Es können auch andere Arten von Halbleitermaterialien, etwa Silizium/Germanium (Si/Ge), Germanium (Ge), Gallium-Arsen (GaAs) oder andere geeignete Halbleitermaterialien verwendet werden, wozu auch in Zukunft entwickelte Materialien gehören, um damit als die Trägerstruktur zu dienen.
  • Die anfängliche Dicke des Trägersubstrats ist in einer Ausführungsform größer als eine endgültige Dicke des Trägersubstrats. Beispielsweise liegt die anfängliche Dicke des Trägersubstrats im Bereich von 400 bis 700 μm und die endgültige Dicke des Trägersubstrats liegt bei etwa 50 μm. Es können auch andere Dickenwerte oder Dickenwertebereiche verwendet werden. Durch Bereitstellen eines Trägersubstrats mit einer Dicke, die größer als eine Solldicke ist, wird die Bearbeitung erleichtert. Das Substrat kann beispielsweise durch Schleifen gedünnt werden, um ein Trägersubstrat mit der endgültigen Soll-Dicke bereitzustellen.
  • In einer Ausführungsform ist das Trägersubstrat stark mit Dotiermitteln der ersten Leitfähigkeitsart dotiert. In einer Ausführungsform ist das Handhabungssubstrat ein stark dotiertes Substrat des p-Typs (p+) oder ein stark dotiertes Substrat des n-Typs (n+). Zu p-Dotiermitteln gehören Bor (B), Aluminium (Al), Indium (In) oder eine Kombination davon, während zu n-Dotierstoffen Phosphor (P), Arsen (As), Antimon (Sb) oder eine Kombination davon gehört. In einer Ausführungsform ist das Substrat ein n+-Substrat.
  • Die Dotierstoffkonzentration des Substrats sollte ausreichend sein, um einen geringen Schichtwiderstand zu erreichen. Die Dotierstoffkonzentration ist in einer Ausführungsform ausreichend, um einen Schichtwiderstand von ungefähr 0,05 Ohm cm oder weniger zu erreichen. Das Bereitstellen eines Substrats mit einem anderen Schichtwiderstand kann ebenfalls geeignet sein. In anderen Ausführungsformen ist das Substrat ein intrinsisches Substrat.
  • Das Trägesubstrat umfasst in einer Ausführungsform ein Substrat mit Aussparungen. Das Substrat mit Aussparungen enthält eine Aussparung 128. Das Substrat mit Aussparungen wird beispielsweise zur Herstellung von MEMS-Bauelementen verwendet. Das Bereitstellen eines Substrats ohne Aussparungen, mit welchem ein MEMS-Bauelement hergestellt wird, kann ebenfalls geeignet sein. In anderen Ausführungsformen dient das Trägersubstrat als Gehäuse oder Gehäusestruktur eines Bauelements.
  • In einer Ausführungsform umfasst das Substrat mit Aussparungen ein Hauptsubstrat oder Vollsubstrat 121 mit einer Aussparung 128. Die Oberfläche des Trägersubstrats ohne die Aussparung dient beispielsweise als die zweite Oberfläche des Trägersubstrats. Ein Oberflächensubstrat 123 ist auf dem Vollsubstrat mit der Aussparung angeordnet. Eine obere Fläche des Oberflächensubstrats dient beispielsweise als die erste Oberfläche des Trägersubstrats. Die Substrate können Silizium aufweisen. Es können auch andere Arten an kristallinem Material geeignet sein. Zu beachten ist, dass die Vollsubstrate und Oberflächensubstrate aus der gleichen Art an Material aufgebaut sein müssen.
  • Optional kann eine vergrabene dielektrische Schicht 126 zwischen dem Vollsubstrat und dem Oberflächensubstrat vorgesehen sein. Die vergrabene dielektrische Schicht kann beispielsweise ein Siliziumoxid sein. Es können auch andere Arten an dielektrischen Materialien verwendet werden, um die vergrabene dielektrische Schicht zu erzeugen. Die anfängliche Dicke des Vollsubstrats liegt beispielsweise im Bereich von 500 bis 725 μm und die endgültige Dicke des Vollsubstrats liegt etwa im Bereich von 50 bis 200 μm, die Dicke der vergrabenen dielektrischen Schicht kann im Bereich von 0,5 bis 4 μm und die Dicke des Oberflächensubstrats kann im Bereich von 2 bis 50 μm liegen. Beispielsweise beträgt die Dicke des Oberflächensubstrats von ungefähr 2 bis 38 μm. Andere Dickenwerte für die unterschiedlichen Schichtenwerte für die unterschiedlichen Schichten des Trägersubstrats können ebenfalls verwendet werden. Eine derartige Konfiguration erzeugt beispielsweise ein Kristall-auf-Isolator-(COI)Substrat, etwa ein Silizium-auf-Isolator (SOI) Substrat. Das SOI-Substrat kann Strukturelemente und Bauteilschichten aufweisen, die ein MEMS-Bauelement bilden.
  • In 4 wird das Trägersubstrat so strukturiert, dass ein Verbindungsisolationsgraben 465 erzeugt wird. In einer Ausführungsform wird der Verbindungsisolationsgraben aus der ersten Oberfläche des Trägersubstrats strukturiert. Das Strukturieren von Substraten kann erreicht werden unter Verwendung von Maskierungs- und Ätztechniken. Beispielsweise wird eine Hartmaske, etwa in Form von Siliziumoxid, verwendet. Andere Arten von Hartmasken können ebenfalls eingesetzt werden. Die Hartmaske wird so strukturiert, dass eine Öffnung erzeugt wird, die dem Isolator der Verbindung entspricht. Zur Strukturierung der Hartmaske kann eine welche Maske, etwa Photolack, verwendet werden. Der Photolack wird selektiv belichtet und entwickelt, um eine Öffnung zu erzeugen, so dass die Hartmaske, die dem Verbindungsisolationsgraben entspricht, freigelegt wird. Um die lithographische Auflösung zu verbessern, kann eine antireflektierende Beschichtung (ARC) unterhalb des Photolacks verwendet werden. Das Muster der weichen Maske wird in die Hartmaske beispielsweise durch eine reaktive Ionenätzung (RIE) übertragen, um damit die Oberfläche des Trägersubstrats freizulegen.
  • Es wird eine tiefe RIE (DRIE) angewendet, um den Verbindungsisolationsgraben zu erzeugen. Die DRIE ätzt beispielsweise durch das Oberflächensubstrat, die vergrabene isolierende dielektrische Schicht und einen Teil des Vollsubstrats. Andere Techniken zum Strukturieren des Substrats zur Erzeugung des Verbindungsisolationsgrabens können ebenfalls eingesetzt werden. Nach dem Strukturieren des Trägersubstrats werden die diversen Maskenschichten entfernt, um die Oberfläche des Trägersubstrats freizulegen.
  • In einer Ausführungsform erzeugt die DRIE einen Verbindungsisolationsgraben. Die DRIE erzeugt Isolationsgräben mit einem Seitenwandprofil oder einem Winkel von ungefähr 90 Grad. Beispielsweise kann die DRIE Isolationsgräben mit einem Seitenwandwinkel von ungefähr 89 Grad +/– 0,5 Grad erzeugen. Andere Seitenwandwinkel können ebenfalls für die Isolationsgräben geeignet sein. Wiedereintritts-Profile sollen für den Graben vermieden werden, da dies Hohlräume in dem Grabenfüllmaterial hervorrufen kann.
  • Der Isolationsgraben umgibt beispielsweise die Verbindung. Die Dicke des Grabens beträgt ungefähr 2 μm. Es können auch andere Dickenwerte verwendet werden. Die Dicke hängt von unterschiedlichen Faktoren ab, beispielsweise von der Fülleigenschaft im Hinblick auf das Aspektverhältnis der DRIE und/oder den elektrischen Isolationsanforderungen. Beispielsweise wird die minimale Breite durch die gewünschte Tiefe des Grabens und die Ätzfähigkeit bei hohen Aspektverhältnissen der DRIE festgelegt. Die Tiefe des Isolationsgrabens sollte zumindest größer sein als die endgültige Dicke des Trägersubstrats. Vorzugsweise ist der Isolationsgraben tiefer als die endgültige Dicke und dies vergrößert das Prozessfenster. Beispielsweise kann die Tiefe des Grabens geringfügig tiefer als 80 μm für ein Trägersubstrat mit einer endgültigen Dicke von ungefähr 80 μm sein. Obwohl lediglich ein Verbindungsisolationsgraben für eine Verbindung gezeigt ist, sollte beachtet werden, dass weitere Verbindungsgräben für zusätzliche Verbindungen hergestellt werden können.
  • Gemäß 4c wird der Verbindungsisolationsgraben mit einem dielektrischen Material gefüllt. Der Isolationsgraben wird beispielsweise mit Siliziumoxid gefüllt. Das Siliziumoxid kann durch LPCVD oder nassthermische Oxidation aufgebracht werden. Diese Abscheidetechniken besitzen ein konformes Verhalten. Andere Techniken zum Einfüllen des dielektrischen Materials können ebenfalls geeignet sein. Überschüssiges dielektrisches Material über dem Substrat wird entfernt, um den Verbindungsisolator bzw. das Verbindungsdielektrikum 166 zu erzeugen. Das Entfernen des überschüssigen Materials umfasst in einer Ausführungsform einen Einebnungsprozess, etwa ein chemisch-mechanisches Polieren (CMP). Andere Arten von Einebnungsprozessen können ebenfalls eingesetzt werden. Der Einebnungsprozess erzeugt eine ebene obere Fläche zwischen der dielektrischen Schicht und der Oberfläche des Trägersubstrats. Nach dem Einebnungsprozess werden die Substratoberflächen mit der ersten und der zweiten dielektrischen Oberflächenschicht 432 bzw. 434 beschichtet. Die dielektrischen Oberflächenschichten umfassen beispielsweise Siliziumoxid. Es können auch andere Arten an dielektrischen Materialien eingesetzt werden.
  • Gemäß 4d wird das Substrat strukturiert, um Teilkontaktdurchführungen 475 1 bis 475 i zu erzeugen. Das Strukturieren des Substrats kann unter Anwendung von Maskierungs- und Ätztechniken bewerkstelligt werden. Beispielsweise wird eine Hartmaske, etwa aus Siliziumoxid, verwendet. Es können auch andere Arten von Hartmasken verwendet werden. Die Hartmaske kann strukturiert werden, um Öffnungen zu erzeugen, die den Teilkontaktdurchführungen entsprechen. Zur Strukturierung der Hartmaske wird eine weiche Maske, etwa Photolack, verwendet. Der Photolack wird selektiv belichtet und entwickelt, um Öffnungen zu erzeugen, die die Hartmaske freilegen, die den Teilkontaktdurchführungen entspricht. Um die lithographische Auflösung zu verbessern, kann eine antireflektierende Beschichtung (ARC) und dem Photolack vorgesehen werden. Das Muster der weichen Maske wird in die Hartmaske durch beispielsweise reaktive Ionenätzung (RIE) übertragen, so dass die Oberfläche des Trägersubstrats freigelegt wird.
  • Es wird eine tiefe RIE (DRIE) beispielsweise angewendet, um die Teilkontaktdurchführungen zu erzeugen. In einer Ausführungsform erzeugt die DRIE die Kontaktdurchführungen bzw. die entsprechenden Öffnungen mit einem Seitenwandprofil oder Winkel von ungefähr 90 Grad. Beispielsweise erzeugt die DRIE Kontaktdurchführungen mit einem Seitenwandwinkel von ungefähr 89 Grad +/– 0,5 Grad. Es können auch andere Seitenwandwinkel für die Kontaktdurchführungen verwendet werden. Wiedereintritts-Profile sollten vermieden werden für die Kontaktdurchführungen, da dies Hohlräume in dem Kontaktdurchführungsfüllmaterial hervorrufen kann.
  • Die Tiefe der Kontaktdurchführungen liegt bei ungefähr der Grenzfläche des ersten und des zweiten Bereichs der Verbindung. In dem Falle, in welchem eine vergrabene dielektrische Schicht das Oberflächensubstrat von der Unterseite oder dem Vollsubstrat trennt, ist die Grenzfläche des ersten und des zweiten Bereichs unter der vergrabenen isolierenden Schicht in dem Vollsubstrat angeordnet. Beispielsweise liegt die Grenzfläche oder die Tiefe der Kontaktdurchführungen bei ungefähr 3 bis 10 μm innerhalb des Unterseitensubstrats. Es können auch andere Tiefenwerte verwendet werden. Die Tiefe der Kontaktdurchführungen hängt beispielsweise von Faktoren ab, wie die Tiefe der vergrabenen isolierenden Schicht, dem gewünschten Gesamtwiderstand der Verbindung und/oder der endgültigen Dicke des Trägersubstrats.
  • In einigen Ausführungsformen wird eine einzelne Teilkontaktdurchführung für die Verbindung hergestellt. In einer Ausführungsform ist der Querschnitt der Teilkontaktdurchführungen eine rechteckige Form. Es können auch andere Querschnittsformen verwendet werden. Der Durchmesser des Querschnitts beträgt ungefähr 1 μm. Es können auch andere Größen für den Querschnitt der Teilkontaktdurchführungen angewendet werden. Es ist ferner zu beachten, dass nicht alle Teilkontaktdurchführungen der geometrischen Ausgestaltung bzw. des Layouts die gleiche Querschnittsform und/oder Größe besitzen müssen.
  • Wie in 4d gezeigt ist, wird ein leitendes Material 476 auf das Substrat abgeschieden, wodurch die Teilkontaktdurchführungen gefüllt werden. Vor dem Füllen der Teilkontaktdurchführungen werden natürlich Oxide und nach dem Ätzen entstandene Schichten, die durch das Ätzen zur Erzeugung der Teilkontaktdurchführungen erzeugt wurden, entfernt oder diese sollten vermieden werden. Das Entfernen derartiger Schichten kann beispielsweise durch einen Reinigungsprozess oder nasschemischen Ätzprozess bewerkstelligt werden.
  • In einer Ausführungsform werden die Teilkontaktdurchführungen mit Polysilizium gefüllt, um Kontaktdurchführungspfropfen bzw. Elemente 176 1 bis 176 i zu erzeugen. Das Auffüllen der Teilkontaktdurchführungen mit Polysilizium kann beispielsweise durch chemische Dampfabscheidung (CVD) erreicht werden. Andere Techniken zum Auffüllen der Teilkontaktdurchführungen können ebenfalls eingesetzt werden. In einer Ausführungsform werden die Teilkontaktdurchführungen mit stark dotiertem Polysilizium aufgefüllt. In einer Ausführungsform werden die Teilkontaktdurchführungen mit stark dotiertem Polysilizium der ersten Leitfähigkeitsart gefüllt. Das stark dotierte Polysilizium kann in-situ-dotiert werden. Die Dotierstoffkonzentration des Polysiliziums kann ungefähr 2 × 1020 Atome/cm3 betragen. Wie gezeigt beschichtet das Polysilizium auch die Unterseite des Trägersubstrats. Beispielsweise ist das Polysilizium auf der dielektrischen Schicht an der Unterseite des Trägersubstrats ausgebildet, wodurch eine unten liegende Polysiliziumschicht 277 erzeugt wird.
  • In anderen Ausführungsformen werden die Teilkontaktdurchführungen mit anderen Arten an leitendem Material aufgefüllt. Beispielsweise werden die Teilkontaktdurchführungen mit einem metallischen Material oder einer Legierung gefüllt. Zu derartigen Materialien gehören beispielsweise Kupfer, Kupferlegierungen, Wolfram, Aluminium oder eine Kombination davon. Abhängig von den Prozessanforderungen kann das leitende Material auch die Unterseite des Trägersubstrats bedecken.
  • In 4f wird überschüssiges leitendes Material über der Oberfläche des Trägersubstrats abgetragen, wodurch eine Kontaktdurchführungsfläche 179 zurückbleibt, die mit den Kontaktdurchführungspfropfen bzw. Elementen verbunden ist. Das Entfernen des überschüssigen leitenden Materials kann mittels eines Rückätzprozesses unter Anwendung von beispielsweise einer Ätzmaske bewerkstelligt werden. Die Ätzmaske schützt das leitende Material, an der Stelle, an der die Kontaktdurchführungsfläche ausgebildet wird, während das nicht geschützte leitende Material entfernt werden kann. Der Rückätzprozess entfernt das leitende Material selektiv zu der dielektrischen Oberflächenschicht 432.
  • Wie in 4g gezeigt ist, wird eine dielektrische Schicht 433 auf der ersten dielektrischen Oberflächenschicht erzeugt. Die dielektrische Schicht vergrößert die Dicke der ersten dielektrischen Oberflächenschicht 432 und wird verwendet, um die Kontaktdurchführung zu isolieren. In einer Ausführungsform umfasst die dielektrische Schicht Siliziumoxid. Das Siliziumoxid kann beispielsweise durch PECVD hergestellt werden. Es können auch andere Arten an dielektrischen Materialien oder Abscheidetechniken eingesetzt werden. In einer Ausführungsform ist die dielektrische Schicht 433 aus dem gleichen Material aufgebaut wie die erste dielektrische Oberflächenschicht. Das Vorsehen dielektrischer Schichten mit unterschiedlichen dielektrischen Materialien kann ebenfalls geeignet sein.
  • Gemäß 4h wird eine Kontaktöffnung 478 in der dielektrischen Schicht 433 gebildet, um die Kontaktdurchführungsfläche freizulegen. Die Kontaktöffnung kann hergestellt werden unter Anwendung von Maskierungs- und Ätztechniken. Beispielsweise wird eine weiche Maske durch Belichten mittels einer Belichtungsquelle durch ein Retikel strukturiert und entwickelt, um unerwünschtes Material zur Erzeugung einer Öffnung zu entfernen. Das Muster der weichen Maske wird in die dielektrische Schicht durch RIE übertragen, um damit die Öffnung zum Freilegen der Kontaktdurchführungsfläche zu erzeugen.
  • Eine Leiterbahn 140 wird auf der dielektrischen Schicht über der ersten Oberfläche des Trägersubstrats hergestellt, wie es in 4i gezeigt ist. Die leitende Bahn bzw. Leiterbahn wird beispielsweise durch Abscheiden eines leitenden Materials auf der dielektrischen Schicht und durch Füllen der Kontaktöffnung hergestellt. Das leitende Material ist beispielsweise Kupfer oder eine Kupferlegierung. Das leitende Material kann durch PVD abgeschieden werden. Beispielsweise kann das leitende Material durch Sputtern oder Aufdampfen aufgebracht werden. Andere Arten an leitenden Materialien oder andere Abscheidetechniken können ebenfalls eingesetzt werden. Die leitende Schicht wird unter Anwendung von beispielsweise Maskierungs- und Ätztechniken strukturiert, um Leiterbahnen zu erzeugen, wozu eine erste Kontaktfläche 146 und eine zweite Kontaktfläche 148 gehören. Die strukturierte leitende Schicht dient beispielsweise als RDL, um eine Verbindung zwischen der Kontaktfläche und der Verbindung zu schaffen.
  • Gemäß 4j wird ein Halbleiterbauelement 220, etwa ein CMOS-Bauelement, an dem Trägersubstrat angebracht. Es können auch andere Arten von Bauelementen vorgesehen werden. In einer Ausführungsform wird das Halbleiterbauelement an der ersten Oberfläche des Trägersubstrats unter Anwendung einer eutektischen Verbindung angebracht. Die eutektische Verbindung kann Materialien, etwa Al, Cu, Au, Ag, In, Sn, Ge, Lotmaterial oder Si umfassen. In anderen Ausführungsformen wird das Halbleiterbauelement an dem Trägersubstrat unter Anwendung von Klebeverbindungen, etwa BCB, SU8 oder SU8 mit Si-Nanoteilchen angebracht. Es können auch andere Klebemittel oder Verbindungsverfahren, etwa eine Glasmasse verwendet werden, wobei dies von den Prozesserfordernissen abhängt.
  • Das Halbleiterbauelement enthält ein Substrat mit einer ersten und einer zweiten Hauptoberfläche. Die erste Hauptoberfläche 222 bildet die obere Fläche des Halbleiterbauelements, wohingegen die zweite Hauptoberfläche 224 die untere Fläche des Halbleiterbauelements bildet. Eine dielektrische Schicht 234 ist unter der zweiten Hauptoberfläche des Halbleiterbauelements ausgebildet. Es wird eine leitende Schicht unter der dielektrischen Schicht hergestellt. Die leitende Schicht wird strukturiert, wobei beispielsweise Maskierungs- und Ätztechniken angewendet werden, um Leiterbahnen zu erzeugen, wozu eine erste Kontaktfläche 246 und eine zweite Kontaktfläche 248 gehören. Die strukturierten Leiterbahnen des Halbleiterbauelements ergeben beispielsweise eine elektrische Verbindung zwischen den Kontaktflächen und der Verbindung bzw. der Zwischenverbindung des Trägersubstrats.
  • Wie in 4j gezeigt ist, wird das Trägersubstrat auf eine gewünschte Dicke durch Entfernen von Materialien von der zweiten Oberfläche gedünnt. Das angebrachte Halbleiterbauelement auf der Oberseite des Trägersubtrats dient daher als Haltemittel, um das Trägersubstrat zu dünnen. Die leitende Schicht und die dielektrische Oberflächenschicht auf der zweiten Substratoberfläche des Trägersubstrats werden beispielsweise durch Ätzung entfernt. Beispielsweise wird ein Trockenätzprozess oder ein Nassätzprozess angewendet, um die leitende Schicht und die dielektrische Oberflächenschicht auf der zweiten Substratoberfläche des Trägersubstrats zu entfernen. Das Trägersubstrat kann durch Schleifen gedünnt werden. Nach dem Dünnen des Trägersubstrats bis zur gewünschten Dicke, wird somit die Verbindung bzw. Zwischenverbindung, die von den Verbindungsisolator umschlossen ist, freigelegt.
  • Es wird eine dielektrische Oberflächenschicht 134 auf der zweiten Oberfläche 124 des Trägersubstrats gebildet, wie in 4k gezeigt ist. Es wird eine Kontaktöffnung 578 in der dielektrischen Schicht 134 erzeugt, um die Verbindung freizulegen. Die Kontaktöffnung kann unter Anwendung von Maskierungs- und Ätztechniken hergestellt werden. Beispielsweise wird eine weiche Maske durch Belichten mittels einer Belichtungsquelle durch ein Retikel strukturiert und entwickelt, um dann unerwünschtes Maskenmaterial zum Erzeugen einer Öffnung zu entfernen. Das Muster der weichen Maske wird in die dielektrische Schicht mittels RIE übertragen, um die Öffnung zum Freilegen des Substrats zu erzeugen.
  • Es wird eine Leiterbahn 540 auf der dielektrischen Schicht 124 über der zweiten Oberfläche des Trägersubstrats hergestellt. Es können externe Kontakte, etwa Kontaktkügelchen, an den Kontaktöffnungen hergestellt werden.
  • Der Fertigungsprozess geht beispielsweise weiter, indem Kontaktöffnungen zur Verbindung, eine zweite Leiterbahn und eine zweite Kontaktfläche auf der zweiten Trägeroberfläche hergestellt werden. Das Gehäusekontaktelement kann auf der zweiten Kontaktfläche erzeugt werden. Danach folgt ein Zerteilen des Trägersubstrats, auf welchem das Halbleiterbauelement ausgebildet ist, in einzelne Gehäuseabschnitte.
  • Die Erfindung kann auch in anderen speziellen Formen verwirklicht werden, ohne von dem Grundgedanken oder den wesentlichen Eigenschaften abzuweichen. Die vorhergehenden Ausführungsformen sind daher lediglich als anschaulich und nicht als Beschränkung der beschriebenen Erfindung zu betrachten. Der Schutzbereich der Erfindung ist durch die angehängten Patenansprüche und nicht durch die vorhergehende Beschreibung festgelegt, und alle Änderungen, die innerhalb der Bedeutung und des Äquivalenzbereichs der Ansprüche liegen, sollen hierin mit eingeschlossen sein.

Claims (20)

  1. Verfahren mit: Bereistellen eines Trägesubstrats mit einer ersten und einer zweiten Hauptoberfläche; und Bilden einer Verbindung durch die erste und die zweite Hauptoberfläche in dem Trägersubstrat, wobei die Verbindung einen ersten und einen zweiten Bereich aufweist, wobei der erste Bereich sich von der ersten oder der zweiten Hauptoberfläche erstreckt, wobei der zweite Bereich sich von der anderen Hauptoberfläche erstreckt, wobei das Bilden der Verbindung umfasst: Bilden eines Teilkontaktdurchführungselements mit einem leitenden Material in dem ersten Bereich der Verbindung, wobei das Kontaktdurchführungselement eine Unterseite an ungefähr einer Grenzfläche des ersten und des zweiten Bereichs besitzt; und Vorsehen des zweiten Bereichs der Verbindung als ein stark dotierter Bereich mit Dotiermitteln einer ersten Leitfähigkeitsart.
  2. Verfahren nach Anspruch 1, wobei das leitende Material Polysilizium umfasst.
  3. Verfahren nach Anspruch 2, wobei das Polysilizium mit Dotiermitteln der ersten Leitfähigkeitsart dotiert wird.
  4. Verfahren nach Anspruch 1, wobei die erste Leitfähigkeitsart eine n-Leitfähigkeitsart ist.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Isolationsgrabens, der die Verbindung umgibt, um die Verbindung von Bereichen des Trägersubstrats zu trennen.
  6. Verfahren nach Anspruch 5, das umfasst: Füllen des Isolationsgrabens mit einem dielektrischen Material, das den Isolationsgraben füllt und über der ersten Hauptfläche des Trägersubstrats aufgebracht wird.
  7. Verfahren nach Anspruch 6, das umfasst: Entfernen von überschüssigem dielektrischen Material über dem Trägersubstrat, um eine ebene erste dielektrische Oberflächenschicht über der ersten Hauptoberfläche des Trägersubstrats zu erzeugen.
  8. Verfahren nach Anspruch 1, wobei das Teilkontaktdurchführungselement durch eine tiefe reaktive Ionenätzung erzeugt wird.
  9. Verfahren nach Anspruch 1, wobei Bilden eines Teilkontaktdurchführungselements umfasst: Strukturieren des Trägersubstrats zur Erzeugung einer Teilkontaktdurchführungsöffnung; Abscheiden eines leitenden Materials auf dem Trägersubstrat und Füllen der Teilkontaktdurchführungsöffnung; und Entfernen von überschüssigem leitenden Material über dem Trägersubstrat, wodurch eine Kontaktdurchführungsanschlussfläche zurückbleibt, die mit dem Teilkontaktdurchführungselement verbunden ist.
  10. Verfahren nach Anspruch 9, das umfasst: Bilden einer dielektrischen Schicht über der ersten dielektrischen Oberflächenschicht.
  11. Verfahren nach Anspruch 10, das umfasst: Bilden einer Kontaktöffnung in der dielektrischen Schicht, um die Kontaktdurchführungsanschlussfläche freizulegen.
  12. Verfahren nach Anspruch 11, das umfasst: Bilden einer Leiterbahn auf der dielektrischen Schicht, die mit der Kontaktdurchführungsanschlussfläche in Verbindung steht.
  13. Verfahren nach Anspruch 1, das umfasst: Anbringen eines Halbleiterbauelements über dem Trägersubstrat.
  14. Verfahren zur Herstellung eines Halbleitergehäuses mit: Bereitstellen eines Trägersubstrats mit einer ersten und einer zweiten Hauptoberfläche; Bilden einer Verbindung durch die erste und die zweite Hauptoberfläche in dem Trägersubstrat, wobei die Verbindung einen ersten und einen zweiten Bereich aufweist, wobei der erste Bereich sich von der ersten oder der zweiten Oberfläche erstreckt und wobei der zweite Bereich sich von der anderen Hauptoberfläche erstreckt, wobei Bilden der Verbindung umfasst: Bilden eines Teilkontaktdurchführungselements, das ein leitendes Material aufweist, in einem ersten Bereich der Verbindung, wobei das Kontaktdurchführungselement eine Unterseite bei ungefähr einer Grenzfläche des ersten und des zweiten Bereichs aufweist, und Vorsehen des zweiten Bereichs der Verbindung als einen stark dotierten zweiten Bereich mit Dotiermitteln einer ersten Leitfähigkeitsart; und Vorsehen eines Halbleiterbauelements über dem Trägersubstrat.
  15. Bauelement mit: einem Trägersubstrat mit einer ersten und einer zweiten Hauptoberfläche; einer Verbindung, die sich durch die erste und die zweite Hauptoberfläche des Substrats erstreckt, wobei die Verbindung einen ersten Bereich und einen zweiten Bereich aufweist, wobei der erste Bereich sich von der ersten oder der zweiten Hauptoberfläche erstreckt und wobei der zweite Bereich sich von der anderen Hauptoberfläche erstreckt, wobei die Verbindung umfasst: ein Teilkontaktdurchführungselement mit einem leitenden Material in einem ersten Bereich der Verbindung, wobei das Kontaktdurchführungselement eine Unterseite bei ungefähr eine Grenzfläche des ersten und des zweiten Bereichs besitzt, und der zweite Bereich der Verbindung stark mit Dotiermitteln einer ersten Leitfähigkeitsart dotiert ist.
  16. Bauelement nach Anspruch 15, wobei das leitende Material Polysilizium umfasst.
  17. Bauelement nach Anspruch 16, wobei das Polysilizium mit Dotiermitteln der ersten Leitfähigkeitsart dotiert ist.
  18. Bauelement nach Anspruch 15, wobei die erste Leitfähigkeitsart eine n-Leitfähigkeitsart ist.
  19. Bauelement nach Anspruch 15, das einen Isolationsgraben umfasst, der die Verbindung umschließt, so dass die Verbindung von Bereichen des Trägersubstrats getrennt ist.
  20. Bauelement nach Anspruch 19, wobei der Isolationsgraben ein dielektrisches Material enthält.
DE102011088581.1A 2011-03-21 2011-12-14 Verfahren zur Herstellung von Gehäuseverbindungen und damit hergestelltes Bauelement Active DE102011088581B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/052,134 2011-03-21
US13/052,134 US8513767B2 (en) 2011-03-21 2011-03-21 Package interconnects

Publications (2)

Publication Number Publication Date
DE102011088581A1 true DE102011088581A1 (de) 2012-09-27
DE102011088581B4 DE102011088581B4 (de) 2020-07-30

Family

ID=46831741

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102011088581.1A Active DE102011088581B4 (de) 2011-03-21 2011-12-14 Verfahren zur Herstellung von Gehäuseverbindungen und damit hergestelltes Bauelement

Country Status (6)

Country Link
US (1) US8513767B2 (de)
KR (1) KR20120107414A (de)
CN (1) CN102693936B (de)
DE (1) DE102011088581B4 (de)
SG (1) SG184624A1 (de)
TW (1) TWI463604B (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014184035A1 (de) * 2013-05-14 2014-11-20 Robert Bosch Gmbh Verfahren zum erzeugen eines durchkontakts in einem cmos-substrat
WO2017004063A1 (en) * 2015-07-02 2017-01-05 Kionix, Inc. Electronic systems with through-substrate interconnects and mems device
EP3855483A1 (de) * 2020-01-21 2021-07-28 Murata Manufacturing Co., Ltd. Verbindungen durch einen interposer unter verwendung von blinden durchkontaktierungen

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8895211B2 (en) 2012-12-11 2014-11-25 GlobalFoundries, Inc. Semiconductor device resolution enhancement by etching multiple sides of a mask
US9776856B2 (en) * 2013-12-20 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum sealed MEMS and CMOS package
US9202792B2 (en) * 2014-04-25 2015-12-01 Taiwan Semiconductor Manufacturing Company Limited Structure and method of providing a re-distribution layer (RDL) and a through-silicon via (TSV)
CN107424953B (zh) * 2016-05-23 2020-06-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
US9935079B1 (en) 2016-12-08 2018-04-03 Nxp Usa, Inc. Laser sintered interconnections between die
JP6981040B2 (ja) * 2017-05-17 2021-12-15 セイコーエプソン株式会社 封止構造、電子デバイス、電子機器、および移動体
US10163758B1 (en) * 2017-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
CN111261606B (zh) 2019-02-18 2020-11-17 长江存储科技有限责任公司 贯穿硅触点结构及其形成方法
CN113013131A (zh) * 2019-12-20 2021-06-22 群创光电股份有限公司 发光装置及包含其的拼接式显示器
US11557565B2 (en) 2020-10-06 2023-01-17 Nxp Usa, Inc. Semiconductor device assembly and method therefor
US11502054B2 (en) 2020-11-11 2022-11-15 Nxp Usa, Inc. Semiconductor device assembly and method therefor

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3850855T2 (de) 1987-11-13 1994-11-10 Nissan Motor Halbleitervorrichtung.
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
EP2560199B1 (de) 2002-04-05 2016-08-03 STMicroelectronics S.r.l. Verfahren zum Herstellen einer durchisolierten Verbindung in einem Körper aus einem Halbleitermaterial
US6716737B2 (en) 2002-07-29 2004-04-06 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
WO2005088699A1 (en) 2004-03-10 2005-09-22 Koninklijke Philips Electronics N.V. Method of manufacturing an electronic device and a resulting device
US7354809B2 (en) * 2006-02-13 2008-04-08 Wisconsin Alumi Research Foundation Method for double-sided processing of thin film transistors
JP2010219210A (ja) * 2009-03-16 2010-09-30 Renesas Electronics Corp 半導体装置およびその製造方法
US8330239B2 (en) * 2009-04-29 2012-12-11 Freescale Semiconductor, Inc. Shielding for a micro electro-mechanical device and method therefor
US8536031B2 (en) * 2010-02-19 2013-09-17 International Business Machines Corporation Method of fabricating dual damascene structures using a multilevel multiple exposure patterning scheme

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014184035A1 (de) * 2013-05-14 2014-11-20 Robert Bosch Gmbh Verfahren zum erzeugen eines durchkontakts in einem cmos-substrat
WO2017004063A1 (en) * 2015-07-02 2017-01-05 Kionix, Inc. Electronic systems with through-substrate interconnects and mems device
US10315915B2 (en) 2015-07-02 2019-06-11 Kionix, Inc. Electronic systems with through-substrate interconnects and MEMS device
US10829366B2 (en) 2015-07-02 2020-11-10 Kionix, Inc. Electronic systems with through-substrate interconnects and MEMS device
EP3855483A1 (de) * 2020-01-21 2021-07-28 Murata Manufacturing Co., Ltd. Verbindungen durch einen interposer unter verwendung von blinden durchkontaktierungen
WO2021148977A1 (en) * 2020-01-21 2021-07-29 Murata Manufacturing Co., Ltd. Through-interposer grounding using blind vias

Also Published As

Publication number Publication date
TW201240018A (en) 2012-10-01
CN102693936A (zh) 2012-09-26
KR20120107414A (ko) 2012-10-02
TWI463604B (zh) 2014-12-01
CN102693936B (zh) 2016-06-01
US20120241901A1 (en) 2012-09-27
SG184624A1 (en) 2012-10-30
DE102011088581B4 (de) 2020-07-30
US8513767B2 (en) 2013-08-20

Similar Documents

Publication Publication Date Title
DE102011088581B4 (de) Verfahren zur Herstellung von Gehäuseverbindungen und damit hergestelltes Bauelement
DE112007000267B4 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE102016100270B4 (de) Bondstrukturen und verfahren zu ihrer herstellung
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE19813239C1 (de) Verdrahtungsverfahren zur Herstellung einer vertikalen integrierten Schaltungsstruktur und vertikale integrierte Schaltungsstruktur
DE10200399B4 (de) Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
DE102012104270B4 (de) Halbleiterkomponente, Halbleiterkomponentenanordnung und Verfahren zum Herstellen einer Halbleiterkomponente
DE112010004204B4 (de) Koaxiale Silizium-Durchkontaktierung und Herstellungsverfahren
DE102005004160B4 (de) CSP-Halbleiterbaustein, Halbleiterschaltungsanordnung und Verfahren zum Herstellen des CSP-Halbleiterbausteins
DE102008033395B3 (de) Verfahren zur Herstellung eines Halbleiterbauelementes und Halbleiterbauelement
DE10205026C1 (de) Halbleitersubstrat mit einem elektrisch isolierten Bereich, insbesondere zur Vertikalintegration
DE102016115000B4 (de) Dreidimensionale integrierte Schaltungs-(3DIC)-Vorrichtung und Verfahren zu deren Herstellung und ein Verfahren zum Bonden von Wafern mittels Hybrid-Bonden
DE102011087279A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102017122650B4 (de) Halbleiterchip einschliesslich einer selbstausgerichteten rückseitigen leitfähigen schicht und verfahren zum herstellen desselben
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102008044984A1 (de) Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
DE102007019552A1 (de) Substrat mit Durchführung und Verfahren zur Herstellung desselben
DE102016226280B4 (de) Vorrichtungsschichtübertragung mit einem erhaltenen handhabungs-waferabschnitt
DE102020116884B4 (de) Übergrosse Durchkontaktierung als Substratdurchkontaktierungsstoppschicht (TSV-Stoppschicht)
DE102013106153B4 (de) Zwischenverbindungsstruktur für eine gestapelte Vorrichtung und Verfahren
DE102016211222B3 (de) Transistor mit mehreren Substratgates
DE102021110267A1 (de) Deep-Partition-Leistungsabgabe mit Tiefgrabenkondensator
EP0698293B1 (de) Verfahren zur herstellung eines halbleiterbauelements mit stromanschlüssen für hohe integrationsdichte
WO2006066690A1 (de) Bauelement mit halbleiterübergang und verfahren zur herstellung
DE102014101283A1 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021740000

Ipc: H01L0021580000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021740000

Ipc: H01L0021580000

Effective date: 20130924

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R088 Exclusive licence registered
R020 Patent grant now final