CN1798868A - 电镀淀积方法 - Google Patents

电镀淀积方法 Download PDF

Info

Publication number
CN1798868A
CN1798868A CN03810296.XA CN03810296A CN1798868A CN 1798868 A CN1798868 A CN 1798868A CN 03810296 A CN03810296 A CN 03810296A CN 1798868 A CN1798868 A CN 1798868A
Authority
CN
China
Prior art keywords
layer
conductive material
substrate surface
electro
solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN03810296.XA
Other languages
English (en)
Inventor
迪恩希·帕希
约瑟夫·叶海雷
西瓦卡米·拉马纳坦
克里斯·R·麦格克
斯里尼瓦桑·甘迪科塔
吉里什·迪克西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1798868A publication Critical patent/CN1798868A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1694Sequential heat treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1834Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1837Multistep pretreatment
    • C23C18/1844Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1879Use of metal, e.g. activation, sensitisation with noble metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1882Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • C23C18/50Coating with alloys with alloys based on iron, cobalt or nickel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • Chemically Coating (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种通过无电淀积技术形成金属或金属硅化物层的方法与设备。在一个方面,提供一种处理基材的方法,包含淀积启始层于基材表面,清洁基材表面,及通过将启始层曝露至无电溶液中,淀积导体材料于启始层上。该方法还包含以酸溶液蚀刻基材表面并在淀积启始层前,清洗掉基材上的酸溶液。启始层可以通过将基材表面曝露至贵金属无电溶液或含硼烷溶液形成。导体材料可用含硼烷还原剂加以淀积。导体材料可作为钝化层、阻障层、种晶层或用以形成金属硅化物层。

Description

电镀淀积方法
技术领域
本发明涉及半导体装置的制造,及在半导体基材上,淀积、移除、及修改材料的设备与方法。
背景技术
在半导体基材上的超大规模集成电路(ULSI)的电路最新改良表示未来时代的半导体装置将需要次四分之一微米的多层金属化。作为此技术心脏部份的多层内联机需要形成为高深宽比孔径的内联机特征的平坦化,这些特征包含接触、导孔、线路及其它特征。当这些内联机特征的尺寸降低至低于0.13微米时,这些特征的可靠形成,对于ULSI的成功及增加在个别基材及晶粒上的电路密度及品质的努力是相当重要的。
现在,铜及其合金已经变成次微米内联机技术的选择金属,因为铜具有较铝为低的电阻率(1.7μΩ-cm相较于铝之3.1μΩ-cm)、较高的电流承载能力、及较高的电迁移抵抗性。这些特征对于支撑在高积集度的高电流密度及增加的装置速度是很重要的。另外,铜具有良好的导热率并可以在很纯状态下取得。
电镀为一种在基材上,填充高深宽比特征的工艺。电镀工艺通常需要薄的导电种晶层被淀积在基材上。电镀通过施加电流至种晶层并将基材曝露至含金属离子的电解溶液,以将金属离子镀于种晶层上。
无电淀积为另一种淀积导电材料的工艺。虽然无电淀积技术已经大量用以淀积导电材料至非导体印刷电路板上,但无电淀积技术并未密集地用以形成在VLSI及ULSI半导体中的内联机。无电淀积涉及自动催化化学淀积工艺,其并不需要施加作为电镀反应的电流。无电淀积通常通过将基材浸渍在槽中或将溶液喷在基材上,而将基材曝露至溶液。
然而,在曝露至大气条件或处理设备外的环境时,铜迅速形成铜氧化物,因而,需要一钝化层,以防止金属氧化物的形成。金属氧化物可以造成金属层的电阻增加、变成微粒源的问题、并降低整个电路的可靠度。
另外,金属氧化物也可能对后续处理造成负面危害。在一个例子中,氧化物可以干扰无电淀积技术。无电淀积技术需要表面能电子传送作为成核,即催化导电材料在该表面上,以及,例如在铜种晶层及金属阻障层上的氧化表面不能足够地参与用以有效无电淀积的电子传递。
一个解决方案为在金属层上淀积钝化层或密封层,以防止形成金属氧化物。钴及钴合金已经被视为作为保护铜的适当材料。钴也可以通过无电淀积技术而淀积在铜上。然而,铜并无法满意地催化或由无电溶液启始淀积材料。一个解决方案为通过将铜基材接触铁材料而自无电溶液启始淀积,这通过电流反应而启始淀积。然而,该工艺在基材表面上,需要连续导电面,这对于部份钝化应用并不可能达成。另一解决方案为通过将催化材料淀积在铜上,而活化该铜表面。然而,淀积催化材料可能需要多重步骤或使用催化胶体化合物。催化胶体化合物可能粘着至介电材料,造成不期望的过量及非选定钝化材料被淀积在基材表面上。非选定钝化材料的淀积可能造成表面污染、导电材料的不期望地扩散入介电材料、甚至装置由于短路及其它装置不规则而故障。
因此,需要一种方法与组合物,用以无电淀积导电材料在基材表面中的次微米特征中。
发明内容
在此所述本发明的实施例大致提供使用无电淀积工艺,形成金属或金属硅化物层的方法与组成物。在一个方面,提供一种处理基材的方法,其包含:研磨基材表面,以曝露出安排在介电材料中的第一导电材料,淀积启始层在第一导电材料上、清洗去基材表面上的第一无电溶液、及通过将启始层曝露至无电溶液,而淀积第二导电材料在启始层上。启始层可以通过将基材表面曝露至贵金属无电溶液加以形成。第二导电材料可以淀积为钝化层、阻障层、种晶层、或用于形成金属硅化物层。
在另一个方面,提供一种处理基材的方法,包含:研磨基材表面,以曝露出安排在介电材料中的第一导电材料、以酸溶液蚀刻基材表面、清洗去基材的酸溶液、通过将基材表面曝露至第一无电溶液,而选择地淀积启始层在第一导电材料上、清洗去基材表面上的第一无电溶液、及通过将启始曝露至第二无电溶液,而淀积第二导电材料在启始层上。启始层也可以通过将基材表面曝露至贵金属无电溶液加以形成。第二导电材料也可以淀积为钝化层、阻障层、种晶层、或用于形成金属硅化物层。
在另一个方面,提供一种处理基材的方法,包含:研磨基材表面,以曝露出安排在介电材料中的第一导电材料、将基材表面曝露至含硼还原剂的溶液、在曝露的第一导电材料上,形成多数启始位置、及通过将基材表面曝露至还原剂的无电溶液,而淀积第二导电材料在这些启始位置上。第二导电材料也可以淀积为钝化层、阻障层、种晶层、或用于形成金属硅化物层。
在另一个方面,提供一种处理基材的方法,包含:研磨基材表面,以曝露出安排在介电材料中的第一导电材料并通过将基材表面曝露至具有含硼还原剂的无电溶液,而在第一导电材料上,淀积第二导电材料。第二导电材料可以淀积为钝化层、阻障层、种晶层、或用于形成金属硅化物层。
附图说明
图1为依据本发明一个实施例淀积导电层所采的步骤流程图;
图2A-2C为在此所述之一淀积工艺的剖面图;
图3A-3C为在此所述之一淀积工艺的其它剖面图;及
图4为作为与晶体管的一接触的硅化物材料的简化剖面图。
附图中符号说明
200基材                  210介电材料
220阻障层                230导电材料
240孔径                  245曝露导电材料
250特征                  260虚线
270启始层                280钝化层
300基材                  310介电材料
320孔径                  330阻障层
340种晶层                350导电材料
400基材                  402源极区
404汲极                  406场氧化物部份
408闸极氧化物层          410多晶硅闸极电极
412氧化物间隔层              414硅化钴部份
416硅化钴部份                418硅化钴部份
420接触定义                  422介电材料
实施方式
本发明之上述方面可以通过本发明的特别说明加以了解,可以参考附图的
实施例。
然而,应注意的是,附图只例示本发明的典型实施例,并不用以限定本发明的范围,因为本发明可以适用至其它等效实施例。
在此所述本发明的实施例提供通过无电工艺淀积导电材料的方法与设备。可以淀积的材料为钴或钴合金,其可以淀积作为钝化层、阻障层、种晶层或用于形成金属硅化物层。
在此所用的单字及词组除非特别进一步提出,否则应表示在本技艺中的常用及一般意义。无电淀积在此广义定义为导电材料的淀积,其大致被提供作为在槽中的带电离子在催化作动面上,在不施加外部电流下,通过化学还原淀积导电材料。
在此所述的工艺被执行于适用以执行无电淀积工艺的设备中。适当设备包含Electra ECP处理平台或Link处理平台,可由美国加州圣塔卡拉应用材料公司购得。例如,Electra Cu ECP平台包含整合式处理室,其能通过无电工艺,例如无电淀积处理(EDP)单元淀积导电材料,该无电淀积处理单元也可以由位于美国加州圣塔卡拉的应用材料公司所购得。Electra Cu ECP平台大致包含一道或多道无电淀积处理(EDP)单元及一道或多道预淀积或后淀积单元,例如喷洗式旋干机(SRD)单元、蚀刻室、或退火室。Electra ECP处理平台系在领证于七月10日的美国专利6,258,223号案中所述,可并入本案作为参考。Link处理平台的实施例描述于申请于2000年六月26日的美国申请案号09/603,792及申请于2001年六月25日的美国申请案号09/891,849中,这些均并入本案作为参考。
无电淀积工艺
在一个方面,在平坦化或材料移除工艺后,导电材料可以作为钝化层淀积在曝露的导电材料上。于一个实施例中,钝化层通过使用无电淀积贵金属所形成的启始层加以淀积。于另一个实施例中,启始层使用含硼烷溶液加以形成,以形成金属硼化物层。或者,可以在淀积或形成启始层前,使用酸预处理。无电导电层可以在金属化工艺中,淀积作为阻障层或种晶层。在另一个方面,无电导电层淀积在含硅材料上,并被退火以形成金属硅化物层。钴及钴合金为化合物例子,其可以为导电材料的无电淀积工艺所淀积。
图1为依据本发明实施例淀积导电层的步骤流程图。基材被引入工艺100中并在步骤110被曝露至酸性预清洁或蚀刻工艺,以在去除至少基材的一部份。基材表面大致包含介电材料及导电材料。然后在步骤120,被蚀刻的基材以冲洗剂,例如去离子水加以冲洗。
然后启始层在步骤130淀积在基材表面上。启始层可以无电淀积贵金属于基材表面的曝露导电材料上或可以为金属硼化物,其由曝露导电金属至含硼烷溶液加以形成。启始层大致选择地形成在曝露导电材料上。
然后在步骤140,基材表面以冲洗剂冲洗,以去除无电溶液或含硼烷溶液。在步骤150,第二导电材料被无电沉至启始层上。第二导电材料大致为钴或钴合金。第二导电材料选择地淀积在曝露的启始层上。然后在步骤160,基材表面使用超声波清洗程序。
预清洗组成为酸溶液,例如无机酸溶液。在一个方面,酸溶液可以包含约0.2重量百分比(wt.%)及约5wt.%间的氢氟酸(HF),例如约0.5wt.%之HF酸。酸溶液也可以包含浓度于约1M及约5M间的硝酸,例如约1M。或者,硝酸溶液可以包含某个比例的硝酸对水,例如去离子水,比例约5∶1及约1∶5。
酸溶液也可以包含硫酸的组成物,其在组成物的约0.5vol.%至约10vol%之间的浓度,例如于约1vol%及约5vol%之间,及过氧化氢于35%过氧化氢的约5vol%至约40vol%间的浓度,例如35%过氧化氢的约20vol%浓度。
预清洗组成大致施加至基材表面,例如约5秒至约300秒之间,例如于约30秒至约60秒之间,以于约50ml/分至约2000ml/分间的流率,例如于约700ml/分至约900ml/分间的流率,包含约750ml/分的流率,及组成物温度于约15℃至约60℃之间,例如于约20℃至约25℃之间。或者,预清洗溶液于约120ml及约200ml间的总施加量可以用以处理基材表面。预清洗溶液可以应用至相同处理室或作为后续淀积工艺的处理单元。预清洗组成一般为约0.5wt.%的氢氟酸,其可以约750ml的流率施加约60秒,在于约20℃至约25℃间的组成物温度下。
步骤110的预清洗溶液被施加以移除或蚀刻曝露介电层的顶部份,例如于约10埃至约50埃之间,其可能包含来自前一处理步骤的污染导电材料。例如,杂散铜离子可能在化学机械研磨或平坦化工艺后,污染介电材料的顶部份。
通常为去离子水的冲洗剂然后被施加至基材表面,以移除残留预清洗组成物、被蚀刻材料及微粒、及在步骤120的预处理工艺中所形成的副产物。冲洗剂大致被施加至基材表面持续于约5秒至约300秒之间,例如于约30秒至约60秒之间,于约50ml/分至约2000ml/分间的流率,例如于约700ml/分至约900ml/分间的流率,包含约750ml/分的流率,及于约15℃至约80℃间的温度,例如约20℃至约25℃间的温度。或者,于约120ml至约200ml的总施加量冲洗剂可以用以处理基材表面。冲洗剂可以通过喷洗法及用以清洗基材的其它方法加以施加,例如通过在包含清洗溶液的密封室或槽中冲洗。冲洗剂一般为去离子水,其可以约750ml的流率持续约60秒,在20℃至约25℃间的温度下施加。
在一个实施例中,在步骤130中,启始层通过无电淀积贵金属,而被形成在曝露的导电材料上。贵金属由钯、铂、或其组合的群组选出。本发明想出使用其它贵金属,例如金、银、铱、铼、铑、钌、锇、及其组合。贵金属由包含至少一种贵金属盐及一种无机酸的无电溶液所淀积。贵金属盐的例子包含氯化钯(PdCl2)、硫酸盐钯(PdSO4)、氯化胺钯、及其组合。无机酸的例子包含盐酸(HCl)、硫酸(H2SO4)、氢氟酸(HF)及其组合。或者,无机酸,例如羧酸包含醋酸(CH3COOH)也可以用于无电溶液作为启始层用。
贵金属盐也可以在无电溶液中,在约20百万分之容量比(ppm)至约20克/升间的浓度,例如于约80ppm至约300ppm之间,例如约120ppm。金属盐的浓度也可以被描述为体积百分比,以1vol%相当于约40ppm。例如,120ppm的贵金属盐相当于约3vol%。无机酸用以提供酸无电组成,例如pH约7或更小。在约1至约3间的pH值位准已经被看出能有效地由无电溶液无电淀积贵金属。酸溶液也已经看出能在无电淀积工艺中,有效地由基材的金属或介电表面,移除或降低氧化物,例如包含氧化铜的金属氧化物。
用于启始层的无电溶液大致应用至基材表面,持续约1秒至约300秒的时间,例如于约5秒至约60秒的时间,在于约15℃至约80℃的组成物温度,例如于约20℃至约25℃。无电溶液大致被提供在于约50ml/分至约2000ml/分间的流率,例如于约700ml/分至约900ml/分间的流率,包含约750ml/分的流率。在一个方面,总施加约120ml至约200ml的无电溶液,以淀积无电层。无电溶液大致提供用以淀积贵金属至约50埃或更少,例如约10埃或更少的厚度。启始层可以为连续或不连续。
用以淀积启始材料的无电组成物例子包含约3vol%(120ppm)的氯化钯及足够盐酸,以提供约1.5pH值给组成物,其以约750ml/分的流率以约25℃的组成物温度持续30秒施加至基材表面上。
于另一个实施例中,于步骤130中,启始层通过冲洗或曝露所曝露之导电材料至一含硼烷组成物。含硼烷之组成物在曝露之导电金属上选择地形成一金属硼化层,其系为用于后续无电淀积工艺之催化位置。
含硼烷组成物包含一硼烷还原剂。适当之含硼烷还原剂包含碱金属氢硼化物、烷胺硼烷、及其组合。适当含硼烷还原剂的例子包含氢硼化钠、二甲基胺硼烷(DMAB)、三甲胺硼烷、及其组合。含硼烷还原剂包含于约每公升0.25克(g/L)及约6g/L间之,例如约2g/L至约4g/L间之含硼组成物。含硼烷组成物也可以另外包含pH调整剂,以提供于8至约13间之pH值。适当pH调整剂包含氢氧化钾(KOH)、氢氧化钠(NaOH)、氢氧化氨、氢氧化氨衍生物,例如氢氧化四甲铵,及其组成物。
导电材料被曝露至含硼烷组成物于约30秒至约180秒间,例如于约60秒至约120秒之间,以约15℃至约80℃间,例如20℃至约25℃间的组成物温度。含硼烷组成物可以以约50ml/分至约2000ml/分,例如于约700ml/分至约900ml/分间的流率,包含约750ml/分的流率输送至基材。在一个方面,提供总施加约120ml至约200ml的含硼烷组成物,以形成金属硼化物化合物的启始层。
用以形成该层之含硼烷组成物例子包含约4g/L之二甲基胺硼烷(DMAB)及足够氢氧化钠,以提供约9的pH值给组成物,该组成物以约750ml/分的流率,在约25℃的组成物温度下被供给至基材表面约30秒。
在步骤140中,一般为去离子的冲洗剂然后被施加至基材表面,以移除用于形成启始层的溶液。冲洗剂大致以于约50ml/分至约2000ml/分的流率,例如于约700ml/分至约900ml/分间的流率,包含约750ml/分的流率,在于约15℃至约80℃间的一个温度,例如在约20℃至约25℃间的一个温度被施加至基材表面约5秒至约300秒,例如于约30秒至约60秒之间。或者,于约120ml至约200ml的冲洗剂总施加量可以用以处理基材表面。冲洗剂可以通过喷洒方法及任一用以清洗基材的方法加以施加,例如通过在包含清洗溶液的密封体中或槽中的冲洗。冲洗剂的例子为去离子水,可以在约20℃至约25℃间的温度,以约750ml/分的流率施加约60秒。
在步骤150中,金属层通过无电工艺被淀积在启始层上。在一个方面,金属层包含钴或钴合金。钴合金包含钴-钨合金、钴-磷合金、钴-锡合金、钴硼合金,包含三元合金,例如钴-钨-磷及钴-钨-硼。然而,本发明想出使用其它材料,包含镍、锡、钛、钽、钨、钼、铂、铁、铌、钯、铂及其组合,及其它合金包含镍钴合金、掺杂钴及掺镍合金、或镍铁合金,以形成在此所述的金属层。
在一个实施例中,金属材料由无电溶液所淀积,该溶液包含至少一种金属盐及一种还原剂。无电溶液可以包含添加剂,以改良金属的淀积。添加剂可以包含界面活性剂、错合剂、pH调整剂或其组合。
适合金属盐包含氯盐、硫酸盐、胺基磺酸盐、或其组合。金属盐例子可以为氯化钴。金属盐可以在无电溶液中,呈于约0.5g/L至约30g/L间的浓度,例如于约2.5g/L至约25g/L间的浓度。
例如钴钨的钴合金可以通过将钨酸或包含钨酸钠、及钨酸胺的钨酸盐,及其组合加入作为钨淀积而加以淀积。
用于钴-钨-磷淀积的磷可以通过含磷的还原剂,例如次磷酸盐加以形成。例如钴锡的钴合金可以通过加入含硫酸锡、氯化锡、及其组合的锡酸盐加以淀积。额外金属盐例如钨及锡可以以于约0.5g/L至约30g/L的浓度,例如于约2.5g/L至约25g/L的浓度在无电溶液中。
适当还原剂包含次亚磷酸钠、联胺、甲醛及其组合。还原剂也可以包含含硼烷的还原剂,例如二甲基胺硼烷及硼氢化钠。还原剂可以具有于约1g/L至约30g/L的无电溶液的浓度。例如,亚磷酸盐可以加至无电溶液中,于无电组成物的约15g/L及约30g/L之间。
添加剂包含例如RE610的界面活性剂、包含羧酸盐类的错合剂,例如柠檬酸钠及琥珀酸钠、包含氢氧化钠及氢氧化钾的pH值调整剂、及其组合。添加剂可以用以控制无电溶液的淀积特性。例如,稳定剂防止不要的副反应,而错合剂则限制在无电溶液中的可用以淀积基材表面的离子。添加剂具有于约0.01g/L至约50g/L的无电溶液的浓度,例如于无电溶液的约0.05g/L至约4g/L之间。添加剂的例子为界面活性剂RE610,其可以以约0.01g/L至约5g/L的浓度施加给无电组成物。例如硫月及甘醇酸之稳定剂可以在组成物中,呈约1wt.%或更少,例如约0.01wt.%的浓度。
形成金属层包含施加在此所述的金属无电溶液至基材表面于约30秒至约180秒之间,例如于约60秒至约120秒之间,其组成物温度于约60℃至约90℃之间,例如于约70℃至约80℃之间。无电溶液大致提供于约50ml/分至约2000ml/分间的流率,例如于约700ml/分至约900ml/分间的流率,包含750ml/分的流率。在一个方面,于约120ml至约200ml的无电溶液的总施加被提供以淀积无电层。无电溶液大致提供用以淀积金属层至约500埃或更少的厚度,例如于约300埃至约400埃间。
用以形成金属层的钴无电组成物一般包含约20g/L的硫酸钴、约50g/L的柠檬酸钠、约20g/L的亚磷酸钠、以足够氢氧化钾,以提供约9至约11的pH值给组成物,其被施加至基材表面约120秒,以约750ml/分的流率在约80℃的组成物温度。钴-钨层通过加入约10g/L钨酸钠加以淀积。
在金属淀积工艺的另一个实施例中,金属材料由无电溶液加以淀积,该溶液包含至少一种金属盐及一种含硼烷还原剂。适当金属盐包含氯盐、硫酸、胺基磺酸盐或其组合。金属盐的一个例子为氯化钴。金属盐可以为在无电溶液中,以约0.5g/L至约30g/L间的浓度,例如于约2.5g/L及约25g/L间的浓度。
例如钴-钨的钴合金也可以通过将钨酸或包含钨酸钠、及钨酸胺的钨酸盐,及其组合加入作为钨淀积而加以淀积。
用于钴-钨-磷淀积的磷可以通过含磷的还原剂,例如次磷酸盐加以形成。例如钴-锡的钴合金可以通过加入含硫酸锡、氯化锡、及其组合的锡酸盐加以淀积。额外金属盐例如钨及锡可以于约0.5g/L至约30g/L的浓度,例如于约2.5g/L至约25g/L的浓度在无电溶液中。
适当的含硼烷还原剂包含碱金属氢硼化物、烷胺硼烷、及其组合。适当含硼烷还原剂的例子包含氢硼化钠、二甲基胺硼烷(DMAB)、三甲胺硼烷、及其组合。含硼烷还原剂包含于约每公升0.25克(g/L)及约6g/L间,例如约2g/L至约4g/L间的含硼组成物。含硼烷还原剂的出现允许形成例如钴-钨-硼及钴-锡-硼等等的钴-硼合金。
添加剂包含例如RE610的界面活性剂、包含羧酸盐类的错合剂,例如柠檬酸钠及琥珀酸钠及其组合。添加剂可以用以控制无电溶液的淀积特性。例如,稳定剂防止不要的副反应,而错合剂则限制在无电溶液中的可用以淀积基材表面的离子。
添加剂具有于约0.01g/L至约50g/L的无电溶液的浓度,例如于无电溶液的约0.05g/L至约4g/L之间。添加剂的例子为界面活性剂RE610,其可以约0.01g/L至约5g/L的浓度加给无电组成物。例如硫月及甘醇酸的稳定剂可以在组成物中,呈约1wt.%或更少,例如约0.01wt.%之浓度。
含硼烷组成物可以另外包含pH调整剂,以提供于约8至13间的pH值。适当的pH调整剂可以包含氢氧化钾(KOH)、氢氧化钠(NaOH)、氢氧化氨、氢氧化氨洐生物,例如四甲基氢氧化铵及其组合。
形成金属层包含施加在此所述的金属无电溶液至基材表面,持续约30秒至约180秒,例如持续约60秒至约120秒,在于约60℃至约90℃间的一个组成物温度下,例如于约70℃至约80℃下。无电溶液大致以于约50ml/分至约2000ml/分的流率,例如于约700ml/分至约900ml/分间的流率,包含约750ml/分的流率。在一个方面,一总施加量于约120ml至约200ml的无电溶液被提供以淀积无电层。无电溶液大致提供淀积金属层至约500埃或更少的厚度,例如于约300埃至约400埃间的厚度。
以含硼烷还原剂形成金属层的钴无电组成一般包含约20g/L的硫酸钴、约50g/L的柠檬酸钠、约4g/L的二甲基胺硼烷、加以足够氢氧化钾,以提供组成物约10至约12的pH值,其以约750ml/分的流率在约80℃的组成物温度下被施加至基材表面约120秒。钴-钨-硼层通过加入约10g/L的钨酸钠加以淀积。
在金属无电淀积工艺中的含硼烷还原剂被认为是允许在曝露的导电材料作无电淀积,而不必一启始层。当启始层在金属无电淀积前,被首先淀积在基材表面上时,该工艺通常被执行于两处理室中。当金属无电淀积工艺发生而没有启始层时,例如在金属无电淀积中使用含硼烷还原剂,则可以在一室中,执行该无电工艺。
在步骤160中,基材表面被曝露至超声波清洗工艺。清洗工艺使用清洗组成物,其包含去离子水及稀释盐酸,以提供于约1至约3间的pH值。清洗组成物大致施加至基材表面持续约5秒至约300秒,于约15℃至约80℃间的一个温度。
可以通过将超声波能量施加至基材支撑托架或基材面上而提供搅拌。例如,超声波能量被施加于约10至约250瓦,但例如于约10至约100瓦。超声波能量可以具有约25kHz至约200kHz的频率,例如大于约40kHz的频率,因为这是在可听范围外并包含较少的击穿谐波。若使用一道或多道超声波能量源,则也可以同时使用多重频率。超声波能量可以施加于约3至约600秒之间,但也可以取决于应用而定,可以使用更长的时间段。
酸清洗组成物及超声波能量的应用被认为可以清除任何自由钴粒子,移除任何钴氧化物或反应副产物,例如在淀积时所形成的Co(OH)2。清洗溶液被认为移除例如约20埃或更少的薄层钴材料,以去除钴材料随机成长或横向成长在基材表面及曝露的导电材料上。基材然后可以被传送作其它处理,例如退火或后续的淀积工艺。
另外,由无电溶液无论是淀积启始层或金属层的材料的方法若有的话,可以包含施加一个偏压至基材结构的导电部份(即种晶层),例如在无电淀积工艺中,施加一直流偏压。可以认为偏压协助移除在淀积工艺中所形成在催化层中的被捕陷氢气。
启始层及/或金属层可以被退火(即加热)于约100℃至约400℃间的温度,例如于约100℃至约300℃间的温度。退火可以执行在真空中,例如在低于1毫托耳的压力下。或者,退火可以执行在气体气氛中,例如在一或多种贵气体(例如氩、氦)、氮、氢、及其混合之气体气氛中。在一个实施例中,退火被执行至少1分钟的时间段。在另一个实施例中,退火被执行约1至约10分的时间段。退火可以通过快速热退火工艺加以进行。可以认为退火该基材提升了无电淀积材料粘着至基材表面及曝露导电材料,包含阻障层及种晶层的能力。即可以认为,退火协助移除在淀积中所形成在无电淀积材料中之氢。
金属化淀积工艺
在此所述的工艺实施例涉及淀积金属及金属硅化物层,作为在特征形成中的钝化层、阻障层、种晶层及金属硅化物层。以下实施例被提供作例示目的,不被限制本发明。
钝化层淀积
在一个方面,金属层被淀积在如第2A-2D图所示的曝露特征上作为钝化层。图2A中,基材200被设置有特征250形成在其中。特征250通过以传统光微影及蚀刻技术,淀积及图案化光阻材料,以在一或多数介电材料210中定义特征开口240及蚀刻介电材料210以定义孔径240加以形成。一或多数介电材料210包含例如二氧化硅、掺磷硅玻璃(PSG)、掺硼磷的硅玻璃(BPSG)、碳化硅、掺碳二氧化硅、及低介电常数材料,包含氟硅玻璃(FSG)、聚合物,例如聚醯亚胺,及含碳二氧化硅,例如由美国加州应用材料公司所购得的黑钻石。本发明也想到一或多数介电材料210可以包含半导体含硅材料,包含通过本技艺中已知或未知方法所淀积的多晶硅、掺杂多晶硅或其组合。
阻障层220被淀积在介电材料上。阻障层220可以被淀积以防止或禁止后续淀积材料扩散入下层基材或介电层中。适当阻障层材料包含耐火金属及耐火金属氮化物,例如钽(Ta)、氮化钽(TaNx)、钛(Ti)、氮化钛(TiNx)、钨(W)、氮化钨(WNx)、钴、钴合金,例如钴-钨合金、钴磷合金、钴-锡合金、钴-钨-磷、钴-钨-硼及其组合。阻障层可以通过化学气相淀积(CVD)、物理气相淀积(PVD)、无电淀积技术、或分子束磊晶等等加以淀积。阻障层也可以由相同或多技术组合个别或依序淀积为多层薄膜,例如淀积在钽层上的氮化钽层,两层均由物理气相淀积技术加以淀积。
孔径240然后被以导电材料230的淀积填入特征。导电材料230可以包含例如铜或钨。导电材料230可以通过化学气相淀积(CVD)、物理气相淀积(PVD)、电化学淀积技术,例如电镀或其组合,淀积成铜,例如通过电镀技术加以淀积。或者,导电材料的种晶层(未示出)可以在导电材料230成核前加以淀积,以加强导电材料230的后续淀积。
在将材料淀积在孔径240后,被填充的孔径可以进一步例如通过化学机械研磨(CMP)加以平坦化基材表面及孔径的顶部份加以处理,以形成特征250。在平坦化工艺中,一或多数介电材料210、阻障层220、及导电材料230的部份由结构的顶面被移除,留下具有在介电材料210中的特征250的曝露导电材料215的平坦表面,如图2A所示。
基材然后被冲洗或清洗。一冲洗或清洗工艺可以包含曝露至酸预清洗或蚀刻组成物中,以在冲洗步骤前,移除如图2B的虚线260所表示的基材表面的至少一部份。预清洗组成物可以例如包含约0.5wt.%的HF的酸溶液,其被施加至基材表面,于约30秒至约60秒,于约20℃至约25℃间的一个组成物温度。蚀刻基材然后以去离子水冲洗,以由基材表面移除任何预清洗溶液。
在步骤130中,启始层270然后被淀积在基材表面上。图2C中,启始层270通过无电淀积贵金属在基材表面的曝露导电材料上加以淀积。启始层270被选择地形成在曝露导电层245上。启始层可以例如通过启始无电溶液加以淀积,该溶液包含约80ppm及约300ppm的氯化钯(PdCl2)及足够盐酸(HCl),以产生约1至约3间的pH值。启始无电溶液的酸性大致被足够量提供,以于无电淀积工艺中,有效地由基材的金属或介电表面,移除或还原氧化物,例如包含氧化铜的金属氧化物。启始无电溶液大致施加至基材表面,持续约5秒至约60秒间,在于约20℃至约25℃间的一个溶液温度,或者在足够以淀积启始层至约10埃或更少厚度的情形下。
或者,硼化物层也可以通过将阻障层曝露至组成物加以形成,该组成物包含含硼烷还原剂,例如约4g/L的二甲基胺硼烷(DMAB)及足够氢氧化钠,以提供组成物约9的pH值,该组成物被以约25℃的组成物温度施加至基材面约30秒。基材表面然后被去离子水冲洗,移除任何残留的无电溶液或含硼烷组成物。
例如钴或钴合金之金属钝化层280然后如图2D所示被淀积在启始层270上。钝化层使用无电溶液由无电技术加以淀积,该溶液包含金属盐及还原剂。例如可以使用于包含约2.5g/L至约20g/L间的氯化钴及硫化钴、及约15g/L至约30g/L的次亚磷酸钠、及足够量的碱,以提供于约9至约11的pH位准,以形成该钝化层。于0.25g/L至约6g/L间浓度的二甲基胺硼烷可以使用作为还原剂。钝化无电溶液大致被施加至基材表面,持续于约5至约120秒,在约20℃至约25℃间的一个溶液温度。
基材表面然后使用包含HCl之清洗组成物,其组成物温度在约15℃至约80℃之间的组成物加以清洗于约5秒至约300秒。超声波能量被施加至清洗组成物及/或基材上,以改良清洗工艺。清洗组成物大致以足够移除约20埃或更少的钝化层条件下加以施加。
阻障/种晶层淀积
在一个方面,将在金属化工艺中描述无电淀积种晶层或阻障层。
虽然以下说明用来通过以此所述的工艺淀积种晶层,但本发明想出通过在此所述的无电工艺,通过将基材的介电表面直接曝露至用以形成启始层的组成物,而淀积阻障层。启始层将形成在介电表面上并允许例如钴的金属层淀积于其上。启始层可以连续或非连续形成在曝露介电表面上。例如,钯可以淀积在用于钴阻障淀积的介电材料上。若钴被使用作为阻障层材料,则种晶层可以为铜材料。
在一个方面,种晶层通过在此所述如图3A-3D所示金属化设计中的无电工艺加以淀积。图3A中,基材300被提供有孔径320形成一或多数介电材料310中。孔径320通过传统光微影及蚀刻技术,以淀积及图案化光阻材料加以形成,以在一或多数介电材料310中定义一特征开口,然后,蚀刻介电材料310,以定义孔径320。
一或多数介电材料310包含例如二氧化硅、掺磷硅玻璃(PSG)、掺硼磷硅玻璃(BPSG)、碳化硅、掺碳二氧化硅、及低介电常数材料包含氟硅玻璃(FSG)、聚合物,例如聚醯亚胺,及含碳二氧化硅,例如由美国加州圣塔卡拉的应用材料公司所购得的黑钻石。本发明也想出层310也可以包含由本技艺中已知或未知的方法所淀积的半导体含硅材料,包含多晶硅、掺杂多晶硅、或其组合。
阻障层330淀积在孔径320中并在形成基材表面的介电材料上,如图3B所示。阻障层330可以淀积以防止或禁止后续在阻障层330上的淀积材料扩散入下层基材或介电层中。适当阻障层材料包含耐火金属及耐火金属氮化物,例如钽(Ta)、氮化钽(TaNx)、钛(Ti)、氮化钛(TiNx)、钨(W)、氮化钨(WNx)、钴、钴合金,例如钴-钨合金、钴-磷合金、钴-锡合金、钴-钨-磷、钴-钨-硼及其组合。阻障层330可以通过化学气相淀积(CVD)、物理气相淀积(PVD)、无电淀积技术、或分子束磊晶等等加以淀积。阻障层330也可以由相同或多技术组合个别或依序淀积为多层薄膜,例如淀积在钽层上的氮化钽层,两层均由物理气相淀积技术加以淀积。
金属层的种晶层340然后通过无电淀积工艺,如图3C所示被淀积在阻障层330上。适当种晶层材料包含钴、钴合金,例如钴-钨合金、钴-磷合金、钴-锡合金、钴-钨-磷、钴-钨-硼、及其组合。种晶层可以通过首先形成或淀积启始层,然后形成全面种晶层材料加以淀积。
例如,启始层可以为由启始无电溶液所淀积的贵金属,该溶液包含约80ppm及约300ppm的氯化钯(PdCl2)及足够盐酸(HCl),以产生约1至约3间的pH值。启始无电溶液大致施加至基材表面,持续约5秒至约60秒间,在约20℃至约25℃间的一个溶液温度,或者在足够以淀积启始层至约10埃或更少厚度的情形下施加至基材表面。
或者,硼化物层也可以通过将阻障层曝露至组成物加以形成,该组成物包含含硼烷还原剂,例如约4g/L的二甲基胺硼烷(DMAB)及足够氢氧化钠,以提供组成物约9的pH值,该组成物被以约25℃的组成物温度施加至基材面约30秒。基材表面然后被以去离子水冲洗,以移除任何残留的无电溶液或含硼烷组成物。
然后,例如钴或钴合金的全面种晶层材料被淀积在启始层上。全体种晶层材料使用无电溶液由无电技术加以淀积,该溶液包含金属盐及还原剂。例如可以使用包含约2.5g/L至约20g/L间的氯化钴及硫化钴、及约15g/L至约30g/L的次亚磷酸钠、及足够量的碱,以提供于约9至约11的pH位准。于0.25g/L至约6g/L间浓度的二甲基胺硼烷可以使用作为还原剂。无电溶液大致被施加至基材表面,持续约5至约120秒,在约20℃至约25℃间的一个溶液温度。
基材表面然后使用包含约1至约3间的pH值的HCl清洗组成物,其组成物温度在约15℃至约80℃之间的组成物加以清洗约5秒至约300秒。超声波能量被施加至清洗组成物及/或基材上,以改良清洗工艺。清洗组成物大致以足够移除约20埃或更少的种晶层340条件下加以施加。
该孔径然后通过导电材料350淀积入特征中。导电材料350可以例如包含铜或钨。导电材料350可以通过化学气相淀积(CVD)、物理气相淀积(PVD)、电化学淀积技术,例如电镀,或其组合例如以电镀技术淀积铜。导电填充钨至钴阻障或种晶层上的例子被描述于申请于2002年1月9日的美国专利申请第10/044,412号案名为”使用一新颖溅镀淀积法之阻障形成”中,并入作为参考。
于孔径中淀积材料后,所填充孔径可以进一步通过退火或例如化学机械研磨(CMP),以平坦化孔径的顶部份加以处理,以形成一特征。于平坦化工艺中,一或多数介电材料310、阻障层330、种晶层340及导电材料之部份系由基材的顶部移除,以留下在介电材料310中之曝露导电材料350。
硅化物层形成
金属硅化物层可以通过淀积金属在含硅材料及退火该金属及含硅材料以形成金属硅化物层加以形成。金属硅化物在此被广泛定义为金属及硅的合金,其可以存在多重价相中。例如,钴及硅可以存在于CoSi及CoSi2相中。形成金属硅化物层的退火工艺可以被执行于一道或多道退火步骤并可以在其它淀积工艺中同时执行。
虽然以下材料说明由钴或钴合金层形成金属硅化物层,但本发明想出使用其它材料,包含镍、锡、钛、钽、钨、钼、铂、铁、铌、钯、铂、及其组合,及其它合金包含镍钴合金、钴钨合金、钴镍钨合金、掺杂钴及镍合金或镍铁合金,以形成在此所述的金属硅化物材料。
金属硅化物应用一般包含如图4所示MOS装置的形成。在所示MOS结构中,导电N+源及汲极区402及404被形成在P型硅基材400中,邻近于场氧化部份406。闸极氧化物层408及多晶硅闸极电极410被形成在硅基材400上,在源极及汲极区402与404之间,同时,氧化物间隔层412被形成在多晶硅闸极电极410的侧壁上。
钴层通过在此所述的工艺被淀积在MOS结构上,特别是在导电源极及汲极区402及404的曝露硅表面上,以及,多晶硅闸极电极410的曝露顶面上。
在一个方面,钴层可以通过在此所述的工艺加以淀积。例如,启始层首先被淀积在基材表面上,更明确地说在导电源极及汲极区402及404的曝露硅表面上。启始层(未示出)可以包含贵金属,其通常用以形成硅化物。启始层通过启始无电溶液加以淀积,该溶液包含约80ppm至约300ppm的氯化钯(PdCl2)及足够的氢氯酸(HCl),以产生约1至约3间的pH值。启始电极溶液大致被施加至基材表面持续约5秒至约60秒,在约20℃至约25℃的溶液温度,或者足够淀积启始层至约10埃或更少的条件下。
或者,硼化物层可以通过将硅为主材料曝露至组成物加以形成,该组成物包含硼烷还原剂、例如约4g/L的二甲基胺硼烷(DMAB)及足够氢氧化钠,以提供约9的pH给组成物,其在约25℃的组成物温度被施加至基材表面持续约30秒。基材表面然后以去离子水冲洗,以移除任何残留的无电溶液或含硼烷组成物。
金属钴或钴合金层然后被淀积至启始层上。钴层使用无电溶液被由无电技术所淀积,该溶液包含钴盐及还原剂。例如,可以使用无电溶液,其包含约2.5g/L至约20g/L的氯化钴及/或硫酸钴,及约15g/L至约30g/L间的次亚磷酸钠、及足够的碱以提供约9至11的pH值位准。于0.25g/L至约6g/L间浓度的二甲基胺硼烷可以使用作为还原剂。无电溶液大致以约20℃至约25℃间的溶液温度被施加至基材表面,持续于约5至约120秒。基材表面可以在后续处理前被清洗。
钴材料被淀积至约1000埃或更少的厚度,用以后续与下层硅在402及404反应。例如,钴可以在硅材料上,淀积至约50埃至约500埃的厚度。
在一个方面,钴层然后通过两步骤退火工艺加以退火,以形成硅化钴。例如,两步骤退火工艺被用以将金属层转换为金属硅化物的第一相,例如在第一退火工艺中,部份或完全地转换钴及硅为第一硅化钴(CoSi)相;在第二退火步骤中,实质将所金属层转换为想要的硅化物相,例如将第一硅化钴(CoSi)相转换为硅化钴(CoSi2)产品。
一道或多道退火步骤大致执行在约300℃至约900℃间的一个退火温度,并可以持续于约10秒至约600秒间的时间段。例如,基材可以被加热至约400℃至约600℃间的一个温度于约5秒至约300秒间,例如于500℃持续约60秒至约120秒间,然后,加热至600℃至约900℃间的一个温度,持续于约5秒至约300秒间的时间段,以形成金属硅化物层,例如在800℃持续约60秒至约120秒。
第一退火步骤也可以在淀积钴层后立即加以执行。第二退火步骤可以在淀积后续材料之前、之后或当时加以执行,例如在化学气相淀积钨填充层。第二退火工艺大致具有较第一退火工艺更高的退火温度。
用以形成金属硅化物的两步骤退火工艺被完整说明于2002年七月25日申请的美国专利申请案第09/916,234号案名为”在物理气相淀积系统中内部退火工艺”及于2002年一月9日申请的美国专利申请案第10/044,412号案名为”使用新溅镀淀积法形成阻障层”案中,并入作为参考。
介电材料422可以淀积在所形成结构上并被蚀刻以在装置中,提供接触定义420。接触定义可以例如在此所述的化学气相淀积技术来填充接触材料,例如钨、铝、或铜。
在一个方面,退火工艺中未反应的钴可以由基材表面通常通过湿蚀刻工艺或电浆蚀刻工艺加以移除,及硅化钴保留为硅化钴(CoSi2)部份414、416及418,这些为均匀厚度并个别形成在硅基材400中的闸极电极410及源极及汲极区402与404上。
未反应钴可以通过在同一真空处理系统上的DPS室中的电浆程序移除,或者可以被传送至另一处理系统,用以处理。湿式蚀刻工艺通常被执行在第二处理系统中。
金属硅化物层未反应金属层的选择性蚀刻可以同时或在退火后执行。其它材料的淀积,例如阻障材料层或第二金属层可以同时或在退火后执行。
虽然未显示,但例如氮化钨材料的阻障层或衬垫层可以淀积在钴材料上,以进一步加强钴层的阻障特性。氮化钛层的淀积可以替换上述的移除未反应钴的步骤。然而,未反应钴及钛可以依据在此所述的退火工艺,通过在退火基材表面后以蚀刻工艺移除。
虽然,上述为关于本发明的实施例,但本发明其它实施例可以在不脱离本发明基本范围下加以提出,本发明的保护范围由权利要求范围所决定。

Claims (40)

1.一种处理基材的方法,其至少包含以下步骤:
将基材表面曝露至第一无电溶液,淀积启始层在基材表面上,其中第一无电溶液的pH值约为7或7以下;
清洗掉在基材表面上的第一无电溶液;及
将启始层曝露至第二无电溶液,淀积第二导电材料至启始层上。
2.如权利要求1所述的方法,其中上述的启始层可为连续层或非连续层。
3.如权利要求1所述的方法,还包含:
在淀积启始层前,研磨基材表面,曝露出在介电材料中的第一导电材料;及
在清洗基材表面前,由基材表面移除氧化物。
4.如权利要求1所述的方法,其中上述的第一无电溶液包含贵金属盐及无机酸,其中贵金属盐包含钯、铂、或其组合盐类,并由氯盐、硫酸盐、胺基磺酸盐、或其组合的群组中选出;无机酸由盐酸、硫酸、氢氟酸或其组合的群组中所选出。
5.如权利要求4所述的方法,其中上述贵金属盐浓度约为每百万份中有20份至约每公升无电溶液中约含20克贵金属盐。
6.如权利要求4所述的方法,其中上述第一无电溶液的pH值介于1至3间。
7.如权利要求1所述的方法,其中上述第一导电材料为铜,启始层包含由钯、铂及其组合所构成的群组所选出的贵金属,第二导电材料包含钴或钴合金,其中上述贵金属通过将基材表面曝露至酸性无电溶液下选择地淀积在曝露出的铜特征上,该无电溶液包含贵金属盐、无机酸、其pH值介于1至3间。
8.如权利要求1所述的方法,其中上述基材表面包含介电材料且有多数孔径形成于其中。
9.如权利要求8所述的方法,还包含:
淀积种晶层在第二导电材料上;及
淀积第三导电材料在种晶层上。
10.如权利要求8所述的方法,还包含淀积第三导电材料层在第二导电材料上。
11.如权利要求1所述的方法,其中上述基材表面上包含导电硅为主的材料并有图案孔径形成于其中。
12.如权利要求11所述的方法,还包含通过一道或多道退火工艺,使导电硅为主的材料与第二导电材料反应形成金属硅化物层。
13.如权利要求12所述的方法,还包含淀积第三导电材料在金属硅化物层上。
14.如权利要求12所述的方法,其中上述一道或多道退火工艺包含在约300℃至约900℃间的温度退火基材以形成金属硅化物层。
15.如权利要求12所述的方法,还包含在一道或多道退火步骤的任一步骤后,蚀刻未反应的第二导电材料。
16.如权利要求12所述的方法,其中一层阻障材料在淀积第三导电材料前,被淀积在第二导电材料上。
17.如权利要求12所述的方法,还包含处理基材表面以通过氢氟酸浸渍技术或电浆蚀刻技术来移除所形成的氧化物。
18.如权利要求1所述的方法,还包含:
研磨基材表面,以曝露出介电材料中的第一导电材料;
以酸溶液蚀刻基材表面;及
在淀积启始层前,清洗掉基材表面的酸溶液。
19.如权利要求18所述的方法,其中上述酸溶液包含约0.2wt.%至约5wt.%的氢氟酸。
20.如权利要求18所述的方法,其中上述蚀刻基材表面的步骤包含将基材表面曝露至温度约15℃至约60℃间的酸溶液并持续约300秒或更少,该酸溶液包含约0.2wt.%至约5wt.%的氢氟酸。
21.一种处理基材的方法,至少包含步骤:
研磨基材表面,以曝露出介电材料中的第一导电材料;
将基材表面曝露至包括含硼还原剂的溶液,以在曝露的第一导电材料上形成多数启始位置;及
通过将基材表面曝露至含还原剂的无电溶液,淀积第二导电材料在等启始位置上。
22.如权利要求21所述的方法,其中上述的含硼还原剂包含碱金属氢硼化物、烷胺硼烷或它们的组合。
23.如权利要求21所述的方法,其中上述的溶液包含约0.25g/L至约6g/L间的含硼还原剂,其由氢硼化钠、二甲基胺硼烷、及它们的组合所组成的群组中选出,且其pH值约介于8至13间。
24.如权利要求21所述的方法,其中上述的还原剂包含不含硼的还原剂。
25.如权利要求24所述的方法,其中上述的无电溶液还包含金属盐、界面活性剂、安定剂、错合剂、或它们的组合。
26.如权利要求24所述的方法,其中上述不含硼还原剂包含次亚磷酸钠。
27.如权利要求21所述的方法,其中上述第一导电材料由铜、铜合金、钴、钴合金、及它们的组合所组成的群组中选出,且启始层包含硼化铜、硼化钴、或它们的组合。
28.如权利要求21所述的方法,还包含清洗掉基材表面的包括有含硼还原剂的溶液。
29.一种处理基材的方法,该基材具有介电材料及多数孔径形成在其中,该方法至少包含以下步骤:
以溶液来冲洗基材表面,该溶液包含含硼还原剂,以在介电材料上形成启始层且有多数孔径形成于其中;
通过包含还原剂的无电溶液淀积第一导电材料在启始层上。
30.如权利要求29所述的方法,还包含:
在第一导电材料上,淀积种晶层;及
在种晶层上,淀积第二导电材料层。
31.如权利要求29所述的方法,还包含在第一导电材料上,淀积第二导电材料层。
32.如权利要求29所述的方法,其中上述的含硼还原剂包含碱金属氢硼化物、烷胺硼烷、及它们的组合。
33.如权利要求29所述的方法,其中上述的溶液包含约0.25g/L至约6g/L间的含硼还原剂,其由氢硼化钠、二甲基胺硼烷、及它们的组合所组成的群组中选出,且其pH值介于约8至约13间。
34.如权利要求29所述的方法,其中上述的还原剂包含不含硼的还原剂。
35.如权利要求34所述的方法,其中上述的无电溶液还包含金属盐、界面活性剂、安定剂、错合剂、或它们的组合。
36.如权利要求34所述的方法,其中上述不含硼还原剂包含次亚磷酸钠。
37.如权利要求29所述的方法,其中上述第一导电材料由铜、铜合金、钴、钴合金、及它们的组合所组成的群组中选出,及启始层包含硼化铜、硼化钴、或它们的组合。
38.如权利要求30所述的方法,还包含在淀积第一导电材料、种晶层、或第二导电材料前,淀积阻障层。
39.如权利要求29所述的方法,其中上述的基材上包含导电硅为主的材料并具有图案孔径形成于其中,该方法还包含:
清洗掉基材表面的包括有含硼还原剂的溶液;及
以一道或多道退火工艺,让导电硅为主的材料及第一导电材料反应而形成金属硅化物层。
40.如权利要求21所述的方法,还包含处理基材表面,以通过氢氟酸浸渍技术或电浆蚀刻技术移除所形成的氧化物。
CN03810296.XA 2002-04-03 2003-04-02 电镀淀积方法 Pending CN1798868A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/117,712 2002-04-03
US10/117,711 2002-04-03
US10/117,710 US20030190426A1 (en) 2002-04-03 2002-04-03 Electroless deposition method
US10/117,710 2002-04-03

Publications (1)

Publication Number Publication Date
CN1798868A true CN1798868A (zh) 2006-07-05

Family

ID=28674265

Family Applications (1)

Application Number Title Priority Date Filing Date
CN03810296.XA Pending CN1798868A (zh) 2002-04-03 2003-04-02 电镀淀积方法

Country Status (2)

Country Link
US (1) US20030190426A1 (zh)
CN (1) CN1798868A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463361A (zh) * 2014-05-09 2017-02-22 应用材料公司 超共形电镀
CN106937491A (zh) * 2017-04-05 2017-07-07 广东浪潮大数据研究有限公司 一种基于pcb板卡金手指电镀镍和电镀金工艺及其应用
CN112652607A (zh) * 2020-12-09 2021-04-13 中国科学院微电子研究所 金属互连结构、半导体器件及提高扩散阻挡层性能的方法

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7338908B1 (en) 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060063382A1 (en) * 2004-09-17 2006-03-23 Dubin Valery M Method to fabricate copper-cobalt interconnects
US7151018B1 (en) * 2004-11-15 2006-12-19 Kla-Tencor Technologies Corporation Method and apparatus for transistor sidewall salicidation
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
DE102008030849B4 (de) * 2008-06-30 2013-12-19 Advanced Micro Devices, Inc. Verfahren zur Reduzierung der Leckströme in dielektrischen Materialien mit Metallgebieten und einer Metalldeckschicht in Halbleiterbauelementen
US20100055422A1 (en) * 2008-08-28 2010-03-04 Bob Kong Electroless Deposition of Platinum on Copper
CN102484061B (zh) 2009-09-02 2015-08-19 诺发系统有限公司 降低的各向同性蚀刻剂材料消耗及废料产生
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11823909B2 (en) 2018-01-16 2023-11-21 Lam Research Corporation Selective processing with etch residue-based inhibitors
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10636702B2 (en) * 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB962932A (en) * 1961-06-09 1964-07-08 Stephen Louis Marosi Method and apparatus for electrolytic production of printed circuits
US3403035A (en) * 1964-06-24 1968-09-24 Process Res Company Process for stabilizing autocatalytic metal plating solutions
US3745039A (en) * 1971-10-28 1973-07-10 Rca Corp Electroless cobalt plating bath and process
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US4263113A (en) * 1980-06-02 1981-04-21 Sprague Electric Company Electrochemical removal of surface copper from aluminum foil
US4666683A (en) * 1985-11-21 1987-05-19 Eco-Tec Limited Process for removal of copper from solutions of chelating agent and copper
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
US5002645A (en) * 1989-07-27 1991-03-26 Saginaw Valley State University Process of separating and recovering metal values from a waste stream
US5126921A (en) * 1990-07-06 1992-06-30 Akira Fujishima Electronic component and a method for manufacturing the same
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5792335A (en) * 1995-03-13 1998-08-11 Magnesium Technology Limited Anodization of magnesium and magnesium based alloys
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US5830805A (en) * 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JP3607491B2 (ja) * 1997-03-04 2005-01-05 日本特殊陶業株式会社 誘電体フィルタの製造方法
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
JPH1110540A (ja) * 1997-06-23 1999-01-19 Speedfam Co Ltd Cmp装置のスラリリサイクルシステム及びその方法
US5933757A (en) * 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
FR2771727B1 (fr) * 1997-11-28 2000-02-11 Sgs Thomson Microelectronics Defluoruration d'eaux usees
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
EP1112125B1 (en) * 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
JP2000084503A (ja) * 1998-07-13 2000-03-28 Kokusai Electric Co Ltd 被処理物の流体処理方法及びその装置
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6165912A (en) * 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6207569B1 (en) * 1998-12-07 2001-03-27 Advanced Micro Devices, Inc. Prevention of Cu dendrite formation and growth
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6323128B1 (en) * 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6588437B1 (en) * 1999-11-15 2003-07-08 Agere Systems Inc. System and method for removal of material
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
JP3979791B2 (ja) * 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
JP2001355074A (ja) * 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US6291082B1 (en) * 2000-06-13 2001-09-18 Advanced Micro Devices, Inc. Method of electroless ag layer formation for cu interconnects
US6645550B1 (en) * 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US6518198B1 (en) * 2000-08-31 2003-02-11 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
DE10296935T5 (de) * 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
WO2003017359A1 (en) * 2001-08-13 2003-02-27 Ebara Corporation Semiconductor device and production method therefor, and plating solution
US6645567B2 (en) * 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US6585277B1 (en) * 2001-12-21 2003-07-01 Manuel Monteagudo Hand powered cart
US6824612B2 (en) * 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US7214594B2 (en) * 2002-03-26 2007-05-08 Intel Corporation Method of making semiconductor device using a novel interconnect cladding layer
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6616967B1 (en) * 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6787450B2 (en) * 2002-05-29 2004-09-07 Micron Technology, Inc. High aspect ratio fill method and resulting structure
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040096592A1 (en) * 2002-11-19 2004-05-20 Chebiam Ramanan V. Electroless cobalt plating solution and plating techniques
US7825516B2 (en) * 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6902605B2 (en) * 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
US6794288B1 (en) * 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
US20040262772A1 (en) * 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US7229922B2 (en) * 2003-10-27 2007-06-12 Intel Corporation Method for making a semiconductor device having increased conductive material reliability

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463361A (zh) * 2014-05-09 2017-02-22 应用材料公司 超共形电镀
CN106937491A (zh) * 2017-04-05 2017-07-07 广东浪潮大数据研究有限公司 一种基于pcb板卡金手指电镀镍和电镀金工艺及其应用
CN112652607A (zh) * 2020-12-09 2021-04-13 中国科学院微电子研究所 金属互连结构、半导体器件及提高扩散阻挡层性能的方法
CN112652607B (zh) * 2020-12-09 2023-08-18 中国科学院微电子研究所 金属互连结构、半导体器件及提高扩散阻挡层性能的方法

Also Published As

Publication number Publication date
US20030190426A1 (en) 2003-10-09

Similar Documents

Publication Publication Date Title
CN1798868A (zh) 电镀淀积方法
US6899816B2 (en) Electroless deposition method
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
TWI289327B (en) Electroless deposition methods
CN1565047A (zh) 半导体器件的制造方法
US6977224B2 (en) Method of electroless introduction of interconnect structures
US8415261B1 (en) Capping before barrier-removal IC fabrication method
US7432200B2 (en) Filling narrow and high aspect ratio openings using electroless deposition
US20050085031A1 (en) Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
CN1317997A (zh) 在一个可密闭的容器中的电子元件的无电金属沉积
CN101558186B (zh) 对基板表面做预先处理以进行金属沉积的工艺和集成系统
CN1933143A (zh) 无电电镀溶液及半导体器件
CN1761534A (zh) 化学镀溶液和方法
WO2003060959A2 (en) Method for applying metal features onto barrier layers using electrochemical deposition
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
CN1444259A (zh) 半导体器件的制造方法
JP2007180496A (ja) 金属シード層の製造方法
TWI470696B (zh) 半導體製造之表面處理技術
CN1918325A (zh) 用于在单个室中的无电沉积期间选择性改变薄膜成分的方法和装置
WO2003085166A2 (en) Electroless deposition methods
US7256120B2 (en) Method to eliminate plating copper defect
US7820026B2 (en) Method to deposit organic grafted film on barrier layer
JP2004200191A (ja) 半導体装置の製造方法および半導体製造装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication