CN1797740A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN1797740A
CN1797740A CN200510129027.1A CN200510129027A CN1797740A CN 1797740 A CN1797740 A CN 1797740A CN 200510129027 A CN200510129027 A CN 200510129027A CN 1797740 A CN1797740 A CN 1797740A
Authority
CN
China
Prior art keywords
mentioned
insulating barrier
opening portion
layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200510129027.1A
Other languages
English (en)
Other versions
CN100492611C (zh
Inventor
藤井严
山崎舜平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1797740A publication Critical patent/CN1797740A/zh
Application granted granted Critical
Publication of CN100492611C publication Critical patent/CN100492611C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/123Connection of the pixel electrodes to the thin film transistors [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/131Interconnections, e.g. wiring lines or terminals

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种半导体装置的制造方法,包括以下步骤:形成第1导电层;在上述第1导电层上形成第1绝缘层;在上述第1绝缘层上形成第2绝缘层;在上述第1绝缘层和上述第2绝缘层中形成到达上述第1导电层的第1开口部分;在上述第2绝缘层上形成对包含导电材料的组成物的可湿性低于上述第2绝缘层的掩模层;在上述第2绝缘层中形成比上述第1开口部分宽的第2开口部分;用含有导电材料的组成物填充上述第1和第2开口部分以形成第2导电层。

Description

半导体装置的制造方法
技术领域
本发明涉及半导体装置的制造方法。
背景技术
在现有的半导体装置中,通过CMP技术去除多余的布线材料来制造嵌入布线结构的方法被用于使用低阻抗材料的铜(Cu)的多层布线或布线层之间的连线,例如特开平9-306988。上述嵌入布线是在通过仅将铜嵌入连线部分的嵌刻方法(damascene method)或者除铜嵌入连线部分外还用铜将布线层连接起来的双重嵌刻方法(dual-damascene method)、从较下层的布线形成连线柱(connectionplug)之后形成的。参照图28A~28E,说明双重嵌刻方法。
如图28A所示,在半导体基板1001上面形成有绝缘膜1002、第1布线1003、层间绝缘膜1004、抗蚀绝缘膜1005,和布线间绝缘膜1006。如图28B所示,在上述布线间绝缘膜1006上面形成具有开口部分的阻挡膜1007,并利用上述阻挡膜1007在上述布线间绝缘膜1006上形成沟槽1009。如图28C所示,在去除了上述阻挡膜1007之后,形成具有开口部分的阻挡膜1010,并利用上述阻挡膜1010刻蚀抗蚀绝缘膜1005和层间绝缘膜1004以使第1布线1003暴露出来,形成连接孔1012。
如图28D所示,在上述布线间绝缘膜1006、连接孔1012、和沟槽1009的上面覆盖铜1023。然后如图28E所示,通过抛光去除除了在上述沟槽1009和上述连接孔1012中以外的那部分铜1023,以形成连线柱部分1024和第2布线1025。
发明内容
本发明的目的在于提供一种通过简化制造工艺、可以以低成本、高生产率来制造半导体装置和显示装置的技术,其中的半导体器件和显示器件在诸如一侧达1米或大于1米的大尺寸基板上具有设计复杂的多层布线。
本发明的另一个目的在于提供一种将例如半导体装置以及显示装置器件中所包括的布线等部件形成为期望的形状并具有良好的附着性的技术。
在本说明书中,半导体装置是指可以利用半导体特性来工作的器件。通过利用本发明,可以制造多层布线或处理器芯片这样的半导体装置。
本发明也适用于具有显示功能的显示装置。利用本发明的显示装置包括将含有发出被称为场致发光(EL)的有机材料或有机材料与无机材料的混合物的介质放置于电极之间所构成的发光元件与TFT相连接所构成的发光显示装置、和利用含有液晶材料的液晶元件作为显示元件的液晶显示装置等。
制造本发明的半导体装置的方法的特征在于,包括以下步骤:形成第1导电层;在上述第1导电层上形成第1绝缘层;在上述第1绝缘层上形成第2绝缘层;在上述第1绝缘层和上述第2绝缘层中形成到达上述第1导电层的第1开口部分;在上述第2绝缘层之上形成对包含导电材料的组成物的可湿性(wettability)低于上述第2绝缘层的掩模层;在上述第2绝缘层中形成比上述第1开口部分宽的第2开口部分;以及用含有导电材料的组成物填充上述第1和第2开口部分以形成第2导电层。
制造本发明的半导体装置的方法的另一特征在于,包括以下步骤:形成第1导电层;在上述第1导电层上形成第1绝缘层;在上述第1绝缘层上形成具有孔的第2绝缘层;在上述第1绝缘层和上述第2绝缘层中形成到达上述第1导电层的第1开口部分;在上述第2绝缘层上形成对包含导电材料的组成物的可湿性低于上述第2绝缘层的掩模层;在上述第2绝缘层中形成比上述第1开口部分宽的第2开口部分;以及用含有导电材料的组成物填充上述第1和第2开口部分以及上述第2绝缘层中的上述孔以形成第2导电层。
另外,制造本发明的半导体装置的方法的另一特征在于,包括以下步骤:形成第1导电层;在上述第1导电层上形成第1绝缘层;在上述第1绝缘层上形成第2绝缘层;在上述第1绝缘层和上述第2绝缘层中形成到达上述第1导电层的第1开口部分;在上述第2绝缘层上形成掩模层;利用上述掩模层在上述第2绝缘层中形成比上述第1开口部分宽的第2开口部分;对上述掩模层表面进行等离子体处理以降低上述掩模层的表面对于含有导电材料的组成物的可湿性;以及用含有导电材料的组成物填充上述第1和第2开口部分以形成第2导电层。
制造本发明的半导体装置的方法的再一个特征在于,包括以下步骤:形成第1导电层;在上述第1导电层上形成第1绝缘层;在上述第1绝缘层上形成具有孔的第2绝缘层;在上述第1绝缘层和上述第2绝缘层中形成到达上述第1导电层的第1开口部分;在上述第2绝缘层上形成掩模层;利用上述掩模层在上述第2绝缘层中形成比上述第1开口部分宽的第2开口部分;对上述掩模层表面进行等离子体处理以降低上述掩模层的表面对于含有导电材料的组成物的可湿性;以及在上述第1和第2开口部分以及上述第2绝缘层中的上述孔中填充或放入含有导电材料的组成物以形成第2导电层。
根据本发明,可以形成相互之间具有良好的附着性的导电层。另外,还可以降低材料损失和成本。这样,可以高生产率地制造具有高性能和高可靠性的半导体装置和显示装置。
附图说明
图1A~1D为本发明的示意图。
图2A和2B为本发明的一般示意图。
图3A~3D说明利用本发明的半导体装置的制造方法。
图4A和4B说明利用本发明的半导体装置的制造方法。
图5为利用本发明的显示装置。
图6A~6C说明利用本发明的显示装置的制造方法。
图7A~7C说明利用本发明的显示装置的制造方法。
图8A~8C说明利用本发明的显示装置的制造方法。
图9A和9B为利用本发明的显示装置。
图10A和10B为利用本发明的EL显示面板。
图11为利用本发明的EL显示组件的结构例的剖面图。
图12为利用本发明的显示装置。
图13为利用本发明的显示装置。
图14A和14B为利用本发明的液晶显示面板。
图15A和15B为利用本发明的液晶显示面板的结构例的剖面图。
图16A~16C说明利用本发明的显示装置的制造方法。
图17A~17F为适用于利用本发明的EL显示面板的像素结构的电路图。
图18A~18D说明适用于本发明的发光元件的结构。
图19A~19D为利用本发明的电子装置。
图20A和20B为利用本发明的电子装置。
图21为利用本发明的电子装置的主要结构的方框图。
图22A和22B为利用本发明的显示装置的顶视图。
图23为适用于本发明的液滴排放装置的结构图。
图24A~24E为利用本发明的保护电路的电路图。
图25A~25C为利用本发明的显示装置的顶视图。
图26A~26G为利用本发明的半导体装置。
图27为利用本发明的半导体装置。
图28A~28E为现有技术的例子。
图29为适用于本发明的液滴排放设备的示意图。
具体实施方式
下面参照附图详细说明本发明的实施方式。但本发明并不限于以下的说明,还可以进行各种变化而不超出本发明的整体构思和范围,这一点对于本领域的技术人员是易于理解的。因此,本发明不限于以下的说明。本发明的结构中相同的部件或具有相同功能的部件用相同的符号标记,并且省略了重复的说明。
实施方式1
参照图1A~1D和图2A、2B说明本发明的实施方式1。
本发明的特征之一是,通过一种可以选择性地形成所期望的形状的图案的方法,形成制造半导体装置或显示装置所需的至少1个或者多个部件,例如用于形成布线层或电极的导电层、或用于形成预先确定的图案的掩模层,来制造半导体装置或显示装置。在本发明中,部件(也称为图案)是指薄膜晶体管或显示装置所包括的例如布线层、栅电极层、源电极层或漏电极层这样的导电层;半导体层;掩模层;绝缘层等,包括所形成的具有预先确定的形状的所有部件。使用选择性地排放(喷射)具有特定用途的混合物的液滴以使导电层、绝缘层等形成为预先确定的图案的液滴排放(喷射)方法(因其工作模式也称为喷墨法),作为选择性地形成将形成为所期望的图案的基板的方法。另外,也可以使用将部件转移或绘制为期望的图案的方法,例如:各种印刷方法,包括可以形成将形成为期望的图案的基板的方法,例如丝网印刷(滚筒印刷)、胶印(平版印刷)、凸版印刷、凹板印刷等;以及选择覆膜方法等。
本实施方式使用排放(喷射)含有部件形成材料的流体混合物的液滴来使含有部件形成材料的混合物形成为期望的图案。将含有部件形成材料的液滴排放到部件形成区域,并通过烘焙、干燥等使混合物固化,以形成具有期望图案的部件。
图29所示为液滴排放方法所用的液滴排放设备的示意图。液滴排放装置1403的各个排放头1405和1412连接于控制装置1407,该控制装置1407由计算机1410所控制从而可以形成预先编程的图案。可以根据例如在基板1400上形成的标记1411来确定形成位置。另外,也可以根据基板1400的一个边缘来确定参考点。成像装置1404检测上述参考点,并通过图像处理电路1409转换为数字信号。然后,该数字信号由计算机1410所识别而产生控制信号,并将该控制信号传送至控制装置1407。成像装置1404可以是使用电荷耦合器件(CCD)或互补金属氧化物半导体(CMOS)器件的图像传感器等。当然,与将在基板1400上形成的图案有关的信息存储在存储介质1408中,基于该信息,上述控制信号被传送到控制装置1407从而分别对液滴排放装置1403的各个排放头1405和1412进行控制。通过管道由供料源1413和1414分别向排放头1405和1412提供待排放的材料。
排放头1405的内部结构包括如图中虚线1406所示的充满液体材料的空间和作为排放口的喷嘴。虽然未图示,但排放头1412的内部结构与排放头1405类似。当排放头1405和1412的喷嘴尺寸不同时,可以同时排放具有不同宽度的不同材料。另外,可以用一个喷嘴来排放导电材料、有机材料、无机材料等。当在层间膜这样的宽的区域上绘制时,可以从多个喷嘴同时排放一种材料来进行绘制,以改善生产能力。当使用大尺寸的基板时,排放头1405和1412可以按图29所示的箭头的方向在基板上方自由扫描。这样,可以在基板上绘制多个相同的图案。
下面参照图1A~1D和图2A、2B说明本实施方式的总体构思。
布线层101与例如场效应晶体管或薄膜晶体管(未图示)的有源器件一起形成于基板100上,该基板100可以是硅基板、玻璃基板、石英基板或塑料基板等。通过溅射、真空蒸镀法、CVD等方法形成例如Al、Cu、W等导电材料的薄膜,并通过光刻法或刻蚀法将其加工为期望的形状,从而形成布线层101。另外,还可以通过液滴排放法来排放在溶剂中溶解或分散有导电材料的材料来选择性地形成薄膜,并通过热处理形成布线层。
形成绝缘层102、103和104。例如绝缘层102、103或104的层间绝缘层可以使用具有孔的绝缘层。在本实施方式中,通过涂覆法形成具有微孔的有机硅氧烷膜来形成绝缘层102和104。通过例如旋转涂布法(spin coating)或狭缝涂布法(slit coating)等涂布法形成含有孔形成材料的有机硅氧烷混合物,并通过热处理,在有机硅氧烷发生固化反应的同时,分解并蒸发孔形成材料。据此,在有机硅氧烷薄膜内形成大量的微孔。通过微孔的产生,降低了层间绝缘膜的介电常数和布线层之间的寄生电容,从而可以抑制信号的迟滞。当将绝缘层104加工为期望的形状时,绝缘层103起到刻蚀阻挡薄膜的作用。当绝缘层102和104的刻蚀选择率高时,绝缘膜103不是必须的。在本实施方式中,用通过CVD法形成的氮化硅膜作为绝缘层103。上述绝缘膜103仅在刻蚀用于布线的开口时作为刻蚀阻挡薄膜,并且只要能获得同样效果,也可以使用其它材料。
通过光刻工序形成由光刻胶构成、并在将与布线层101相连接的位置上具有开口部分的掩模层105a和105b。如图1B所示,以上述掩模层105a和105b作为刻蚀掩模,刻蚀绝缘层102、103和104直至暴露出布线层101以形成开口部分106。然后去除掩模层105a和105b。
通过光刻工序形成在将形成布线层的区域中具有开口部分的掩模层107a、107b和107c。优选使用光刻胶材料或有机材料作为上述掩模层107a、107b和107c的材料。在本实施方式中,使用了以酚醛树脂为聚合体、以重氮萘酚醌为感光剂的溶解抑制光刻胶。以上述掩模层107a、107b和107c作为刻蚀掩模,刻蚀绝缘层104直至暴露出绝缘层103以形成开口部分108a和108b。
然后,通过在含氟的气氛中进行的等离子体处理,在掩模层107a、107b、107c的表面上以C-F键代替C-H键,从而降低掩模层的表面能。在本实施方式中,等离子体处理是在CF4和O2的混合气氛中进行的。接着,利用通过液滴排放装置112a和112b将把导电材料溶解或分散于溶剂中而形成的溶液(下面也称为含有导电材料的混合物)选择性地排放到开口部分108a和108b。在本实施方式中,采用了在十四烷溶剂中分散有Ag纳米颗粒的溶液。通常,液滴排放法在定位精度方面劣于光刻工艺。但由于作为掩模层的光刻胶膜的表面相对于含有导电材料的混合物起到了低可湿性区域109a、109b、109c的作用,降低了表面能。因此,即使当所排放的溶液粘到了光刻胶膜上,也会被光刻胶膜所排斥而移动至开口部分108a和108b。
在本实施方式中,通过在含氟的气氛中进行的等离子体处理来降低了掩模层的表面能,但只要能达到同样的效果,也可以使用其它的方法。例如,可以通过对添加了例如含有碳氟基的化合物单体或低聚物的表面改良剂的光刻胶溶液进行涂覆、曝光、以及显影来形成具有低表面能的光刻胶膜。由于碳氟化合物具有低表面能并有存在于表面的倾向,只要添加1%的很小的量就可以在表面上形成碳氟基,从而降低表面能。
当存在布线材料向绝缘层扩散的问题时,可以在形成布线之前形成导电膜作为阻挡层。该导电膜可以覆盖开口部分中与布线材料相接触的侧壁部分。例如,可以使上述开口部分的侧壁部分吸收诸如钯(Pd)这样的催化材料,并通过化学镀法形成NiB。
接着,通过热处理使作为导电层110a和110b而形成的溶液干燥。在本实施方式中,在温度为150℃下热处理10分钟,使十四烷蒸发。在去除掩模层之后,在温度为230℃下进行1小时的热处理,以提高Ag纳米颗粒的附着性,形成布线层111a和111b。布线层111a与布线层101电连接。当存在布线材料向绝缘层扩散的问题时,可以通过CVD法形成氮化硅膜。通过重复上述工序,可以形成多层布线。
在通过液滴排放法形成导电层的情况下,导电层是这样形成的:排放含有导电材料颗粒的混合物,并通过烘焙熔化或熔接该混合物以使其凝固。由于上述含有导电材料的混合物是通过排放而附着在形成区的,所以该混合物包括溶剂和导电颗粒以具有流动性。至于通过排放和烘焙含有导电材料的混合物而形成的导电层,存在着导电层的形成不致密、有缺陷、或对作为形成主体(formation subject;即在其上形成所述混合物的物体)的绝缘层表面的附着性差的情况。这些在附着性方面的缺陷降低了所要制造的半导体装置、显示装置等的可靠性。
可以使用在层中或表面具有孔(空洞、空穴)的绝缘层作为绝缘层,该绝缘层被作为层间绝缘层。在层中或表面上的孔中可以保持有导电材料,其形成如下:附着在表面的颗粒状的导电材料进入并充满孔,并通过烘焙而凝固在孔中。在本说明书中,孔是指存在于绝缘层内部或表面上的空间,导电材料可以进入其中,并且凝固的导电材料可以保持在其中。上述孔的合适的尺寸是排放到绝缘层中的导电材料颗粒可以进入、并且通过孔的存在可以获得将导电材料固定在绝缘层表面的效果(也称为附着性或固定强度)的尺寸。这样,孔的尺寸是由孔的开口部分和所排放的导电材料颗粒的相互关系所决定的,并且只要孔的开口部分的尺寸大于导电材料所含有的颗粒的尺寸即可。另外,孔的形状可以不一致,可以是具有弯曲的形状或具有尖角的形状,只要其中具有至少有一个或多个开口部分以通过导电材料即可。绝缘层的孔可以是多个或单个,并且可以通过孔的部分连接或完全连接而连为一体。填充于孔内的导电材料颗粒的凝固形状取决于孔的形状。所以,优选有一部分或多数孔从其开口部分向内部(孔内部分)变大,使凝固的导电层在绝缘层中起到楔或锚的作用,以获得改善附着性的效果。另外,具有复杂形状的孔,例如被挤压的形状或具有许多边的多边形等,可以以更好的附着性固定导电层,防止导电层被剥离或脱落。
优选绝缘层具有许多的孔,因为这样可以提高保持导电材料和附着性的能力。这种具有许多小孔(空洞)的特征称为“多孔的(porous)”,具有许多小孔的物质基板称为多孔物质(porous substance)。多孔物质的特征在于其物质中所含小孔的百分比(孔密度)、小孔的尺寸分布,以及小孔形状等方面。小孔的尺寸取决于物质,小于2nm的孔称为微孔(micropore),2nm~50nm的孔称为中孔(mesopore),大于50nm的孔称为宏孔(macropore)。可以选择孔的尺寸大于导电材料颗粒的尺寸,以便使导电材料颗粒能进入孔中。多孔物质根据孔密度的不同从微孔物质变到多孔物质。在本发明中,使用具有保持凝固的导电层的能力、具有孔的层(膜)作为绝缘层,而不管其孔密度。
作为使导电材料可以进入绝缘层中的孔的方法,有利用毛细现象进绝缘层中的孔的方法,或者利用层表面的电学状态吸收排放的材料的方法。但本发明不限于上述方法。
图2B所示为使用具有孔的绝缘层作为用作层间绝缘层的绝缘层124和122的情况。由于通过刻蚀具有小孔的层间绝缘层形成了开口部分,所以在开口部分的侧面具有许多的孔截面。因为在后续的工序中形成的布线层在进入这些孔之后凝固,所以可以获得锚定效应,使布线层牢固地附着在层间绝缘层上。
如孔123和125所示,绝缘层中的多个孔内填充了液态混合物中的导电材料颗粒。在填充之后,通过后续的干燥和烘焙工序进行导电材料的熔化和凝聚,使导电材料凝固为孔的形状。将导电层保持在孔中的凝固并一体化的导电层作为整个导电层121a或121b的楔或锚。这样,导电层牢固地与绝缘层124和122结合。
如上所述,具有孔的绝缘层可以降低介电常数。上述具有孔的绝缘层的多孔性(porosity)优选为20%~90%。由于介电常数低,所以可以降低寄生电容,使半导体装置的高速驱动成为可能。
在本实施方式中,利用液滴排放装置形成布线层111a和111b。上述液滴排放装置是例如具有混合物排放口的喷嘴或装有一个或多个喷嘴的排放头等具有排放液滴的装置的装置的总称。液滴排放装置中的喷嘴的直径的范围是0.02μm~100μm,优选为0.02μm~30μm;从喷嘴排放的混合物的量的范围是0.001pl~100pl,优选0.1pl~40pl,更优选0.1pl~10pl。混合物的排放量与喷嘴的直径成正比地增加。另外,优选被加工的物体与喷嘴的排放口之间的距离为尽量小,以便将液滴滴于期望的位置。该距离优选设置在约0.1mm~3mm的范围内,更优选为0.1mm~1mm。
使用溶解或分散于溶剂中的导电材料作为由排放口排放的混合物。上述导电材料是Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、W和Al中的一种或多种金属的细微颗粒,并且可以混合有例如Cd或Zn等金属的一种或多种硫化物的细微颗粒,或Fe、Ti、Si、Ge、Zr或Ba等的氧化物,或卤化银等的细微颗粒。另外,导电材料还可以使用氧化铟锡(ITO)、由氧化铟锡和氧化硅构成的ITSO、有机铟或有机锡、氧化锌、氮化钛等,用于形成透明导电膜。然而,从获得特定的电阻值方面考虑,对于由排放口排放的混合物,优选使用溶解于或分散于溶剂中的金、银、铜之一的材料。尤其优选使用具有低电阻值的银或铜。然而,当使用银或铜时,可以另外提供阻挡膜作为对付杂质的措施。上述阻挡膜可以使用氮化硅膜或硼化镍(NiB)。
另外,可以使用在导电层上涂覆另一种导电层的、具有多个层的阻挡层。例如,可以是在铜上涂覆硼化镍(NiB)、再涂覆银的三层结构的阻挡层。上述溶剂可以选用乙酸丁酯或乙酸乙酯等酯,异丙醇或乙醇等醇,或例如甲基乙基酮或丙酮的有机溶剂等。混合物的粘度优选为小于等于20mPa·s。这样可以防止混合物在干燥时粘在排放口上,并使混合物平稳地从排放口排放。混合物的表面张力优选为小于等于40mN/m。可以根据所用的溶剂和所需要的用途来适当地控制混合物的粘度。例如,在溶剂中溶解有或分散有ITO、有机铟或有机锡的混合物的粘度可以设为5mPa·s~20mPa·s,而在溶剂中溶解有或分散有银的混合物的粘度可以设为5mPa·s~20mPa·s。
可以通过层积多种导电材料来形成导电层。另外,可以首先以银为导电材料、通过液滴排放装置形成导电层,然后可以再被覆铜等。上述被覆可以使用电镀或化学镀(非电镀)方法。可以将基板表面浸于充满含有被覆材料的溶液的容器中进行被覆;也可以将基板置于倾斜或垂直的位置、并向基板表面上倾倒溶液,来施加含有被覆材料的溶液。在倾斜或垂直放置的基板表面上施加溶液来进行被覆的优点是,即使对于大尺寸基板也可以减小加工所用的装置的尺寸。
虽然取决于各喷嘴的直径、期望的图案形状等,但出于防止堵塞喷嘴以及制造精细图案的目的,导电材料颗粒的直径应尽量地小。导电材料颗粒的直径优选为小于等于0.1μm。可以采用例如电解法、雾化法、湿法还原法等已知的方法形成混合物;所得到的颗粒的典型尺寸为0.01μm~10μm。不过,当使用气体蒸发法时,被分散剂所保护的纳米颗粒(nanoparticles)非常小,约为7nm;并且当每个颗粒的表面上覆有涂层时,在室温下,纳米颗粒不会在溶剂中聚集而是均匀地分散在溶剂中,其行为与液体相似。所以,优选使用涂层。
当利用具有流动性的混合物与将加工成期望图案的形成区域附近之间的可湿性的差时,该混合物即使在被粘结到将被加工的物体上时也应具有流动性。不过,只要未丧失流动性,就可以在减压条件下进行排放混合物的处理。另外,当在减压条件下进行处理时,优选在导电材料表面上不形成氧化膜等。在混合物排放后,进行干燥和/或烘焙工序。干燥和烘焙工序均为热处理。例如,可以在100℃下进行3分钟的干燥,在200℃~550℃下进行15~60分钟的烘焙,可以视需要改变处理温度和处理时间。所述干燥和烘焙工序是在常压或减压条件下,利用激光照射、快速热退火、加热炉加热等进行的。应该注意的是,对热处理的时间和热处理的次数并没有特别的限制。可以在进行干燥和烘焙工序之前充分加热基板;虽然此时基板的温度与基板的材料等有关,但典型为100℃~800℃,优选为200℃~550℃。通过上述步骤,纳米颗粒相互接触,通过四周的树脂的固化和收缩加速了熔化和熔接,而混合物中的溶剂则挥发了或分散剂被化学地去除了。
可以使用连续振荡或脉冲振荡的气体激光器或固态激光器进行激光照射。上述气体激光器可以是准分子激光器、离子激光器等。上述固态激光器可以是利用掺杂了Cr、Nd等的YAG、YVO4、GdVO4等晶体的激光器。应该注意的是,从激光的吸收率方面考虑,优选使用连续波激光器。另外,还可以使用组合了脉冲和连续波激光器的所谓混合激光照射法。根据基板的热阻,优选在数微秒至数十秒内瞬间进行上述利用激光照射的热处理,以避免使基板变形或损坏。快速热退火是在惰性气体气氛下,利用发出紫外线至红外线的红外线灯或卤素灯,通过快速升高温度并进行数微秒至数分钟的瞬间加热。由于处理是在瞬间进行的,所以实际上只有在上表面的薄膜被加热,而不影响下层的膜。换言之,即使像塑料基板这样的低热阻基板也不会受到影响。
在利用液滴排放法通过排放混合物形成了栅电极层后,通过压力对该栅电极层的表面加压使其平坦化,以提高其平坦度。作为加压的方法,可以是通过在表面上移动辊状物体来使表面平滑、降低粗糙度,或用平坦的板状物体垂直地压迫表面。另外,也可以在利用溶剂等使表面软化或融化后、利用气刀去除表面凹凸不平。还可以使用CMP来对表面进行抛光。当该凹凸不平是由于液滴排放法造成时,可以使用该步骤使表面平坦化。
作为可湿性低的基板,可以使用含有碳氟基或硅烷偶联剂的基板。上述偶联剂的化学式为:Rn-Si-X(4-n),其中n=1,2,3。在该化学式中,R表示含有例如烃基等比较不活跃的基的物质。X表示例如卤素、甲氧基、乙氧基、或乙酸基等可通过与基板表面上的羟基或所吸附的水而缩合来结合的水解根。
作为硅烷偶联剂的典型例子,通过使用R为氟烃基的含氟硅烷偶联剂,例如氟烃基硅烷(FAS),可以进一步降低可湿性。FAS中的R的结构表达为(CF3)(CF2)x(CF2)y,其中x为0~10的整数,y为0~4的整数。当多个R或X与Si结合时,R或X可相同或彼此不同。典型的FAS列举如下:氟烃基硅烷,例如十七氟-四氢癸基-三乙氧基甲硅烷(heptadecafluoro tetrahydrodecyl triehtoxysilane)、十七氟-四氢癸基-三氯甲硅烷(heptadecafluoro tetrahydrodecyltrichlorosilane)、十三氟-四氢癸基-三氯甲硅烷(tridecafluorotetrahydrodecyl trichlorosilane)、三氟丙基-三甲基甲烷(trifluoropropyl trimethoxysilane)。
作为形成低可湿性区域的溶液的溶剂,使用含有例如n-戊烷、n-己烷、n-庚烷、n-辛烷、n-癸烷、二环戊烷、苯、甲苯、二甲苯、四甲基苯、茚、四氢萘、十氢萘、或异三十烷、四氢呋喃等。
作为形成低可湿性区域的溶液的混合物的例子,使用含有碳氟链(例如氟基树脂)的物质。氟基树脂可以使用以下物质:聚四氟乙烯(PTFE)树脂、全氟烷氧基烷烃(PFA)或四氟乙烯-全氟烷基乙烯基乙醚共聚物树脂、全氟乙烯丙稀共聚物(PFEP)或四氟乙烯-六氟丙稀共聚物树脂、乙烯-四氟乙烯共聚物(ETFE)或四氟乙烯-乙烯共聚物树脂、聚偏二氟乙烯(PVDF)树脂、聚氯三氟乙烯(PCTFE)或聚三氟氯乙烯树脂、乙烯-氯三氟乙烯共聚物(ECTFE)或聚三氟氯乙烯-乙烯共聚物树脂、聚四氟乙烯-全氟间二氧杂环戊烯共聚物(TFE/PDD)、聚氟乙烯(PVF)或氟乙烯树脂等。
另外,然后还可以通过使用CF4等离子体的处理等,利用有机材料来形成低可湿性区域。作为上述有机材料的例子,可以使用将例如H2O的溶剂与例如聚乙烯醇(PVA)的水溶性树脂混合的材料。还可以使用PVA与其它水溶性树脂的组合物。可以使用具有由例如聚酰亚胺以及丙烯酸等有机材料(有机树脂材料)或硅(Si)与氧(O)结合的骨架结构的材料,其中可以使用至少含有氢的材料、或至少含有氟、烷基、芳香烃之一的材料作为取代基。即使使用了具有低可湿性表面的材料,也可以利用等离子体处理等进一步降低可湿性。
根据本发明,可以将部件形成为期望的图案并具有良好的附着性,同时可以降低材料损耗和成本。这样,可以以高成品率来制造具有高性能、高可靠性的半导体装置和显示装置。
实施方式2
在本实施例中,参照图3A~3D、4A和4B,说明形成利用单晶半导体基板的MOS晶体管和含有MOS晶体管的多层布线结构的工序。
在基板150上形成元件隔离区151a、151b、和151c。基板150是单晶半导体或化合物半导体基板,典型地是n-型或p-型单晶硅基板、GaAs基板、InP基板、GaN基板、SiC基板、蓝宝石基板、ZnSe基板等。另外,基板150也可以使用SOI(绝缘体上硅)基板。在本实施方式中,使用单晶硅基板作为基板150。可以适当地使用已知的选择氧化法(LOCOS;局部硅氧化)、沟槽隔离法等来形成元件隔离区151a、151b、和151c。利用LOCOS法使硅基板的一部分氧化来形成氧化硅膜作为元件隔离区151a、151b、和151c。然后,适当进行阱离子注入、沟道终止离子注入、阈值调整离子注入。
基板150的表面被洗净并暴露。接着,在基板150和元件隔离区151a、151b、和151c的上面形成绝缘层作为栅绝缘层。在上述绝缘层上形成导电膜作为栅电极层。上述绝缘层可以使用氧化硅膜或氮化硅膜。另外,也可以在基板150上按顺序层积氧化硅膜和氮化硅膜,还可以在基板150上按顺序层积氧化硅膜、氮化硅膜、和氧化硅膜。在本实施方式中,通过顺序层积氧化硅膜和氮化硅膜来形成上述绝缘膜。可以利用已知的方法,例如溅射、汽相淀积、CVD等,来形成上述导电膜。可以选择钽(Ta)、钨(W)、钛(Ti)、钼(Mo)、铝(Al)、铜(Cu)、铬(Cr)、钕(Nd)、或含有一种上述元素的合金材料或化合物材料中的一种作为主要成分来形成上述导电膜。也可以利用掺有杂质的半导体膜来作为上述导电膜。将上述导电膜和上述绝缘膜加工为期望的图案以形成栅电极层153a和153b,和删绝缘层152a和152b。
然后,形成覆盖栅电极层153a和153b、栅绝缘层152a和152b等的绝缘层,并通过RIE(反应离子刻蚀)法进行各向异性刻蚀来对上述绝缘层进行处理,以在栅电极层153a和153b、以及栅绝缘层152a和152b的侧面的壁上自对准地形成侧壁(侧壁隔离物)154a、154b、154c和154d。在此,对上述绝缘层并无特别的限制。但是,上述绝缘层优选通过使TEOS(正硅酸乙酯)、硅烷等与氧、氧化氮等反应来形成具有良好的台阶覆盖性的氧化硅。上述绝缘层可以通过热CVD、等离子体CVD、常压CVD、偏置ECR CVD、溅射等方法来形成。
利用栅电极层153a和153b、栅绝缘层152a和152b、以及侧壁154a、154b、154c和154d作为掩模,自对准地对基板150掺入杂质。然后,通过热处理、GRTA法、LRTA法等激活杂质,以形成源区或漏区155a、155b、155c、155d、156a、156b、156c、和156d。源区或漏区155a~155d为高浓度掺杂区,源区或漏区156a~156d为低浓度掺杂区。当掺入硼(B)等具有p-型导电性的杂质时,上述源区或漏区成为p-型掺杂区。当掺入磷(P)等具有n-型导电性的杂质时,上述源区或漏区成为n-型掺杂区。在本实施方式中,利用掺入磷(P)等具有n-型导电性的杂质来形成n-型掺杂区。
如图3A所示,形成覆盖上述元件隔离区、上述栅电极层、上述侧壁等的绝缘层157。在本实施方式中,上述绝缘层157是通过等离子体CVD或溅射而形成的含硅绝缘膜。绝缘层157并不限于氮化硅,也可以是通过等离子体CVD而形成的氧化氮化硅(SiNO)。另外,还可以是其它含硅绝缘膜的单层或层积结构。
可以从氮化硅、氧化硅、氮氧化硅(SiON)、氧化氮化硅(SiNO)、氮化铝(AlN)、氮氧化铝(AlON)、含氮量多于含氧量的氧化氮化铝(AlNO)、氧化铝、类钻石碳(DLC)、含氮的碳膜(CN)中选择一种材料来形成上述绝缘膜157。另外,也可以使用硅氧烷树脂。应注意的是,上述硅氧烷是指含有Si-O-Si键的树脂。硅氧烷具有由硅(Si)和氧(O)的键而形成的骨架结构。作为取代基,可以使用至少含有氢的有机基,例如烷基和芳香烃。另外,也可以使用氟基作为取代基。
然后,形成绝缘层180作为层间绝缘膜。在本发明中,用于平坦化的层间绝缘膜应是高热阻和高绝缘的、并可被高度平坦化的。优选通过以旋转涂覆法为典型方法的涂覆法形成上述层间绝缘膜。
在本实施方式中,上述绝缘层180所用的材料是具有由硅(Si)和氧(O)的键形成的骨架结构的涂层膜,其中使用例如烷基和芳香烃等至少含有氢的化合物作为取代基。另外,也可以使用氟基作为取代基。还可以使用至少含有氢的化合物和氟作为取代基。经烘焙的上述膜被称为含烷基的氧化硅膜(SiOx)。该含烷基的氧化硅膜(SiOx)可以耐受300℃或更高温度的热处理。
可以利用浸渍涂覆、喷涂、旋转涂覆、刮片、辊涂装置、帘式淋涂装置、刮涂装置、CVD、汽相淀积等来形成绝缘层180。另外,可以通过液滴排放法来形成绝缘层180。使用液滴排放法可以节省材料溶液。也可以使用像液滴排放法一样可以转移或绘制图案的方法,例如印刷法(通过例如丝网印刷或平板印刷来形成图案)等。另外,还可以使用氧化硅、氮化硅、氮氧化硅等无机材料。
除具有由硅(Si)和氧(O)的键形成的骨架结构的绝缘膜外,只要是具有高热阻和可被高度平坦化,上述绝缘膜180还可以由一种或多种无机材料、光敏或非光敏有机材料(有机树脂材料)、低介电常数材料来形成;其中所述无机材料包括氧化硅、氮化硅、氮氧化硅、氧化氮化硅、PSG(磷硅玻璃)、BPSG(硼磷硅玻璃)、氧化铝膜等,所述有机树脂材料包括聚酰亚胺、丙烯酸、聚酰胺、聚酰亚胺酰胺(polyimide amide)、光刻胶、或苯环丁烯。也可以使用上述这些膜的层积体。
绝缘层181和182层积于绝缘膜180上面。在本实施方式中,由于在将绝缘膜182加工为期望形状时使用绝缘膜181作为刻蚀阻挡物,所以优选使绝缘层181与182的刻蚀选择比(etching selection ratio)高。可以通过与形成绝缘层157相同的步骤利用与绝缘层157相同的材料形成绝缘层181。可以通过与形成绝缘层181类似的步骤利用与绝缘层181类似的材料形成绝缘层181。如实施方式1所述,当利用具有孔的绝缘层形成绝缘层180、181、和182时,在上述孔中用一部分与绝缘层结合的导电层来填充。因此,可以获得对于绝缘层的类楔效应(锚定效应),从而改善了附着性。
刻蚀绝缘层157、180、181和182,形成到达源区或漏区的开口部分。首先,在本实施方式中,在绝缘层157、180、181和182中形成第1开口部分;形成掩模层158a、158b、158c、158d;然后,利用绝缘层181作为刻蚀阻挡物形成第2开口部分,使绝缘层182中的第1开口部分扩大。图3B中的开口部分159a、159b、159c和159d所示为上述第1和第2开口部分沿膜厚方向的剖面图。面向开口部分的绝缘层181和182的侧表面在沿剖面方向上是不连续的,并具有台阶形状。
其次,进行处理使掩模层158a、158b、158c、158d的表面成为对在开口部分形成的含有导电材料的液态化合物为低可湿性的区域。在本实施方式中,通过在含氟气体气氛下进行等离子体处理,使掩模层表面的C-H键被C-F键所代替,从而使掩模层表面的表面能降低。在本实施方式中,等离子体处理是在CF4和O2的混合气体气氛下进行的。由于低可湿性区域成为与液体有关的液体排斥区域并排斥液体,所以液滴无法附着在低可湿性区域。含有导电材料的液态混合物不会附着在对混合物的可湿性低的掩模表面上。即使附着在上面也是不稳定的,而具有较高的可湿性的开口部分则被含有导电材料的混合物所充满。这样,由于开口部分充满了含有导电材料的混合物,所以可以以良好的稳定性和可控性来形成布线层,并且可以避免材料损耗。这样,由液滴排放装置160所排放的含有导电材料的混合物被掩模层表面的低可湿性区域161a、161b、161c、161d和161e所排斥而不会附着在上面,而开口部分159a、159b、159c和159d则被含有导电材料的混合物所充满从而形成导电层162a、162b、162c和162d。通过干燥和烘焙使导电层162a、162b、162c和162d凝固,形成源或漏电极层163a、163b、163c和163d。这样,如图3D所示,制成了MOS晶体管170a和170b。
仅对不形成源或漏电极层的区域提供关于混合物的低可湿性物质,使该区域具有低可湿性(以下也称为低可湿性区域)。然后,使形成有源或漏电极层的区域成为具有较高可湿性的区域(以下也称为高可湿性区域)。由于所排放的含有导电材料的液态混合物被低可湿性区域所排斥,所以可以以良好的可控性自对准地提供给形成源或漏电极层的区域。
可湿性不同的区域是含有导电材料的混合物的接触角不同的区域。含有导电材料的混合物的接触角大的区域为低可湿性区域,而接触角小的区域为高可湿性区域。在接触角大的情况下,具有流动性的液态混合物不会敷在该区域的表面上,被表面所排斥,因而不会浸湿表面。当接触角小时,具有流动性的液态混合物会敷在区域表面上浸湿表面。这样,具有不同的可湿性的区域的表面能不同。低可湿性区域表面的表面能低,而高低可湿性区域表面的表面能高。并且,对液体的低可湿性区域指的是液体难以稳定地停留的区域,而该区域表面的液滴的行为则随可湿性的程度而改变。当区域的可湿性低、液体排斥性高(也称为对液滴的可湿性低的区域或液体排斥区域)时,该区域完全排斥液体。在本说明书中,只要求当选择性地控制形成有导电层的区域与不形成导电层的区域之间的可湿性时获得对形成有导电层的区域形成稳定的导电层的效果即可。通常,优选不形成导电层的区域为完全的液体排斥区域,以便以良好的可控性形成导电层。另外,由于只有接触并稳固液滴的表面需要可湿性,所以形成导电层的区域无须在整个厚度方向具有相同的特性。
图4A和4B所示为在图3A~3D所示的MOS晶体管上制造多层布线的例子。绝缘层165、166和167层积于图3A~3D中的MOS晶体管上面,并形成到达源或漏电极层163a、163b、163c和163d的开口部分。可以使用与形成绝缘层180、181、182以及开口部分159a、159b、159c和159d相同的方法形成绝缘层165、166和167以及上述开口部分。对用于形成开口部分的掩模层表面进行处理,使该表面成为关于含有导电材料的液态混合物的低可湿性区域。在本实施方式中,优选在含氟的气体气氛下的等离子体处理。
对特定液体的低可湿性区域为排斥液体的液体排斥区域,液滴无法附着在该低可湿性区域。含有导电材料的液态混合物无法附着在对该混合物具有低可湿性的掩模层表面。即使附着也不稳定,而具有高可湿性的开口部分则充满上述含有导电材料的混合物。这样,由于开口部分充满了含有导电材料的混合物,所以可以以良好的稳定性和可控性来形成布线层,并且可以改善材料损耗。这样,如图4A所示,由液滴排放装置169所排放的含有导电材料的混合物被掩模层表面的低可湿性区域168a、168b、168c、168d和168e所排斥而不会附着在上面,而各开口部分则被含有导电材料的混合物所充满从而形成导电层171a、171b、171c和171d。通过干燥和烘焙使导电层171a、171b、171c和171d凝固,形成源或漏电极层172a、172b、172c和172d。这样,如图4B所示,制成了MOS晶体管170a和170b。
在本发明中,使含有导电材料的液态混合物附着于形成布线的区域并使其凝固来形成布线层。在以液体状态填充或附着后,该含有导电材料的液态混合物仍具有流动性,而在后续的凝固时有改变该混合物的形状的情况。填充有作为流体的含有导电材料的液态混合物的部分、用于凝固的烘焙温度或时间等影响上述的形状改变。当使用具有孔的绝缘层作绝缘层作为层间膜时,上述孔被导电颗粒填充,并且上述导电颗粒被凝集。填充于上述孔中并凝固的导电层起到楔或锚的作用,使导电层与绝缘层之间的结合增强,从而改善了附着性。在本实施方式中,由于在使导电层162a、162b、162c和162d凝固以形成源或漏电极层163a、163b、163c和163d时溶剂干燥,导电层表面的中央部分变为下凹的形状。然而,该形状会随例如导电材料颗粒的形状或尺寸、溶剂等材料的特性、形成的温度或时间等制造条件的改变而改变。另一方面,当使导电层171a凝固而形成布线层172a时,布线层表面是大致平坦的。也有布线层表面因条件不同而呈突起状的情况。
根据本发明,可以仅在开口部分形成布线材料而无需例如CMP等去除多余的布线材料的工序,从而简化了形成多层布线的工艺。而且,由于无需去除多余的布线材料,所以可以有效地利用导电材料,从而降低成本。将导电材料溶解于或分散于溶剂中的溶液注入开口部分,使溶剂干燥,并通过热处理使导电材料熔接,从而形成导电材料。由于使用了溶液,所以可以容易地使其嵌入连接孔中,从而可以制造无空腔的高可靠的布线结构。通过使用该布线结构,可以高成品率、低成本地制造高可靠的半导体装置。
实施方式3
图25A是利用本发明的显示面板结构的顶视图。在具有绝缘表面的基板2700上面形成将像素2702排列为矩阵状的像素部分2701、扫描线输入端2703、信号线输入端2704。像素的数量按照不同的标准而确定。对于XGA的RGB全彩色显示器,像素数量为1024×768×3(RGB)。类似地,对于UXGA的RGB全彩色显示器,像素数量为1600×1200×3(RGB),而对于全规格高清晰的RGB全彩色显示器,像素数量为1920×1080×3(RGB)。
在从扫描线输入端2703延伸的扫描线与从信号线输入端2704延伸的信号线的交叉点上,形成呈矩阵状排列的像素2702。每一个像素2702具有开关元件和与该开关元件相连接的像素电极。典型的开关元件是TFT。TFT的栅电极与扫描线相连接,TFT的源极或漏极与信号线相连接,从而使各个像素可以通过由外部输入的信号来分别控制。
图25A所示为显示面板的结构,其中输入于扫描线和信号线的信号由外部驱动电路所控制。也可以如图22A所示,通过COG(玻璃上芯片)将驱动IC 2751设置在基板2700上。还可以使用如图22B所示的TAB(胶带自动键合)的其它设置方法。上述驱动IC可以在单晶半导体基板上形成,也可以由在玻璃基板上形成的TFT而形成。在图22A和22B中,驱动IC 2751与FPC(柔性印刷电路)2750相连接。
当像素所含的TFT由多晶或微晶半导体形成时,可以如图25B所示将扫描线驱动电路3702与基板3700集成在一起。在图22B中,符号2701表示像素部分,信号线驱动电路则以与图25A相同的方式由外部驱动电路所控制。如图25C所示,在本发明中,除形成TFT外,当使用具有高电子迁移率的多晶(微晶)半导体、单晶半导体等形成像素所含的TFT时,扫描线驱动电路4702和信号线驱动电路4704可以与玻璃基板4700集成在一起。应注意的是,在图25C中,符号4701表示像素部分。
图5是本实施方式制造的显示装置的像素区域的顶视图。图6A~9B和图10B是沿图5中的线A-C和B-D截取的各个工序的剖面图。图6A~9B中的区域I-J表示沿图10A中的线I-J截取得剖面图,该区域为该显示装置的外围驱动电路区域。
基板300是由硼硅酸钡玻璃、硼硅酸铝玻璃等构成的玻璃基板、金属基板、或可耐受制造工艺过程中的加工温度的塑料基板。可利用CMP等对基板300的表面进行抛光使其平坦化。另外,可以在基板300上面形成绝缘层。上述绝缘层是通过例如CVD的已知方法所形成的氧化材料或氮化材料的单层或层积层。该绝缘层不是必须的,但它有防止基板300被污染物等所污染的作用。
在基板300上形成导电膜,并利用光刻胶掩模将该导电膜加工为期望的形状,以形成栅电极层301、302、303、360a和360b。可以通过CVD、溅射、液滴排放法等方法来形成栅电极层301、302、303、360a和360b。形成栅电极层301、302、303、360a和360b的材料可以从Ag、Au、Ni、Pt、Pd、Ir、Rh、Ta、W、Ti、Mo、Al、Cu、或以上述元素为主的合金材料或混合物材料中选择。另外,也可以使用掺杂有例如磷的杂质的、以多晶硅膜为代表的半导体膜、或AgPdCu合金。其层结构可以是单层结构或分层结构。例如,可以是氮化钨(WN)膜和钼膜的双层结构或按顺序层积厚度为50nm的钨膜、厚度为500nm的铝硅合金(Al-Si)膜、和厚度为30nm的氮化钛膜的三层结构。另外,在三层结构的情况下,可以用氮化钨代替作为第1导电膜的钨、用铝钛合金(Al-Ti)膜代替作为第2导电膜的铝硅合金(Al-Si)膜、用钛膜代替作为第3导电膜的氮化钛膜。
在将栅电极层301、302、303、360a和360b加工为特定形状时,可以在形成了掩模之后利用干法刻蚀或湿法刻蚀将上述栅电极层301、302、303、360a和360b加工为期望的形状。可以利用ICP(诱导耦合等离子体)刻蚀、并适当地控制刻蚀条件(施加在线圈电极上的电功率的量、施加在基板一侧的电极上的电功率的量、基板一侧的电极温度等)来将上述电极层刻蚀为楔形。应注意的是,可以适当地利用以Cl2、BCl3、SiCl4、CCl4等为代表的基于氯的气体、以CF4、SF6、NF3等为代表的基于氟的气体、或O2作为刻蚀气体。
可以通过选择性地排放混合物来形成用于加工期望图案的掩模。当用此方法选择性地形成上述掩模时,可以简化加工掩模形状的工序。上述掩模可以使用树脂材料,例如环氧树脂、酚树脂、酚醛树脂、丙烯酸树脂、三聚氰胺甲醛树脂、或聚氨酯树脂。另外,可以利用液滴排放法,使用例如苯环丁烯、聚对二甲苯、氟化亚芳香基乙醚、或渗透性聚酰亚胺等有机材料、由基于硅氧烷的聚合物等聚合而成的化合物材料、含有水溶性均聚物和水溶性共聚物的混合物材料等。另外,也可以使用含有感光剂的市售光刻胶材料,例如典型的正型光刻胶如酚醛树脂或作为感光剂的二叠氮萘醌、或负型光刻胶如盐基树脂、联苯硅烷二醇、或酸发生剂。无论使用何种材料,都应通过调整溶剂浓度或添加表面活性剂等适当地控制其表面张力和粘度。
在本实施方式中,当通过液滴排放法形成用于加工期望图案的掩模时,可以执行预处理,以在形成区域的外围形成具有不同的可湿性的区域。在本发明中,在利用液滴排放法排放液滴来形成例如导电层或绝缘层等部件时,可以通过在部件的形成区域形成关于形成材料的低可湿性区域和高可湿性区域来控制部件的形状。通过在形成区域进行上述处理,在形成区域形成可湿性的差,液滴仅停留在高可湿性的形成区域,从而以良好的可控性使形成物质形成为期望的图案。当使用液体材料时,该工序可以作为形成各种部件(绝缘层、导电层、掩模层、布线层等)的预处理。
在上述栅电极层301、302、303、360a和360b上形成栅绝缘层,并在上述栅绝缘层上形成无定形半导体膜306以形成半导体层。
可以使用例如氧化硅材料、氮化硅材料的材料形成上述栅绝缘层,并且上述栅绝缘层可以是单层或层积层。另外,上述栅绝缘层可以是单层氮氧化硅膜或氮氧化硅与上述材料的多层膜。当使用通过液滴排放法所形成的由银、铜等构成的导电层时,通过在其上面形成作为阻挡膜的氮化硅膜或NiB膜来防止杂质扩散、并使该导电层平坦化。为了形成在低温下的栅漏电流低的致密绝缘膜,可以利用含有例如氩的稀有气体元素的反应气体将稀有气体元素混合入将形成的绝缘膜中。
可以视需要形成具有一种导电类型的半导体层。也可以制造具有n-型半导体层的n-沟道TFT的NMOS结构、具有p-型半导体层的p-沟道TFT的NMOS结构、以及n-沟道TFT和p-沟道TFT的CMOS结构。可以通过掺杂来掺入赋予导电性的元素,将半导体层形成为掺杂区,从而形成n-沟道TFT或p-沟道TFT。可以通过利用PH3的等离子体处理使半导体层具有导电性来代替形成上述的n-型半导体层。
可以使用无定形硅(以下称为“AS”)、多晶半导体、半无定形(也称为微晶或微结晶)半导体(以下称为“SAS”)等作为形成半导体层的材料;通过汽相生长法或利用以硅烷或锗烷为代表的半导体材料气体的溅射法来制造上述AS,利用光能或热能使无定形半导体结晶来形成上述多晶半导体。上述半导体层可以利用已知的方法来形成,例如溅射、LPCVD、等离子体CVD等。
上述SAS是一种具有介于无定形结构和晶体结构(包括单晶和多晶)之间的中间结构的半导体,具有在自由能方面稳定的第三态,并包括具有短程有序和晶格扭曲的结晶区域。至少可以在膜的一部分观察到0.5nm~20nm的结晶区域。当以硅为主要成分时,拉曼谱向低于520cm-1的频率移动。通过X-射线衍射可以观察到由硅晶体的晶格所造成的(111)或(220)的衍射峰。可以含有原子百分率为大于等于1%的氢或卤素来中和未结合键。通过硅化物气体的辉光放电(等离子体CVD)来形成上述SAS。典型的硅化物气体是SiH4。也可以使用Si2H6、SiH2Cl2、SiHCl3、SiCl4、SiF4等作为硅化物气体。还可以加入F2或GeF4。可以使用H2、或He、Ar、Kr和Ne中的一种或多种稀有气体来稀释该硅化物气体。稀释比为1∶2~1∶1000。压强约为0.1Pa~133Pa;电源频率为1MHz~120MHz,优选13MHz~60MHz。上述基板的加热温度优选300℃或更低,上述膜在100℃~200℃的温度下也可以形成。优选使用浓度小于等于1×1020cm-3的例如氧、氮、或碳等大气组分的杂质作为在成膜工序中所用的杂质成分;尤其是氧的浓度为小于等于5×1019cm-3,优选1×1019cm-3。通过加入例如氦、氩、氪、或氖等稀有气体来进一步促进晶格扭曲,以获得优选的SAS。另外,也可以作为半导体层,可以在利用基于氟的气体所形成的SAS层上形成利用基于氢的气体所形成的SAS层。
典型的无定形半导体为氢化无定形硅,而典型的结晶半导体为多晶硅。多晶硅包括:以在处理温度大于等于800℃下形成的多晶硅为主的高温多晶硅、以在处理温度小于等于600℃下形成的多晶硅为主的低温多晶硅、和通过添加促进结晶的成分而结晶的多晶硅。当然,如上所述,也可以使用半无定形半导体层或在半导体层的一部分中具有结晶相的半导体。
当使用结晶半导体层作为上述半导体层时,可以使用已知的方法作为制造上述结晶半导体层的方法,例如激光结晶法、热结晶法、使用例如镍等促进结晶的成分的热结晶法等。作为一种SAS,微晶半导体是通过激光照射而结晶并改善可结晶性的。在不使用促进结晶的成分的情况下,在用激光照射无定形硅膜之前,在氮气氛中将上述无定形硅膜在500℃的温度下加热1小时,使上述无定形硅膜排放氢直至其所含的氢的浓度小于等于1×1020cm-3。这是由于当用激光照射时,会破坏含氢量高的无定形硅膜。
可以使用任何方法将金属元素加入上述无定形半导体层中,只要该方法可以使金属元素存在于无定形半导体层的表面或无定形半导体层中即可。例如,可以使用溅射、CVD、等离子体处理法(包括等离子体CVD)、吸附法、或涂敷金属盐溶液的方法等。其中,使用溶液的方法简便易行,在易于控制金属元素的浓度方面具有优势。优选使用在氧气氛下进行的UV光照射、热氧化法、用臭氧水或含羟基的过氧化氢的处理等方法形成氧化膜,以改善上述无定形半导体层表面的可湿性,并在上述无定形半导体层的整个表面上涂敷上述水溶液。
可以合并使用热处理和激光照射来使上述无定形半导体层结晶。另外,上述热处理和激光照射之一可以进行多次。
另外,可以利用线性等离子体法直接在基板上形成结晶半导体层。也可以利用线性等离子体法在基板上选择性地形成结晶半导体层。
可以使用有机物半导体材料,通过印刷法、喷雾法、旋转涂覆法、液滴排放法等形成半导体层。由于在此情况下无须上述的刻蚀工序,所以可以减少工序的数量。上述有机物半导体可以是低分子量材料、高分子量材料等;另外,也可以是例如有机色素或导电的高分子量材料的材料。在本发明中,优选使用具有由共轭双键形成的骨架的π-电子共轭高分子量材料。典型地,可以使用可溶的高分子量材料,例如聚噻吩、聚芴、聚3-烷基噻吩、聚噻吩衍生物、或并五苯。
本发明的有机物半导体材料还可以使用可以在淀积了形成半导体层的可溶性前体之后进行处理的材料。作为使用前体而形成的上述有机物半导体材料,可以使用聚-亚噻吩基-亚乙烯基(poly-thienylene-vinylene)、聚(2,5-亚噻吩基-亚乙烯基)(poly(2,5-thienylene-vinylene))、聚乙炔、聚乙炔衍生物、聚-丙炔-亚乙烯基(poly-allylene-vinylene)等。
在将上述前体转换为有机物半导体时,除热处理外,还添加例如氯化氢气体的反应催化剂。以下材料是溶解上述可溶有机物半导体材料的典型溶剂:甲苯、二甲苯、氯苯、二氯苯、苯甲醚、氯仿、二氯甲烷、γ丁基内酯、丁基溶纤剂(butyl cellosolve)、环己烷、NMP(N-甲基-2-吡咯烷酮)、环己酮、2-丁酮、二氧杂环乙烷、二甲基甲酰胺(DMF)、THF(四氢化呋喃)等。
在本实施方式中,将由氮化硅构成的栅绝缘层305a和由氧化硅构成的栅绝缘层305b层积来作为栅绝缘层。无定形半导体膜306由无定形硅膜构成。只需切换气体的种类就可以通过等离子体CVD连续地形成栅绝缘层305a和305b、以及无定形半导体层306。通过连续地形成上述的膜,可以简化其制造工序,并可以防止大气中的杂质附着在上述膜的表面或界面上。
在去除了上述无定形半导体膜上的氧化膜后,通过氧气氛中的UV照射、热氧化法、利用臭氧水或含羟基的过氧化氢的处理等方法形成厚度为1nm~5nm的氧化膜。在本实施方式中,如图6A所示,利用旋转涂覆法在上述无定形半导体膜306上涂覆含有浓度为30ppm的Ni的溶液,以形成金属膜307。优选利用旋转涂覆法涂覆含有重量比率为10ppm~110ppm的Ni的溶液,上述的含Ni的重量比率优选10ppm~50ppm。对于使用促进结晶的成分的情况,可以选择铁(Fe)、镍(Ni)、钴(Co)、钌(Ru)、铑(Rh)、钯(Pd)、锇(Os)、铱(Ir)、铂(Pt)、铜(Cu)和金(Au)中的一种或多种元素来形成金属膜307。根据形成条件,该金属膜307非常薄,并不一定保持膜的形态。为了获得促进结晶的效果,上述金属膜307可以与上述无定形半导体膜306相接触地形成。然后,加热上述无定形半导体膜306以形成结晶半导体膜309。在此情况下,在上述半导体膜的一部分形成与用于促进上述半导体层的结晶的上述金属元素相接触的硅化物,并且结晶是以该硅化物为晶核而进行的。在脱氢热处理后,进行结晶热处理,其条件为550℃~750℃、3分钟~24小时。另外,也可以通过RTA或GRTA进行结晶。在此,通过进行不使用激光照射的热处理结晶,可以减少结晶的变异,并可以抑制随后形成的TFT的变异。在本实施方式中,在550℃温度下进行8小时的热处理来形成结晶半导体膜309。
可以对以这种方式获得的结晶半导体膜309掺杂低剂量的杂质成分(硼或磷),以便控制薄膜晶体管的阈值电压。掺杂既可以在使上述无定形半导体膜结晶的步骤之前进行,也可以在利用收气(gettering)工序减少或去除了结晶半导体膜中的金属成分之后进行。在本实施方式中,通过使用未经质量分离的二硼烷的受激等离子体的离子掺杂法来掺杂硼。应注意的是,也可以使用质量分离的离子注入法。当上述无定形半导体膜掺杂了杂质成分时,杂质成分可以被后续的结晶热处理工序所激活,并且还可以减少掺杂所造成的缺陷等。
在上述结晶半导体膜上形成含有具有一种导电类型的杂质成分的半导体膜。上述杂质成分可以是n-型杂质成分、p-型杂质成分、或稀有气体元素。例如,可以使用从磷(P)、氮(N)、砷(As)、锑(Sb)、铋(Bi)、硼(B)、氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、和氙(Xe)中选择的一种或多种成分。也可以形成含有稀有气体元素的含有具有n-型导电类型的杂质成分的n-型半导体层。
在本实施方式中,如图6B所示,在上述结晶半导体膜309上形成n-型半导体膜308。上述n-型半导体膜308是通过等离子体CVD形成的含有作为n-型导电类型的杂质的磷(P)的无定形半导体膜。如图6C所示,进行热处理,以该n-型半导体膜308作为收气物来吸收上述结晶半导体膜309中的上述金属成分。在本实施方式中,上述热处理是在550℃的温度下进行4小时。通过热处理,结晶半导体膜309中的金属成分沿图6C所示的箭头方向移动,然后被n-型半导体膜308所俘获。通过该工序,将结晶半导体膜中所含的用于促进结晶的成分(本实施方式中为镍)的浓度浓度调整为不影响该器件的特性的浓度,即,可以将上述膜所含的镍的浓度调整为小于等于1×1018/cm3,优选为小于等于1×1017/cm3。这样,上述结晶半导体膜309成为减少了金属成分的结晶半导体膜310,上述n-型半导体膜308成为含有n-型导电类型的杂质(本实施方式中为P)和金属成分(本实施方式中为Ni)的n-型半导体膜311。另外,在一些情况下,也可以通过热处理使在收气之后移入了金属成分的上述n-型半导体膜308结晶。在本实施方式中,在进行收气工序的同时,上述n-型半导体膜308中的n-型导电类型杂质(施主元素)被激活。
作为具有一种导电类型的上述半导体膜,可以在上述结晶半导体膜上按顺序层积包括含有低浓度杂质成分的半导体膜和含有高浓度杂质成分的半导体膜的、每层具有不同的杂质浓度的双层半导体膜。在此情况下,上述含有低浓度杂质成分的半导体膜起到LDD的作用,上述含有高浓度杂质成分的半导体膜则作为源区或漏区。
如图7A所示,将上述结晶半导体膜310和上述n-型半导体膜311加工为期望的图案,形成半导体层312、313、314和361、以及n-型半导体层315、316、317、和362。
通过旋转涂覆法等、或通过利用液滴排放法选择性地排放液滴,在整个表面上涂覆光刻胶以形成光掩模;然后进行激光曝光,获得具有精细图案的掩模。利用上述具有精细图案的掩模,可以将上述半导体膜精确地加工为期望的图案。
当通过选择性地排放混合物而无须对掩模进行曝光来形成上述半导体膜时,可以使用树脂材料,例如环氧树脂、丙烯酸树脂、酚树脂、酚醛树脂、密胺树脂、以及尿烷树脂。也可以通过液滴排放法,使用苯环丁烯、聚对二甲苯、氟化亚芳香基乙醚、或可透性聚酰亚胺、由硅氧烷聚合物等聚合而成的化合物材料、含有水溶性均聚物和水溶性共聚物的混合物材料等,来形成上述半导体膜。上述两种情况下,通过控制溶剂的浓度或加入表面活性剂,来适当地控制表面张力和粘度。
加工期望图案的刻蚀工序可以是等离子体刻蚀(干法刻蚀)或湿法刻蚀;而等离子体刻蚀适合于加工大尺寸基板。使用基于氟的气体,例如CF4、NF3、SF6、或CHF3,作为刻蚀气体;其中可以适当加入惰性气体,例如He或Ar。另外,在使用常压放电的刻蚀工艺时,也可以在局部进行放电加工,此时无须在整个表面上涂覆掩模层。
接着,形成覆盖半导体层312和n-型半导体层315的掩模层318a、覆盖半导体层313以及n-型半导体层316的沟道形成区的掩模层318b、覆盖半导体层314和n-型半导体层317的掩模层318c、和覆盖半导体层361和n-型半导体层362的掩模层318d。如图7B所示,在n-型半导体层316中添加具有p-型导电性的杂质成分319,形成p-型杂质区320a和320b。在本实施方式中,通过离子掺杂法掺入具有p-型导电类型的杂质成分(本实施方式中为硼(B))。然后,在550℃的温度下进行4小时的热处理以激活上述掺杂了杂质的区域。
在本实施方式中,在驱动电路区域形成了CMOS结构,使其起到反相器的作用。在仅使用PMOS或NMOS结构的情况下,TFT中的一部分的栅电极层与其源或漏电极层相连接。通过将源或漏电极层327b连接于栅电极层302,后续形成的薄膜晶体管335和336可以共同起到反相器的作用,即使两者均为NMOS晶体管或PMOS晶体管。如上所述,在本实施方式中,薄膜晶体管335和336组成CMOS结构;这样,即使不具有上述结构,它们也可以具有反相器的功能。
在去除了掩模层318a、318b、318c和318d之后,在n-型半导体层315、316、317和362上形成导电层321、322和363。在本实施方式中,导电层321、322和363是利用液滴排放法选择性地形成的,从而降低了材料的损耗。如图7C所示,使用银(Ag)作为导电材料;由液滴排放装置380a、380b和380c排放含Ag的混合物,然后在300℃下进行烘焙,形成导电层321、322和363。另外,在同一工序中,在覆盖栅电极层360a的栅绝缘层305b上形成将成为源或漏电极层的导电层370,该导电层370也构成电容元件。
作为形成导电层321、322、363和将成为源或漏电极层的导电层370的导电材料,可以使用以例如Ag(银)、Au(金)、Cu(铜)、W(钨)、或Al(铝)的金属颗粒为主要成分的混合物。另外,还可以并用氧化铟锡(ITO)、由氧化铟锡和氧化硅组成的ITSO、有机铟、有机锡、氧化锌、或具有导光性的氮化钛。
将导电层321、322、363和370加工为期望的图案,以形成源或漏电极层327a、327b、327c、328、366a、366b和366c。如图5所示,在同一工序中,还形成源或漏电极层386。利用源或漏电极层327a、327b、327c、328、366a、366b、366c和386作为掩模,刻蚀半导体层312、313、314和361、以及n-型半导体层315、316、317和362,形成半导体层371、372、373和375、n-型半导体层324a、324b、326、365a、以及p-型半导体层325a和325b。上述刻蚀可以是干法刻蚀或湿法刻蚀。在本实施方式中使用的是干法刻蚀。
通过上述工序,形成了组成CMOS结构的n-沟道薄膜晶体管335和p-沟道薄膜晶体管336、n-沟道薄膜晶体管337和364、和电容元件338。本实施方式使用了CMOS结构;但本发明并不限于此,也可以使用PMOS或NMOS结构。
形成绝缘膜330作为钝化膜。在本实施方式中,绝缘膜330是由从绝缘层330与上述半导体层相接触的一侧而形成的、厚度为150nm的氧化硅膜和厚度为200nm的氮化硅膜顺次层积而形成的。绝缘膜330也可以由其它含硅膜形成。例如,不使用氧化硅膜,而使用氮氧化硅膜与上述氮化硅膜层积在一起。
绝缘膜330含有氢,并在300℃~500℃的温度下在氮气气氛中进行热处理,以使半导体层氢化。
如图8A所示,在绝缘膜330上形成绝缘层339和381。在本实施方式中,绝缘层381是利用狭缝涂布法形成的含烷基的氧化硅膜。在本实施方式中,虽然未图示,但绝缘膜339是从基板300一侧顺次层积含烷基的氧化硅膜和氮化硅膜而形成的。在将绝缘膜381加工为期望图案时,上部的氮化硅膜作为刻蚀阻挡膜。当层积的绝缘层339和381具有高刻蚀选择比时,无需上述刻蚀阻挡膜。
如图8B所示,通过如实施方式2所述的两次刻蚀,在绝缘层381、339和330中形成到达源或漏电极层328的开口部分340b和到达源或漏电极层366b的开口部分340d;在绝缘层381、339和330、以及栅绝缘层305a和305b中形成到达栅电极层303的开口部分340a和到达栅电极层360a的开口部分340c。在利用选择性地加工绝缘层381来形成开口部分的第二次刻蚀中,使用掩模层382a、382b、382c、382d、382e和382f。
通过加工处理使掩模层382a、382b、382c、382d、382e和382f的表面成为关于含有将在上述开口部分形成的导电材料的液态混合物的低可湿性区域。在本实施方式中,通过在含氟气体气氛中的等离子体处理,将上述掩模层表面上的C-H键替换为C-F键,从而降低使上述掩模层表面的表面能降低。本实施方式的等离子体处理是在CF4和O2的混合气体气氛中进行的。由于低可湿性区域成为与液体有关的液体排斥区域并排斥液体,所以液滴无法附着在低可湿性区域。含有导电材料的液态混合物不会附着在对混合物的可湿性低的掩模表面上。即使附着在上面也是不稳定的,而会向具有较高的可湿性的开口部分移动。这样,由于开口部分充满了含有导电材料的混合物,所以可以以良好的稳定性和可控性来形成布线层,并且可以避免材料损耗。
这样,由液滴排放装置385a、385b和385c所排放的含有导电材料的混合物被掩模层表面的低可湿性区域383a、383b、383c、383d、383e和383f所排斥而不会附着在上面,而开口部分340a、340b、340c和340d则被含有导电材料的混合物所充满从而形成导电层384a、384b和384c。通过在300℃温度下的烘焙使导电层162a、162b、162c和162d干燥和凝固,形成布线层341和栅布线层342和367。在本实施方式中,使用Ag来形成布线层或栅布线层342和367。
如图9B所示,通过上述工序,形成了使源或漏电极层328与栅电极层360a电连接的栅布线层367、使源或漏电极层366b与第1电极层304电连接的布线层341、和与栅电极层303电连接的栅布线层342。
然后,通过在布线层341上选择性地排放含有导电材料的混合物形成第1电极层304。当基板300一侧发光时,可以通过使用含有氧化铟锡(ITO)、含有氧化硅的氧化铟锡(ITSO)、含有氧化锌(ZnO)的氧化铟锌(IZO)、氧化锌(ZnO)、掺镓(Ga)的ZnO、氧化锡(SnO2)等形成事先确定的图案、并烘焙该图案来形成上述第1电极层304。
优选利用溅射氧化铟锡(ITO)、含有氧化硅的氧化铟锡(ITSO)、氧化锌(ZnO)等形成上述第1电极层304。更加优选使用混合有重量比为2%~10%的氧化硅的ITO靶进行溅射而形成的含有氧化硅的氧化铟锡。另外,还可以使用在ZnO中掺入了镓(Ga)的导电材料、或作为含有氧化硅的氧化导电材料、在氧化铟中掺入了重量比为2%~20%的氧化锌(ZnO)的氧化铟锌(IZO)。在通过溅射形成了上述第1电极层304后,可以通过液滴排放装置形成掩模层,并通过刻蚀形成期望的图案。在本实施方式中,上述第1电极层304是由液滴排放法形成的导光性导电材料构成的。特别地,是用氧化铟锌或由ITO和氧化硅制成的ITSO而形成的。
在本实施方式中,上述第1电极层304是在平坦的形成区域上形成的。这样,由于可以充分地进行例如CMP的抛光处理,所以可以形成高平坦性和良好覆盖率的上述第1电极层304。另外,可以进一步在上述布线层341上形成绝缘层来作为层间绝缘层,并通过布线层将上述第1电极层304电连接于上述源或漏电极层366b。
在具有光线由与基板100一侧相对的一侧射出的情况下、或当制造顶面发射型EL显示面板时,可以使用以Ag(银)、Au(金)、Cu(铜)、W(钨)、Al(铝)等金属颗粒为主要成分的混合物。另外,也可以通过溅射形成透明导电膜、再利用液滴排放法形成掩模图案、然后与刻蚀工序结合来形成上述第1电极层304。
通过CMP或用基于聚乙烯醇的多孔体进行清洗来抛光上述第1电极层304,从而使上述第1电极层304的表面平坦化。另外,在经CMP抛光后,可以对上述第1电极层304的表面进行紫外线照射或氧等离子体处理等。
通过上述工序,完成了用于显示面板的TFT基板,其中底部栅极型TFT与基板300上面的第1电极层相连接。本实施方式的TFT为反转交错(inverted staggered)型。
然后,选择性地形成绝缘层(也称为分隔壁(partition wall)或堤坝(bank))343。上述绝缘层343形成为在上述第1电极层304上方具有开口部分。在本实施方式中,上述绝缘层343是形成在整个表面上,并利用光刻胶掩模层等被刻蚀和加工为期望的图案。如果上述绝缘层343是通过直接并选择性地形成上述绝缘层343的液滴排放法或印刷法形成的,就无须利用刻蚀进行加工。也可以利用本发明的预处理使上述绝缘层343具有期望的形状。
可以形成上述绝缘层343的材料包括:氧化硅、氮化硅、氮氧化硅、氧化铝、氮化铝、氮氧化铝或其它的无机绝缘材料;丙烯酸、甲基丙烯酸或其衍生物;耐热的高分子量材料,例如聚酰亚胺、芳香聚酰胺、或聚苯并咪唑;以具有硅氧烷的材料为起始材料而形成的、在含有硅、氧和氢的化合物中含有Si-O-Si键的无机硅氧烷;或由例如甲基或苯基代替了与硅结合的氢的基于有机硅氧烷的材料。上述绝缘层343也可以使用感光材料或例如丙烯酸或聚酰亚胺的非感光材料。上述绝缘层343优选具有曲率半径连续变化的形状。这样,可以改善覆盖于该绝缘层343上的场致发光层344和第2电极层345的覆盖率。
在利用液滴排放法排放混合物而形成了上述绝缘层343后,可通过施压来压迫上述绝缘层343的表面使其平坦化,以改善平坦性。作为施压的方法,可以使辊状物体在表面上移动来使粗糙部分变得平坦,或可以利用平坦的板状物体垂直压迫表面。另外,也可以在利用溶剂使表面软化或融化后、使用气刀去除表面上的粗糙部分。还可以使用CMP来抛光表面。在因液滴排放法造成粗糙时可以利用该工序来使表面平坦化。当通过该工序改善了平坦度时,可以防止显示面板的显示的不均衡等,从而可以显示高清晰度的图像。
在上述第1电极层304上形成层积的场致发光层344和第2电极层345。虽然未图示,设置覆盖上述第2电极层345的钝化膜是有效的。在形成显示装置时设置的上述钝化膜可以是单层或多层结构。作为钝化膜,可以使用含有氮化硅(SiN)、氧化硅(SiO2)、氮氧化硅(SiON)、氧化氮化硅(SiNO)、氮化铝(AlN)、氮氧化铝(AlON)、含氮多于氧的氧化氮化铝(AlNO)、氧化铝、类钻石碳(DLC)、或含氮的碳膜(CNx)的绝缘膜的单层、或合并有绝缘膜的层积层。例如,可以使用含氮的碳膜(CNx)与氮化硅(SiN)的层积体。也可以使用有机材料,例如,像苯乙烯聚合物这样的高分子量材料的层积体。另外,还可以使用具有由硅(Si)氧(O)键形成的骨架的、至少含有氢作为取代基、或至少含有氟、烷基、和芳香烃之一作为取代基的材料。
此时,上述钝化膜优选使用具有良好覆盖率的膜。碳膜,尤其是DLC膜,是有效的。上述DLC膜可以在室温至100℃的温度范围形成;因此,可以容易地在低耐热性的场致发光层上形成上述DLC膜。可以通过等离子体CVD(典型地是RF等离子体CVD、微波CVD、电子回旋共振(ECR)CVD、加热丝CVD等)、燃烧焰法(combustionflame method)、溅射、离子束淀积、激光淀积法等形成上述DLC膜。使用氢气和基于碳氢化合物的气体(例如CH4、C2H2、C6H6等)作为形成上述钝化膜所用的反应气体。通过辉光放电使该反应气体离子化,该离子被加速并与反自偏置的阴极碰撞,从而形成钝化膜。可以使用C2H4气体和N2气体作为反应气体来形成CN膜。上述DLC膜对氧具有高度的阻挡效果,可以抑制场致发光层的氧化。因此,可以防止上述场致发光层在后续的密封工序中被氧化。
接着,使用密封基板347封入填充剂346。也可以充入例如氮的惰性气体来代替填充剂346。另外,通过在该显示装置中设置干燥剂,可以防止发光元件因潮湿而变质。上述干燥剂可以位于上述密封基板347一侧或在上面形成有元件的基板300一侧。另外,上述干燥剂347也可以设置在形成于基板中的设置有密封剂348的凹陷部分中。如果将上述干燥剂设置于例如密封基板347的驱动电路区域或布线区域等对显示没有贡献的区域中,则即使上述干燥剂是不透明的(对可见光不具有透光性),图像的宽高比也不会缩小。另外,上述填充剂346也可以含有吸收剂以具有干燥剂的作用。这样如图9B所示,完成了具有使用发光元件的显示功能的显示装置。
FPC 354通过各向异性导电膜353附着在用于将显示装置内部与其外部电连接的端子电极层352并与该端子电极层352电连接。
图10A为显示装置的顶视图。如图10A所示,用密封剂348将像素区390、扫描线驱动区391a和391b密封于基板300和密封基板347之间,并在基板300上形成信号线驱动电路392,该信号线驱动电路392是由驱动IC形成的。
在本实施方式中,说明了将发光元件与玻璃基板密封在一起的情况。密封是避免发光元件受潮的方法。因此,可以使用将发光元件与覆盖材料机械地密封在一起的方法、将发光元件与热固化树脂或紫外线固化树脂密封在一起的方法、以及将发光元件与具有高阻挡性能的金属氧化物薄膜、金属氮化物薄膜等密封在一起的方法中的任何方法。覆盖材料可以是玻璃、陶瓷、塑料或金属。但是,当光线向覆盖材料一侧发射时,该覆盖材料应具有透光性。通过例如热固化树脂或紫外线固化树脂的密封剂将上述覆盖材料附着在上述基板上,在该基板上形成有上述发光元件;通过热处理或紫外线照射处理固化树脂,形成密封空间。也可以在上述密封空间内设置以氧化钡为代表的吸收材料。可将上述吸收材料设置在上述密封剂上面或者分隔壁或外围部分上面,使其不会妨碍光线从发光元件射出。另外,还可以用热固化树脂或紫外线固化树脂填充上述覆盖材料和在上面形成有上述发光元件的上述基板之间的空间。此时,可以在上述热固化树脂或紫外线固化树脂中添加以氧化钡为代表的吸收材料。
在本实施方式中,示出了开关TFT的单栅结构,但也可以采用例如双栅结构的多栅结构。在使用SAS或结晶半导体制造半导体时,可以通过添加具有一种导电类型的杂质来形成杂质区域。此时,半导体层可以具有浓度不同的杂质区域。例如,在沟道形成区和与栅电极层交叠的区域附近,上述半导体层可以具有低浓度杂质区域,而在其外侧则可以是高浓度区域。
如图10A和10B所示,本实施方式的显示装置包括具有单层结构的栅电极层301、302、303、360a和360b、和第1电极层304;但如上所述,上述栅电极层也可以具有2层或多层的层积层。
通过上述工序,形成了具有结晶半导体膜的反转交错型薄膜晶体管。本实施方式中的薄膜晶体管是使用结晶半导体形成的;所以,与用无定形半导体膜形成的薄膜晶体管相比,其迁移率较高。除具有一种导电性的杂质外,上述源区和漏区还含有金属成分。因此,可以形成低电阻率的源区和漏区。结果,可以制造可高速工作的显示装置。
另外,与用无定形半导体膜形成的薄膜晶体管相比,本实施方式中的薄膜晶体管不易造成阈值的变化,从而减小了TFT特性的变化。
而且,在淀积膜的工序中掺入半导体膜中的金属成分在收气工序被吸收;因此,可以降低截止电流。这样,使用上述的薄膜晶体管作为显示装置的开关元件,可以提高对比度。
根据本发明,可以将显示装置的部件形成为期望的图案并具有良好的附着性。另外,还降低了材料损耗和成本。因此,可以高成品率地制造高性能、高可靠性的发光显示装置。
实施方式4
参照图12~图14B说明本发明的实施方式4。并更详细地说明了本发明所用、含有通过实施方式3形成的反转交错薄膜晶体管的显示装置的制造方法。图12所示为显示装置的像素区域的顶视图。图13为沿图12中的线E-F和G-H以及图14A的线I-J截取的剖面图。图14A也是显示装置的顶视图,图14B为沿图14A的线O-P(包括线U-W)截取的剖面图。另外,还以使用液晶材料作为显示元件的液晶显示装置为例进行了说明。对相同部分和具有相同功能的部分的重复说明从略。
如图14B所示,在基板200上形成组成CMOS的n-沟道薄膜晶体管235和p-沟道薄膜晶体管236、以及n-沟道薄膜晶体管237。本实施方式采用了CMOS结构,但本发明并不限于此,也可以采用PMOS或NMOS结构。
形成绝缘膜230作为钝化膜。在本实施方式中,绝缘膜230由从半导体层一侧顺次层积厚度为150nm的氧化硅膜和厚度为200nm的氮化硅膜的层积膜构成。绝缘膜230也可以由其它含硅膜构成。例如,可以使用氮氧化硅膜代替氧化硅膜来与氮化硅膜层积在一起。
绝缘膜230含有氢,并在氮气气氛中、在300℃~500℃的温度下进行热处理以使半导体层氢化。
绝缘层230上,形成绝缘层222和223。在本实施方式中,利用狭缝涂覆装置形成含烷基的氧化硅膜作为绝缘层223。虽然未图示,绝缘层222是通过从基板200一侧顺次层积含烷基的氧化硅膜和氮化硅膜而形成的。在将绝缘膜223加工为期望图案时,上部的氮化硅膜作为刻蚀阻挡膜。当层积的绝缘层222和223具有高刻蚀选择比时,无需上述刻蚀阻挡膜。如实施方式1所述,当绝缘层222和223为具有孔的绝缘层时,在上述孔内充满了附着在绝缘层上的导电层的一部分。因此,获得了关于绝缘层的类楔效应(锚定效应),从而改善了附着性。
通过如实施方式2所述的两次刻蚀,在绝缘层222、223和230中形成到达源或漏电极层的开口部分。利用含有碳氟基的物质形成对由选择性地加工绝缘层223而形成的开口部分进行二次刻蚀所用的掩模层。可以通过涂覆、曝光、和利用掺入了含有碳氟基的单体或低聚体表面改良剂的光刻胶溶液的显影来形成低表面能的掩模层。由于碳氟化合物的表面能低,并有在表面存在的倾向,所以当添加约1%的少量的碳氟化合物时,上述碳氟基有在掩模层表面存在的倾向并显示较低的表面能。因此,掩模层的表面成为关于含有导电材料的液态混合物的低可湿性区域。
由于低可湿性区域成为与液体有关的液体排斥区域并排斥液体,所以液滴无法附着在低可湿性区域。含有导电材料的液态混合物不会附着在对混合物的可湿性低的掩模表面上。即使附着在上面也是不稳定的,而具有较高的可湿性的开口部分则被含有导电材料的混合物所充满。这样,由于开口部分充满了含有导电材料的混合物,所以可以以良好的稳定性和可控性来形成布线层,并且可以避免材料损耗。
这样,由液滴排放法所排放的含有导电材料的混合物被掩模层表面的低可湿性区域所排斥而不会附着在上面,而开口部分则被含有导电材料的混合物所充满。通过在300℃温度下的烘焙充满了上述开口部分的导电层形成布线层224。在本实施方式中,使用Ag来形成布线层。
图12所示为本实施方式所制造的液晶显示装置的像素区域的顶视图。像素区域中的薄膜晶体管为多栅型。在像素区域中,包括栅布线层233、像素电极层225、薄膜晶体管237、源或漏电极层231、布线层224、和电容布线层232。
其次,如图13所示,利用印刷法或旋转涂覆法形成被称为定向膜的绝缘层226,以覆盖像素电极层225。绝缘层226可以通过丝网印刷法或平板印刷法来选择性地形成。然后,进行研磨处理。在形成像素的区域的外围形成密封剂251。
接着,隔着间隔物275,将设有作为定向膜的绝缘层245、作为滤色装置的有色层247、作为对置电极的导电层246、以及偏振板250的对置基板248粘贴在TFT基板上。如图13所示,通过设有含有液晶层244的空间,可以制成液晶显示装置。在基板200上、与具有TFT的一侧相对的一侧上形成偏振板221。可将密封剂与填充剂混合,并且对置基板可以含有遮蔽膜(黑矩阵)等。可以使用在粘贴了对置基板248之后利用毛细效应注入液晶的定量分配法(dispenser method)(点滴法,dropping method)或浸渍法(dip method)(抽吸法,pumpingmethod)作为形成液晶层244的方法。
参照图23说明了使用上述定量排放法的液晶滴注法。在图23中,符号40表示控制装置;42为成像装置;43为排放头;33为液晶;35和45为标记;34为阻挡层;32为密封剂;30为TFT基板;20为对置基板。密封剂32形成闭环,在其中由排放头43一次或多次地滴下液晶。由于排放头43具有多个喷嘴,故可以一次滴下大量的液晶,从而改善生产率。当液晶材料的粘度高时,液晶材料可以连续而不间断地排放并附着在液晶形成区。另一方面,如果液晶材料的粘度低,液晶材料将被断续地排放,呈液滴状滴下。此时,设置阻挡层34以避免密封剂32与液晶33发生反应。接着,在真空条件下粘贴上述基板,然后进行紫外线固化以形成充满液晶的空间。另外,也可以在TFT基板一侧形成密封剂并点滴液晶。
可以通过分散数μm的颗粒的方法设置上述间隔物;但在本实施方式中,是通过在基板的整个表面上形成树脂膜并将其加工为期望的形状地方法来设置该间隔物的。在利用涂胶机在基板上涂覆该间隔物的材料后,通过曝光和显影处理将上述间隔物材料形成为事先确定的图案。进而,通过无尘炉在150℃~200℃的温度下对上述图案进行加热固化。以这种方式制成的间隔物的形状随曝光和显影处理的条件的不同而不同。上述间隔物的形状优选为顶端平坦的柱形,因为这可以在将对置基板与基板粘贴时保证液晶显示装置的机械强度。对上述间隔物的形状并没有特别的限制,它可以是圆锥形或金字塔形。
形成连接部分,以将通过上述工序形成的显示装置的内部与外部的布线基板相连接。利用在常压或接近常压的压强下使用氧气的灰化处理(ashing treatment)来去除上述连接部分中的绝缘层。该处理是利用氧气与氢气、CF4、NF3、H2O、和CHF3中的一种或多种气体来进行的。在该工艺中,上述灰化处理是在密封了上述对置基板后进行的,以防止因静电造成的损伤和破坏;但只要静电的影响很小,该灰化处理也可以在任何时间进行。FPC(柔性印刷电路)254通过各向异性导电膜253附着在用于将显示装置内部与其外部电连接的端子电极层252并与该端子电极层252电连接。FPC 254的作用是从外部传送信号或电位。通过上述工序,可以制造具有显示功能的液晶显示装置。
通过上述工序,形成了具有结晶半导体膜的反转交错的薄膜晶体管。本实施方式中的该薄膜晶体管是利用结晶半导体膜形成的;所以,与用无定形半导体膜形成的薄膜晶体管相比,其迁移率较高。除具有一种导电性的杂质外,上述源区和漏区还含有金属成分。因此,可以形成低电阻率的源区和漏区。结果,可以制造可高速工作的液晶显示装置。这样,可以制造例如OCB模式的液晶显示装置的具有高响应速率、宽视角的液晶显示装置。另外,与用无定形半导体膜形成的薄膜晶体管相比,本实施方式中的薄膜晶体管不易造成阈值的变化,从而减小了TFT特性的变化。而且,在淀积膜的工序中掺入半导体膜中的金属成分在收气工序被吸收;因此,可以降低截止电流。这样,使用上述的薄膜晶体管作为显示装置的开关元件,可以提高对比度。
图14A为液晶显示装置的顶视图。如图14A所示,用密封剂251将像素区260和扫描线驱动区261a和261b密封在基板200和对置基板248之间,并在基板200上面形成与驱动IC一起形成的信号线驱动区262。在驱动区中设有含有薄膜晶体管235和236地驱动电路。薄膜晶体管235为n-沟道薄膜晶体管,薄膜晶体管236为p-沟道薄膜晶体管,薄膜晶体管235和236构成CMOS结构。
在本实施方式中,示出了开关TFT的双栅结构,但也可以采用单栅或多栅结构。在使用SAS或结晶半导体制造半导体时,可以通过添加具有一种导电类型的杂质来形成杂质区域。此时,半导体层可以具有浓度不同的杂质区域。例如,在沟道形成区和与栅电极层交叠的区域附近,上述半导体层可以具有低浓度杂质区域,而在其外侧则可以是高浓度区域。
如上所述,本实施方式中的工艺可以被简化。而且,通过采用液滴排放法直接在基板上形成各种部件,可以采用边长大于等于1000mm的第5代或更新的玻璃基板来容易地制造显示面板。
根据本发明,可以将显示装置的部件形成为期望的形状并具有良好的附着性。而且,还可以降低材料损耗和成本。这样,可以高生产率地制造高性能、高可靠性的液晶显示装置。
实施方式5
本发明可以形成薄膜晶体管,并利用该薄膜晶体管形成显示装置。另外,当利用发光元件、并利用n-沟道薄膜晶体管作为驱动该发光元件的晶体管时,由该发光元件所发出的光可以是底面发射、顶面发射、或双面发射中的任何一种。在此,参照图16A~16C,说明与各种发射类型相对应的发光元件的层积结构。
另外,在本实施方式中,采用了利用本发明的沟道保护薄膜晶体管461、471和481。薄膜晶体管481设置于发光基板480上面,包括栅电极层493、栅绝缘层497、半导体层494、n-型半导体层495a和495b、源或漏电极层487a和487b、以及沟道保护层496。形成绝缘层498和499以覆盖薄膜晶体管481;并形成嵌入绝缘层498和499的布线层488,以将源或漏电极层487b与第1电极层484电连接。在本实施方式中,采用结晶半导体层作为半导体层,并采用n-型半导体层作为具有一种导电类型的半导体层。也可以采用PH3气体的等离子体处理来使半导体层具有导电性,来代替n-型半导体层的形成。上述半导体层并不限于本实施方式,也可以采用实施方式1所述的无定形半导体层。当采用本实施方式的多晶硅等的结晶半导体层时,可以通过将杂质掺入(加入)结晶半导体层而不是形成具有一种导电性的半导体层的方法来形成具有一种导电性的杂质区。另外,也可以采用有机物半导体,例如并五苯(pentacene)。当利用液滴排放法等选择性地形成有机物半导体时,可以简化形成期望形状的工序。
在本实施方式中,使无定形半导体层结晶形成结晶半导体层,作为半导体层494。在结晶工序中,在无定形半导体层中掺入促进结晶的成分(也称为催化成分或金属成分),并进行在550℃~750℃的温度下、3分钟~24小时的热处理,使无定形半导体层结晶化。促进结晶的成分可以使用铁(Fe)、镍(Ni)、钴(Co)、钌(Ru)、铑(Rh)、钯(Pd)、锇(Os)、铱(Ir)、铂(Pt)、铜(Cu)和金(Au)中的一种或多种。本实施方式所采用的是镍。
为了从上述结晶半导体层中去除促进结晶的成分,形成与该结晶半导体层相接触的含有杂质的半导体层作为收气物。上述杂质可以是具有n-型导电类型的杂质、具有p-型导电类型的杂质、或稀有气体成分。例如,可以使用从磷(P)、氮(N)、砷(As)、锑(Sb)、铋(Bi)、硼(B)、氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、和氙(Xe)中选择的一种或多种成分。在本实施方式中,形成含有具有n-型导电类型的磷(P)的n-型半导体层作为上述的起收气物作用的含有杂质的半导体层。在上述含有的促进结晶的成分结晶半导体层的上面形成n-型半导体层,并进行在550℃~750℃的温度下、3分钟~24小时的热处理。上述结晶半导体层中的促进结晶的成分移入输送n-型半导体层,使上述结晶半导体层中的促进结晶的成分被去除或减少,从而形成半导体层494。另一方面,上述n-型半导体层成为含有促进结晶的金属成分的n型半导体层495a和495b。n型半导体层495a和495b是半导体层494的收气物,并直接作为源或漏区。
在本实施方式中,半导体层的结晶工序和收气工序是通过多个热处理进行的;但上述结晶工序和收气工序也可以通过一个热处理工序进行。此时,可以在形成无定形半导体层之后进行热处理,掺入促进结晶成分,并形成作为收气物的半导体层。
在本实施方式中,通过层积多个层形成栅绝缘层,通过从栅电极层493一侧顺次层积氧化氮化硅膜和氮氧化硅膜形成具有双层结构的栅绝缘膜497。优选通过改变反应气体同时保持真空状态、在同一容器中、以相同温度、连续地形成上述层积绝缘膜。保持真空状态地连续层积上述膜可以避免层积膜的界面被污染。
可以利用聚酰亚胺、聚乙烯醇等、通过液滴排放法形成沟道保护层496。其结果是,省略了光刻工序。上述沟道保护层可以由无机材料(氧化硅、氮化硅、氮氧化硅、氧化氮化硅等)、光敏或非光敏的有机材料(有机树脂材料)(聚酰亚胺、丙烯酸、聚酰胺、氨化聚酰亚胺、光刻胶、苯环丁烯等)、具有低介电常数的低k材料中选择的一种或多种、或上述膜的层积体等。另外,另外,还可以使用具有由硅(Si)氧(O)键形成的骨架的、至少含有氢作为取代基、或至少含有氟、烷基、和芳香烃之一作为取代基的材料。作为制造方法,可以采用例如等离子体CVD或热CVD的汽相生长法或溅射。也可以采用液滴排放法或印刷法(形成图案的方法,例如丝网印刷或平板印刷)。还可以使用由涂覆法获得的覆膜。
首先,参照图16A说明光线发射向480一侧,即底面发射的情况。在此情况下。与薄膜晶体管481的源或漏电极层487b相连接的布线层488与第1电极层484相接触,从而形成电连接。第1电极层484、场致发光层485、和第2电极层486是顺次层积的。透光的基板480应具有至少对可见光的透光性。其次,参照图16B说明光线向基板460相反的一侧发射,即底面发射的情况。薄膜晶体管461可以通过与上述薄膜晶体管相同的方式形成。
与薄膜晶体管461的源或漏电极层相连接的布线层462与第1电极层463相接触,以与薄膜晶体管461的源或漏电极层和第1电极层463电连接。顺次层积第1电极层463、场致发光层464、和第2电极层465。布线层462是沿箭头所示将发光元件所发射的光线向上方反射的反光金属层。布线层462和第1电极层463是层积在一起的,因此,当第1电极层463是由透光材料构成并透光时,光线会被布线层462所发射,从而向基板460相反的方向发射。当然,第1电极层463也可以用反光金属形成。由于发光元件所发射的光线透过第2电极层465,所以应使用具有至少对可见光的透光性的材料来形成第2电极层465。当使用具有孔的绝缘材料作为绝缘层498和499时,布线层的一部分会填充上述孔,从而形成具有良好附着性的导电层。这样,可以获得具有高可靠性的显示装置。在如图16B所示的顶面发射型显示装置的情况下,由于发光元件所发出的光不通过绝缘层498和499,所以即使在使用具有孔的材料作为绝缘层498和499时也不必考虑光抽取效率(light extraction efficiency)等。
最后,参照图16C说明光线通过基板470及其相反一侧发射,即双面发射的情况。薄膜晶体管471也是沟道保护薄膜晶体管。与薄膜晶体管471的半导体层电连接的布线层475电连接于第1电极层472。顺次层积第1电极层472、场致发光层473、和第2电极层474。第1电极层472。当第1电极层472和第2电极层474是利用具有对可见光的透光性的材料形成、或形成为可以透光的厚度时,即可以实现双面发射。在此情况下,透过光线的绝缘层和基板470还必须至少具有对可见光的透光性。
图18A~18D示出了可用于本实施方式的发光元件的结构。每一个发光元件具有场致发光层860被夹在第1电极层870和第2电极层850之间的结构。必须从功函数方面考虑来选择用于第1和第2电极层的材料。第1和第2电极层的每一层都既可以作为阳极、也可以作为阴极,这取决于像素结构。在本实施方式中,由于驱动TFT的极性为n-沟道型,所以优选第1电极层为阴极、第2电极层阳极。另外,当驱动TFT的极性为p-沟道型时,优选第1电极层为阳极、第2电极层阴极。
图18A和18B所示为第1电极层870为阳极、第2电极层850阴极,因此,优选通过在阳极第1电极层870的上面顺次层积第1层804、第2层803、和第3层802来形成场致发光层860。第1层804为HIL(空穴注入层)和/或HTL(空穴转移层),第2层803为EML(发光层),第3层802为EIL(电子注入层)和/或ETL(电子转移层)。在图18A所示的结构中,光线从第1电极层870通过;上述第1电极层870由电极层805形成,该电极层805由具有透光性的导电性的金属氧化物形成;并且第2电极层850是通过在场致发光层860上顺次层积含有例如LiF、或MgAg的碱金属或碱土金属的电极层801和由例如铝的金属材料构成的电极层800所构成。在图18B所示的结构中,光线从第2电极层850通过;第1电极层870包括由例如铝、钛的金属、或含有该金属和浓度小于等于化学计量的氮的金属材料所构成的电极层807,和由含有原子百分率为1%~15%的氧化硅的导电性氧化物材料构成的电极层806。上述第2电极层850是通过在场致发光层860上顺次层积含有例如LiF、或MgAg的碱金属或碱土金属的电极层801和由例如铝的金属材料构成的电极层800所构成。上述电极层的厚度设定为小于等于100nm以便透过光线,这样,光线可以通过第2电极层850。
图18C和18D所示为第1电极层870为阴极而第2电极层850为阳极的情况。优选通过在阴极第1电极层870的上面顺次层积第3层802、第2层803、和第1层804来形成场致发光层860。第3层802为EIL(电子注入层)和/或ETL(电子转移层),第2层803为EML(发光层),第1层804为HIL(空穴注入层)和/或HTL(空穴转移层)。在图18C所示的结构中,光线从第1电极层870通过;上述第1电极层870由电极层805形成,该电极层805通过在场致发光层860上顺次层积含有例如LiF、或MgAg的碱金属或碱土金属的电极层801和由例如铝的金属材料构成的电极层800所构成。上述电极层的厚度设定为小于等于100nm以便透过光线,这样,光线可以通过第1电极层870。第2电极层850是通过在场致发光层860上顺次层积由含有原子百分率为1%~15%的氧化硅的导电性氧化物材料构成的电极层806和由例如铝、钛的金属、或含有该金属和浓度小于等于化学计量的氮的金属材料所构成的电极层807。在图18D所示的结构中,光线从第2电极层850通过;第1电极层870是通过在场致发光层860上顺次层积含有例如LiF、或MgAg的碱金属或碱土金属的电极层801和由例如铝的金属材料构成的电极层800所构成。设定上述电极层的厚度设定以使场致发光层860所发出的光线可由第1电极层870所反射。上述第2电极层850由电极层805构成,该电极层805由具有至少对可见光的透光性的导电性氧化物材料构成。另外,除上述的层积结构外,上述场致发光层可以含有单层结构或混合结构。
作为上述场致发光层,分别利用蒸镀掩模等、通过蒸镀法选择性地形成分别呈红(R)、绿(G)、蓝(B)发光的材料。对于滤色装置的情况,可以通过液滴排放法形成上述呈红(R)、绿(G)、蓝(B)发光的材料(低分子量材料、高分子量材料等)。优选液滴排放法,因为这样不必使用掩模就可以分别涂覆上述呈红(R)、绿(G)、蓝(B)发光的材料。
在利用具有发光性的ITO或ITSO作为第2电极层的顶面发光的情况下,可以使用在苯并噁唑benzoxazole衍生物(BzOS)中掺入Li的BzOS-Li。而且,例如,可以使用掺入了分别对应于发光颜色R、G、B(在R的情况下为DCM等、在G的情况下为DMQD等)的掺杂物的Alq3
上述场致发光层的材料并不限于上述材料。例如,可以利用例如氧化钼(MoOx,x=2~3)的氧化物与α-NPD或红荧烯(rubrene)的共蒸镀(co-evaporation)来代替CuPc或PEDOT以提高空穴注入特性。另外,也可以使用有机材料(包括低分子量材料或高分子量材料)或有机材料与无机材料的混合物作为场致发光层的材料。下面详细说明形成发光元件的材料。
在电荷注入/转移物质中,作为具有高电子转移特性的物质,可以举出例如具有喹啉(quinoline)骨架结构或苯并喹啉(benzoquinoline)的金属络合物,例如:三(8-羟基喹啉)铝(tris(8-quinolinolato)aluminum)(Alq3);三(4-甲基-8-羟基喹啉)铝(tris(4-methyl-8-quinolinolato)aluminum)(Almq3);双(10-羟基苯[h]羟基喹啉)铍(bis(10-hydroxybenzo[h]quinolinato)beryllium)(BeBq2);和双(2-甲基-8-羟基喹啉)(4-苯基苯酚)铝(bis(2-methyl-8-quinolinolato)(4-phenylphenolato)aluminum)(BAlq)。作为具有高空穴转移特性的物质,可以举出例如基于芳香胺的化合物,例如4,4′-双[N-(1-萘基)-N-苯基氨]联苯(4,4′-bis[N-(1-naphthyl)-N-phenylamino]biphenyl)(ct-NPD);4,4′-双[N-(3-甲基苯基)-N-苯基氨]联苯(4,4′-bis[N-(3-methylphenyl)-N-phenylamino]biphenyl)(TPD);4,4′,4″-三(N,N-联苯基氨)三苯胺(4,4′,4″-tris(N,N-diphenylamino)triphenylamine)(TDATA);和4,4′,4″-三[N-(3-甲基苯基)-N-苯基氨]三苯胺(4,4′,4″-tris[N-(3-methylphenyl)-N-pbenylamino]triphenylamine)(MTDATA)。
在电荷注入/转移物质中,作为具有极高电子转移特性的物质,可以举出碱金属或碱土金属化合物,例如氟化锂(LiF)、氟化铯(CsF)、或氟化钙(CaF2)。另外,也可以使用具有高电子转移特性的物质例如Alq3和碱土金属例如镁(Mg)的混合物。
在电荷注入/转移物质中,作为具有高空穴转移特性的物质,可以举出金属氧化物,例如氧化钼(MoOx)、氧化钒(VOx)、氧化铷(RuOx)、氧化钨(WOx)、或氧化镁(MgOx)。另外,也可以使用基于酞菁染料(phthalocyanine)的化合物,例如酞菁染料(phthalocyanine;H2Pc)和铜酞菁(copper phthalocyanine;CuPc)。
可以在各个像素中形成分别发出不同波长的光线的发光层,以实现彩色显示。典型地,形成分别对应于R(红)、G(绿)、和B(蓝)的颜色光的发光层。在此情况下,当在像素的发光一侧上设置通过特定波段的光线的滤色装置时,可以改善彩色纯度(color purity)并防止像素区的镜面发射。通过设置滤色装置,可以省去通常认为是必需的圆偏振板等,从而减少发光层所发射的光线的损失。另外,还可以减轻当从斜向观察像素区(显示屏)时色调的变化。
发光材料有多种。关于低分子量有机发光材料,可以使用以下材料:4-二氰基亚甲基-2-甲基-6-[2-(1,1,7,7-四甲基久洛尼定-9-基)乙烯基]-4H-吡喃(4-dicyanomethylene-2-methyl-6-[2-(1,1,7,7-tetramethyljulolidine-9-yl)ethenyl]-4H-pyran)(DCJT);2-叔丁基-4-二氰基亚甲基-6-[2-(1,1,7,7-四甲基久洛尼定-9-基)乙烯基]-4H-吡喃(2-tert-butyl-4-dicyanomethylene-6-[2-(1,1,7,7-tetramethyljulolidine-9-yl)ethenyl]-4H-pyran)(DCJTB);periflanthene;2,5-二氰基-1,4-双[2-(10-甲氧基-1,1,7,7-四甲基久洛尼定-9-基)乙烯基]苯,N,N′-二甲基喹(吖)啶(2,5-dicyano-1,4-bis[2-(1O-methoxy-1,1,7,7-tetramethyljulolidine-9-yl)ethenyl]benzene,N,N′-dimethylquinacridone)(DMQd);香豆素6(coumarin 6);香豆素545T;三(8-羟基喹啉)铝(tris(8-quinolinolato)aluminum)(Alq3);9,9′-蒽基(9,9′-bianthryl);9,10-联苯基蒽(9,10-diphenylanthracene)(DPA);9,10-双(2-萘基)蒽(9,10-bis(2-naphthyl)anthracene)(DNA)等。另外,还可以使用其它材料。
另一方面,与低分子量有机发光材料相比,高分子量有机发光材料具有较高的物理强度,这意味着元件更加耐用。另外,由于高分子量有机发光材料可以通过涂覆来形成,所以元件可以比较容易地制造。利用高分子量有机发光材料所形成的发光元件的结构与利用低高分子量有机发光材料所形成的发光元件基本相同,是从阴极一侧顺次层积阴极、有机发光层、和阳极而形成的。然而,当发光层由高分子量有机发光材料所构成时,难以形成像使用低分子量有机发光材料时一样的层积结构。在许多情况下,这种由高分子量有机发光材料所构成的发光层具有双层结构。特别地,该发光层是由阴极、发光层、空穴转移层、和阳极顺次层积而构成的。
由于上述发光颜色取决于发光层的材料,所以可以通过选择上述材料来构成发出预先确定的颜色的光线的发光元件。作为形成上述发光层所使用的高分子量场致发光材料,可以举出基于聚对亚苯基亚乙烯基(polyparaphenylene vinylene)的材料、聚对亚苯基(polyparaphenylene)材料、基于聚噻吩(polythiophene)的材料、以及基于聚芴(polyfluorene)的材料。
上述基于聚对亚苯基亚乙烯基的材料可以举出聚对亚苯基亚乙烯(poly(paraphenylenevinylene)(PPV))衍生物,例如:聚(2,5-二烷氧基-1,4-苯乙烯基)(poly(2,5-dialkoxy-1,4-phenylenevinylene))(RO-PPV);聚(2-(2′-乙基-己氧基)-5-甲氧基-1,4-苯乙烯基)(poly(2-(2′-ethyl-hexoxy)-5-methoxy-1,4-phenylenevinylene))(MEH-PPV);聚(2-(二烷氧基苯基)-1,4-苯乙烯基)(poly(2-(dialkoxyphenyl)-1,4-phenylenevinylene))(ROPh-PPV)等。上述基于聚对亚苯基的材料可以举出聚对亚苯基(PPP)的衍生物,例如:聚(2,5-二烷氧基-1,4-亚苯基)(poly(2,5-dialkoxy-1,4-phenylene))(RO-PPP);poly(2,5-dihexoxy-1,4-phenylene)等。上述基于聚噻吩(polythiophene)的材料可以举出聚噻吩(PT)衍生物,例如:聚(3-烷基噻吩)(poly(3-alkylthiophene))(PAT);聚(3-己基噻吩)(poly(3-hexylthiophene))(PHT);聚(3-环己基噻吩)(poly(3-cyclohexylthiophene))(PCHT);聚(3-环己基-4-甲基噻吩)(poly(3-cyclohexyl-4-methylthiophene))(PCI-IMT);聚(3,4-二环己基噻吩)(poly(3,4-dicyclohexylthiophene))(PDCHT);聚[3-(4-辛琪苯基)-噻吩](poly[3-(4-octylphenyl)-thiophene])(POPT);聚[3-(4-辛基苯基)-2,2-并噻吩](poly[3-(4-octylphenyl)-2,2bithiophene))(PTOPT)等。上述基于聚芴(polyfluorene)的材料可以举出聚芴(polyfluorene,PF)的衍生物,例如:聚(9,9-二烷基芴)(poly(9,9-dialkylfluorene))(PDAF);聚(9,9-二辛基芴)(poly(9,9-dioctylfluorene))(PDOF)等。
当具有空穴转移特性的高分子量有机发光材料夹在阳极和具有发光特性的高分子量有机发光材料之间时,可以改善从阳极注入空穴的特性。典型地,是利用旋转涂覆法等涂覆溶液,该溶液是将具有空穴转移特性的高分子量有机发光材料与受主材料(acceptor material)一起溶于水中而形成的。由于具有空穴转移特性的高分子量有机发光材料不溶于有机溶剂,所以它可以与上述的具有发光特性的有机发光材料层积在一起。上述高分子量有机发光材料可以举出PEDOT与作为受主材料的樟脑磺酸(camphor sulfonic acid,CSA)的混合物、聚苯胺(polyaniline,PANI)与作为受主材料的聚磺基苯乙烯(polystyrenesulfonic,PSS)的混合物等。
发光层可以发出单色光或白光。当使用发出白光的材料时,可以通过在像素的发光一侧设置透过特定波长的光的滤色装置(着色层)来实现彩色显示。
为了形成发出白光的发光层,例如可以通过蒸镀法来顺次层积Alq3、部分地掺有作为红色素的尼罗红(Nile red)的Alq3、Alq3、p-EtTAZ、和TPD(芳香二胺,aromatic diamine)。另外,当通过利用旋转涂覆的涂覆法形成EL时,在涂覆后优选利用真空加热来烘焙EL。例如,可以在基板的整个表面上涂覆作为空穴注入层的聚(亚乙基二氧噻吩)/聚苯乙烯磺酸(poly(ethylene dioxythiophene)/poly(styrenesulfonic acid))(PEDOT/PSS)水溶液并烘焙。然后,在整个表面上涂覆作为发光层的掺有发光中心色素(luminescence centerpigment)(例如1,1,4,4-四苯基-1,3-丁二烯(1,1,4,4-tetraphenyl-1,3-butadiene)(TPB)、4-氰基亚甲基-2-甲基-6-(p-二甲基胺-苯乙烯基)-4H-吡喃(4-dicyanomethylene-2-methyl-6-(p-dimnethylamino-styryl)-4H-pyran)(DCM1)、尼罗红(Nile red)、或香豆素6)的聚乙烯基咔唑(polyvinyl carbazole,PVK)溶液并烘焙。
上述发光层可以是单层。此时,可以在具有空穴转移特性的聚乙烯基咔唑(PVK)中分散具有电子转移特性的1,3,4-噁二唑(1,3,4-oxadiazole)衍生物(PBD)。另外,可以通过分散30%重量的PBD作为电子转移剂和适量的四种色素(TPB、香豆素6、DCM1、和尼罗红)来获得白色的发光。除上述的可以发出白光的发光元件外,还可以通过适当地选择发光层的材料来制造可以发出红光、绿光、或蓝光的发光元件。
当具有空穴转移特性的高分子量有机发光材料夹在阳极和具有发光特性的高分子量有机发光材料之间时,可以改善从阳极注入空穴的特性。典型地,是利用旋转涂覆法等涂覆溶液,该溶液是将具有空穴转移特性的高分子量有机发光材料与受主材料一起溶于水中而形成的。由于具有空穴转移特性的高分子量有机发光材料不溶于有机溶剂,所以它可以与上述的具有发光特性的有机发光材料层积在一起。上述高分子量有机发光材料可以举出PEDOT与作为受主材料的樟脑磺酸(CSA)的混合物、聚苯胺(PANI)与作为受主材料的聚磺基苯乙烯(PSS)的混合物等。
另外,除独态激发(singlet excited)发光材料外,还可以使用含有金属络合物等的三重态激发(triplet excited)的发光材料。例如,利用三重态激发的发光材料形成亮度在较短时间内衰减一半的发红光的像素,而利用独态激发的发光材料形成发绿光和蓝光的像素。由于三重态激发的发光材料具有极好的发光效率,所以与独态激发的发光材料相比具有为获得相同的亮度所需的功率较低的特性。即,当利用三重态激发的发光材料形成发红光的像素时,发光元件只需流过少量的电流,从而提高了可靠性。为了降低功耗,可以利用三重态激发的发光材料形成发红光和绿光的像素,而利用独态激发的发光材料形成发蓝光的像素。当发出可见度高的绿光的发光元件也由三重态激发的发光材料形成时,可以进一步降低功耗。
作为三重态激发的发光材料的例子,使用金属络合物作为掺杂剂。特别地,已知的是以三级跃迁(third transition)元素的铂为中心金属的金属络合物、以铟为中心金属的金属络合物等。上述三重态激发的发光材料并不限于这些化合物,也可以是具有上述结构的、以元素周期表中的8~10族所属的元素作为中心金属的化合物。
上述的用于形成发光层的物质只是示例,发光元件可以通过适当地层积具有各种特性的相应的层来形成,例如空穴注入/转移层、空穴转移层、电子注入/转移层、电子转移层、发光层、电子阻挡层、和空穴阻挡层。另外,也可以形成混合层或上述层的混合结(mixedjunction)。发光层的层结构可以是多样的,可以对发光层进行结构上的改变而不偏离本发明的宗旨。例如,设有电极层或分散有发光材料作为发光层,来代替设置特定的电子注入区或发光区。
当在由上述材料形成的发光元件上施加正向偏置电压时,该发光元件发光。使用发光元件所形成的显示装置的每个像素既可以由简单矩阵法驱动、也可以由有源矩阵法驱动。在二者中的任何一种的情况下,通过在特定的时间施加正向偏置电压使各个像素发光,而各个在特定的期间内不发光。在该不发光期间,在发光元件上施加反向偏置电压以改善发光元件的可靠性。发光元件具有在特定的驱动条件下的发光密度降低或由于像素中的非发光区域的扩大而造成的显著的亮度下降的退化模式。当通过施加正向偏置电压和反向偏置电压的交流电流来驱动发光元件时,可以推迟发光元件的退化,从而通过发光元件的可靠性。并且,既可以使用数字驱动,也可以使用模拟驱动。
虽然在图16A~16C中未示出,可以在面向包含元件的基板的密封基板上面形成滤色装置(着色层)。滤色装置(着色层)可以通过液滴排放法来选择性地形成。由于用滤色装置(着色层)可以将R、G、B的发射光谱的较宽的峰调整得较尖锐,所以利用该滤色装置(着色层)可以显示高清晰度的图像。
以上说明了形成分别呈R、G、B发光的材料的情况,但也可以通过形成呈单色发光的材料与滤色装置或颜色转换层的组合来实现全彩色显示。例如,可以在密封基板上形成滤色装置(着色层)并粘贴在基板上。如上所述,可以通过液滴排放法来形成上述呈单色发光的材料、滤色装置(着色层)、或颜色转换层。
当然,也可以实现单色发光显示。例如,可以形成利用单色光的区域彩色(area color)显示装置。无源矩阵部分适用于上述区域彩色显示装置,主要用于显示字符和符号。
在上述的结构中,阴极可由具有低功函数的材料形成。例如,优选使用Ca、Al、CaF2、MgAg、AlLi等形成阴极。场致发光层可以是单层结构、层积结构、和层间无界面的混合结构中的任何一种结构。另外,场致发光层可以由独态材料、三重态材料、独态材料和三重态材料组合而成的材料、含有有机化合物或无机化合物的电荷注入/转移物质、或发光材料中的任何一种。阴极可以含有从低分子量有机材料、中分子量有机材料(指无升华特性的、分子数小于等于20或分子链长度小于等于10μm的有机化合物)、和高分子量有机材料中根据其分子数选择的一个或多个层,并且可以与具有电子注入/转移特性或空穴注入/转移特性的无机化合物相结合。第1电极层484和472、第2电极层465和474由透光的透明导电层构成。例如,除ITO和ITSO之外,还可以是掺有2%~20%的氧化锌的氧化铟的透明导电膜。优选在形成第1电极层484、463、472之前在氧气氛下进行等离子体处理或在真空中进行热处理。由包括硅、有机材料、或化合物材料的材料形成分隔壁(也称为堤坝)。也可以使用多孔膜。优选利用例如丙烯酸或聚酰亚胺的感光或非感光材料形成上述分隔壁,因为其每个侧面的曲率半径是连续变化的而使在各个分隔壁的上面所形成的薄膜不会断开。本实施方式还可以与实施方式1和实施方式2自由组合。
实施方式6
下面,说明在按照实施方式3~5所制造的显示面板上安装有用于驱动的驱动电路的方式。
首先,参照图22A,说明采用COG法的显示装置。在基板2700的上面设有用于显示字符、图像等信息的像素部分2701。将设有多个驱动电路的基板分割为矩形,并将分割后的驱动电路2751(以下也称为驱动IC)安装在基板2700上。图22A所示为多个驱动IC 2751和在该驱动IC的边缘部分的FPC 2750的安装方式。另外,分割后的尺寸可以与在信号线一侧的像素部分的一边大致相同,在单个驱动IC的边缘可以安装有带(tape)。
可以采用TAB法。此时,如图22B所示,可以粘贴有多个带,并将驱动IC安装在带上。与COG法相似,单个地驱动IC可以安装在单个的带上。此时,从强度方面出发,还粘贴有固定IC的金属片等。
从提高产量的方面出发,优选在边长为300mm~1000mm或以上的矩形基板上形成将要安装在显示面板上的多个驱动IC。
换言之,在基板上形成作为单元的每一个都包括驱动电路部分和输入输出端子的多个电路图案,可以在最后分割使用。从像素部分的边长或像素节距考虑,驱动IC可以是长边(长)为15mm~80mm、短边为1mm~6mm的矩形。另外,驱动IC的长边的长度为像素部分边长、或为每个驱动电路的边长加上像素部分的边长。
相对于IC芯片,驱动IC的外部尺寸的优势在于长边的长度。如果驱动IC的长边为15mm~80mm,按照像素部分安装的所需驱动IC的数量少于使用IC芯片的情况。因此,可以改善制造的成品率。当在玻璃基板上形成驱动IC时,由于没有因作为主体的基板的形状所造成的限制,所以不会降低生产率。与通过圆形晶片获得的IC芯片相比,这是很大的优势。
如图25B所示,当扫描线驱动电路3702与基板集成时,在像素部分3701以外的区域上安装有具有信号线驱动电路的驱动IC。驱动IC是信号线驱动电路。为了形成对应于RGB全彩色的像素部分,对于XGA级必须有3072根信号线,而对于UXGA级则必须有4800根信号线。形成为上述数量的信号线在像素部分3701的边缘被分为若干组(block),并形成引线。信号线按照驱动IC的输出端的节距而被聚集在一起。图25B中的标记3704为信号线输入端子。
驱动IC优选由在基板上形成的结晶半导体所构成。结晶半导体优选通过用连续波激光照射而形成。因此,产生激光的振荡器使用连续波固态激光器或气体激光器。当使用连续波激光时晶体缺陷很少,其结果是,可以利用大晶粒尺寸的多晶半导体层来制造晶体管。另外,由于具有良好的迁移率或响应速率,所以可以获得高速驱动;并且与通常的元件相比,可以进一步改善元件的工作频率。这样,由于特性变化很小,所以可以获得高可靠性。可以使晶体管的沟道长度的方向与激光的扫描方向相同以进一步改善工作频率。这是由于,在通过连续波激光进行激光结晶化的工序中,当晶体管的沟道长度的方向与激光对基板的扫描方向大致平行(优选为-30°~30°)时,可以获得最高的迁移率。沟道基板方向与电流方向、即电荷在沟道形成区中的移动方向一致。如此制造的晶体管具有包括晶粒沿沟道方向延伸的多晶半导体层的有源层,这意味着晶界是大致沿沟道方向而形成的。
为了进行激光结晶化,优选将激光大幅度变窄,激光的形状(光斑,beam spot)的宽度优选与驱动IC的短边长度相同,约为1mm~3mm。另外,为了确保对所照射的物体的充分而有效的能量密度,激光的照射区域优选为线性形状。此处的术语“线性”是指具有大纵横比的矩形或椭圆形,而不是严格的直线。例如,线性形状是指纵横比大于等于2(优选为10~10000)的矩形或椭圆形。这样,可以通过使激光形状(光斑)的宽度与驱动IC的短边长度相同,来提供一种制造生产率得到改善的显示装置的方法。
如图22A和22B所示,驱动IC可以作为扫描线驱动电路和信号线驱动电路来安装。此时,扫描线一侧和信号线一侧所用的驱动IC优选具有不同的规格。
在像素部分,信号线与扫描线交叉而形成矩阵,按照每个交叉点配置晶体管。本发明的一个特征在于,使用以无定形半导体或半无定形半导体作为沟道部分的TFT来作为像素部分所配置的晶体管。上述半无定形半导体是通过例如等离子体CVD或溅射的方法形成的。可以利用等离子体CVD、在小于等于300℃的温度下形成上述半无定形半导体。即使在外部尺寸为例如550mm×650mm的非碱性玻璃基板的情况下,也可以在短时间内形成构成晶体管所需的膜厚。这种制造技术的特征对于制造大尺寸显示装置是有效的。另外,通过利用SAS形成的沟道形成区,半无定形TFT可以获得2cm2/V·sec~10cm2/V·sec的场效应迁移率。利用本发明,由于可以以良好的可控性来形成具有期望的形状的图案,所以可以稳定地形成具有短沟道宽度而没有例如短路的故障的精细布线。因此,可以形成具有足以使像素工作的电特性的TFT。这样,该TFT可以用作像素的开关元件或扫描线驱动电路中所含有的元件。据此,可以制造实现了面板上的系统(system-on-panel)的显示面板。
也可以利用具有由SAS构成的半导体层的TFT来将扫描线驱动电路与基板集成在一起。当利用具有由AS构成的半导体层的TFT时,驱动IC既可以安装在扫描线驱动电路上、也可以安装在信号线驱动电路上。
此时,扫描线一侧和信号线一侧所用的驱动IC优选具有不同的规格。例如,要求扫描线驱动IC中的晶体管可以耐受约30V的电压;而驱动频率小于等于100kHz,所以不要求较高的工作频率。因此,优选将包含在扫描线驱动电路中的晶体管的沟道长度(L)设置为足够长。另一方面,信号线驱动IC中的晶体管只需耐受12V的电压;但其驱动频率在3V时为65MHz,因此要求高速工作。因此,优选采用微米规则来设置包含在驱动电路中的晶体管的沟道长度等。根据本发明,可以以良好的可控性来形成精细的图案。因此,本发明可以充分地运用上述微米规则。
对安装驱动IC的方法并没有特别的限制,可以采用已知的方法,例如COG法、连线键合法、或TAB法。
将驱动IC与对置基板的厚度设置为相同的厚度,从而有助于使显示装置整体变薄。当两种基板由相同材料构成时,变化产生热应力,从而即使在显示装置中发生温度变化时也不会损害由TFT构成的电路的特性。另外,如本实施方式所述,通过在驱动电路上安装比IC芯片长的驱动IC,可以减少安装在像素部分上的驱动IC的数量。
如上所述,驱动电路可以与显示面板一体化。
实施方式7
下面说明本发明的显示装置所包含的保护电路。
如图22A和22B所示,可以在外部电路与内部电路之间形成保护电路2713。该保护电路可以从TFT、二极管、电阻元件、电容元件等中选择一个或多个元件而构成。以下所述为保护电路的几种结构及其动作。首先,参照图24A~24E说明保护电路的等效电路的结构;该保护电路位于外部电路与内部电路之间,并对应于一个输入端子。图24A所示的保护电路包括p-沟道薄膜晶体管7220和7230、电容元件7210和7240、和电阻元件7250。电阻元件7250具有2个端子,其一端施加有输入电压Vin(以下记为Vin),其另一端施加有低电势电压VSS(以下记为VSS)。
图24B所示为保护电路的等效电路图;在该保护电路中,p-沟道薄膜晶体管7220和7230被整流二极管7260和7270所代替。图24C所示为保护电路的等效电路图;在该保护电路中,p-沟道薄膜晶体管7220和7230被TFT 7350、7360、7370、和7380所代替。另外,作为具有与上述结构不同的结构的保护电路,图24D所示的保护电路包括电阻7280和7290、和n-沟道薄膜晶体管7300。图24ED所示的保护电路包括电阻7280和7290、p-沟道薄膜晶体管7310、和n-沟道薄膜晶体管7320。通过设置保护电路,可以防止突然的浪涌电势,从而避免元件的击穿或损坏,改善可控性。优选使用可耐受高电压的无定形半导体来形成具有上述保护电路的元件。本实施方式可以与上述实施方式自由组合。
本实施方式可以与上述实施方式1~6的任何一个组合。
实施方式8
参照图17A~17F所示的等效电路图说明本实施方式的显示面板的像素结构。在本实施方式中,说明了使用发光元件(EL元件)作为显示元件的例子。
在图17A所示的像素中,将信号线710和电源线711、712和713按列配置,将扫描线714按行配置。像素还包括作为开关TFT的TFT 701、作为驱动TFT的TFT 703、作为电流控制TFT的TFT704、电容元件702、和发光元件705。
除驱动TFT 703的栅电极与按列配置的电源线715相连接外,图17C所示的像素与图17A所示的结构相同。图17A和17C所示的像素具有相同的等效电路。然而,在将电源线712按列配置(图17A)的情况与将电源线715按列配置(图17C)的情况下,各个电源线由具有不同的层的导电层构成。图17A和17C分别表示了两个像素,以便表示图17A与17C之间、形成了与TFT 703的栅电极相连接的布线的层的不同。
在图17A和17C中,TFT 703和704与像素串联连接,TFT 703的沟道长度L3/沟道宽度W3与TFT 704的沟道长度L4/沟道宽度W4之比设为L3/W3∶L4/W4=5~6000∶1。例如,当L3、W3、L4、W4分别为500μm、3μm、3μm、100μm时,可以获得6000∶1的比率。利用本发明,由于可以形成具有期望的精细形状的图案,所以可以稳定地形成具有短沟道宽度而没有例如短路的故障的精细布线。因此,可以形成具有足以使如图17A和17C所示的像素工作的电特性的TFT。其结果是,可以制造显示性能优良的高可靠性显示面板。
TFT 703工作于饱和区,用于控制流入发光元件705的电流量,而TFT 704工作于线性区,用于控制提供给发光元件705的电流。从制造工序的观点出发,TFT 703和704优选具有相同的导电性。对于TFT703,可以使用耗尽型TFT代替增强型TFT。在具有上述结构的本发明中,由于TFT 704工作在线性区,所以TFT 704的VGS的微小变化对流入发光元件705的电流量没有影响。即,流入发光元件705的电流量由工作于饱和区的TFT 703所决定。因此,可以提供一种通过减小因TFT的特性所造成的发光元件的亮度变化来提高图像质量的显示装置。
图17A~17D所示的像素的TFT 701对输入于像素的视频信号进行控制。当TFT 701导通、视频信号输入于像素时,视频信号由电容元件702所保持。虽然在图17A和17C中包括电容元件702,但本发明并不限于此。当采用栅电容等作为保持视频信号的电容时,就无须设置电容元件702。
发光元件705具有场致发光层被夹在一对电极之间的结构。像素电极和对置电极(阳极和阴极)之间具有电势差,以形成正向偏置电压。场致发光层可以由例如有机材料或无机材料的广泛的材料构成。场致发光层的发光包括当单激发态返回基态时产生的发光(荧光)和当三重激发态返回基态时产生的发光(磷光)。
除增加了TFT 706和扫描线716外,图17B所示的像素结构与图17A所示的像素相同。类似地,除增加了TFT 706和扫描线716外,图17D所示的像素结构与图17C所示的像素相同。
增加的扫描线716控制TFT 706的导通或截止。当TFT 706导通时,电容元件702所保持的电荷被排放,从而使TFT 704截止。即,通过设置TFT 706,可以强制地切断提供给发光元件705的电流。因此,通过采用图17B和17D所示的结构,可以在写入期间开始的同时或之后立即开始发光期间,而不必等待所有信号写入所有像素中之后,从而改善了占空比(duty ratio)。
在如图17E所示的像素中,信号线750和电源线751按列配置,扫描线753按行配置。像素还包括作为开关TFT的TFT 741、作为驱动TFT的TFT743、电容元件742、和发光元件744。除增加了TFT745和扫描线754外,图17F所示的像素结构与图17E所示的像素相同。图17F的结构也通过设置了TFT 745来从而改善了占空比。
如上所述,根据本发明,可以精确而稳定地形成布线等的图案而不造成缺陷。因此,可以提供具有良好的电特性和高可靠性的TFT,本发明充分适用于根据使用目的提高像素的显示性能的应用技术。
本实施方式可以与上述实施方式1、3、5~7的任何一个组合。
实施方式9
参照图11说明实施方式9。图11所示为使用根据本发明制造的TFT基板2800的EL显示组件的形成例。在图11中,在TFT基板2800上面形成含有像素的像素部分。
在图11中,在像素区域以外、驱动电路和像素之间设有结构与像素中的TFT相同的TFT、或与通过将TFT的栅与源或漏的任何一方相连接而构成的二极管的工作方式相同的保护电路部分2801。驱动电路2809可以是由单晶半导体所形成的驱动IC、由在玻璃基板上形成的多晶半导体构成的棒状驱动IC、或由SAS构成的驱动电路。
通过在TFT基板2800和密封基板2820之间设置间隔物2806a和2806b将TFT基板2800粘合在密封基板2820。即使基板较薄或像素部分的面积增大时,也优选设置上述间隔物以便在两个基板之间总是保持空间。可以用对可见光是透光的树脂材料填充位于TFT基板2800和密封基板2820之间、分别连接于TFT2802和2803的发光元件2804和2805的上方的空间,并固化,也可以用无水的氮或惰性气体来填充。
图11所示为发光元件2804、2805、和2815具有顶面发射型结构和光线按照图中的箭头所示的方向发射的结构的情况。通过使每个像素具有红、绿、蓝的不同发光颜色,可以实现多色显示。这时,通过在每个基板2820一侧形成与各个颜色相对应的着色层2807a、2807b、和2807c来改善发射到外部的发光的色纯度。另外,通过将像素作为白色发光元件,着色层2807a、2807b、和2807c可以相互组合。
驱动电路2809通过设置在TFT基板2800的一个边缘上的布线基板2810与外部电路基板2811相接触。另外,可以设置与TFT基板2800相接触或相接近的热管2813和热沉2812使其具有提高散热效果的结构。
图11所示为顶面发射型的EL组件,但是,通过改变发光元件的结构或外部电路基板的位置,也可以是底面发射结构。当然,还可以是顶面和底面均发光的双面发射结构。在顶面发射结构的情况下,可以将作为分隔壁的绝缘层进行着色来作为黑矩阵。该分隔壁可以通过液滴排放法形成,可以由将黑色树脂的颜料材料、炭黑等掺入例如聚酰亚胺的树脂材料中来形成。也可以是其层积结构。
另外,在EL显示组件中采用延时膜(retardation film)或偏振板可以屏蔽从外部进入的光线的反射光。在顶面发射结构的情况下,可以将作为分隔壁的绝缘层进行着色来作为黑矩阵。该分隔壁可以通过液滴排放法等形成;可以由将炭黑等掺入黑色树脂的颜料材料或例如聚酰亚胺的树脂材料中、或其层积结构来形成。通过液滴排放法,可以在同一区域上多次排放不同的材料,来形成分隔壁。可以使用1/4波长板或半波长板作为延迟膜,并可以对其进行设计使其可以控制光线。其结构是在TFT元件基板上顺次层积发光元件、密封基板(密封剂)、延迟板(1/4波长板)、延迟板(半波长板)、和偏振板;由发光元件发射的光线通过改结构并从偏振板一侧射出。上述延迟板或偏振板可以设置在光线向外部射出的一侧,或者在光线从两个表面射出的双面发射型显示装置的情况下,可以设置在两侧。另外,还可以在偏振板的外侧设置抗反射膜。这样,可以显示更高清晰度和更精确的图像。
在TFT基板2800中,通过使用密封剂或粘合树脂在形成像素部分的一侧粘贴树脂膜来形成密封结构。在本实施方式中,说明了使用玻璃基板的玻璃密封,但也可以使用各种的密封方法,例如使用树脂的树脂密封、使用塑料的塑料密封、和使用膜的膜密封。优选在树脂膜的表面设置气体阻挡层以防止水份侵入树脂膜。通过使用膜密封结构,可以实现更薄和更亮的EL显示组件。
本实施方式可以与上述实施方式1、3、5~8的任何一个组合。
实施方式10
下面参照图15A和15B说明实施方式10。图15A和15B所示为使用根据本发明制造的TFT基板2600来形成液晶显示装置的例子。
图15A为液晶显示组件的例子,其中TFT基板2600与对置基板2601通过密封剂2602牢固地粘贴在一起,在上述基板之间设有像素部分2603和液晶层2604从而形成显示区域。为了实现彩色显示,着色层2605是必需的。对于RGB系统的情况,对于相应的像素分别设置对应于红、绿、蓝的颜色的着色层。在TFT基板2600和对置基板2601的外侧分别设置偏振板2606和2607。另外,在偏振板2607的外侧设置透镜膜2613。光源包括冷阴极管2610和反射板2611。电路基板2612通过驱动电路2608和柔性布线基板2609与TFT基板2600相连接。在电路基板2612上集成有例如控制电路和电源电路的外部电路。液晶显示组件可以采用TN(扭曲向列)模式、IPS(平面内开关、in-plane-switching)模式、MVA(多域垂直排列、multi-domain vertical alignment)模式、ASM(轴向对称排列微单元)模式、或OCB模式等。
特别地,使用可以高速响应的OCB模式可以改善根据本发明制造的显示装置的性能。图15B所示为OCB模式用于图15A的液晶显示组件的例子,该液晶显示组件成为FS-LCD(场连续LCD、fieldsequential-LCD)。FS-LCD在一个帧期间分别进行红色、绿色、蓝色发光。利用时间分割法形成图像并进行彩色显示。另外,使用发光二极管、冷阴极管等可以产生各种颜色的发光,而无需滤色装置。这样,由于无须设置红、绿、蓝的滤色装置,与使用滤色装置的情况相比,在相同的面积上可以设置9倍的像素数量。当FS系统或OCB模式用于本发明的显示装置时,可以完成具有高性能的高清晰度显示装置或高清晰度液晶电视装置。
OCB模式的液晶层具有所谓的π单元结构。在π单元结构中,使液晶分子取向,以使分子的预倾角(pretilt angle)关于有源矩阵基板与对置基板之间的中心平面对称。当基板上未施加电压时,π单元结构中的取向为张开为八字型的取向,而当施加电压时则变为弯曲取向。进一步施加电压将使液晶分子由弯曲取向变为与基板垂直的取向,从而允许光线通过。与通常的TN模式相比,使用OCB模式可以获得约10倍的高响应速率。
另外,作为对应于FS系统的模式,也可以采用可高速工作的、使用铁电液晶(FLC)的HV-FLC、SS-FLC等。具有较低粘度的向列液晶用于OCB模式。Smectic液晶则用于HV-FLC或SS-FLC。作为液晶材料,可以使用FLC、向列液晶、或Smectic液晶等。
通过使液晶显示组件的单元间隙变窄可以提高液晶显示组件的光学响应速率。另外,也可以通过降低液晶材料的粘度来提高光学响应速率。当TN模式的液晶显示组件的像素区域的像素或点节距小于等于30μm时,上述提高响应速率的方法更为有效。
图15B的液晶显示组件为透光型,设有作为光源的红光源2910a、绿光源2910b、和蓝光源2910c。在液晶显示组件中设有控制部分2912以控制红光源2910a、绿光源2910b、和蓝光源2910c的开启或关断。通过控制部分2912来控制相应颜色的发光,光线进入液晶并利用时间分割法产生图像,从而进行彩色显示。
如上所述,利用本发明可以制造高清晰度和高可靠的液晶显示组件。
本实施方式可以与上述实施方式1、4、6、或7的任何一个组合。
实施方式11
利用根据本发明制造的显示装置可以完成电视装置。图21所示为电视装置的主要结构的方框图。作为如图25A所示的结构,由如下情况:只形成有像素部分601、利用如图22B的TAB法来安装扫描线驱动电路603和信号线驱动电路602的情况;在基板上只形成有像素部分601、利用如图22A的COG法来安装扫描线驱动电路603和信号线驱动电路602的情况;如图25B所示形成TFT、像素部分601和扫描线驱动电路603集成在基板上、且信号线驱动电路602作为驱动IC单独安装的情况;如图25C所示、像素部分601、信号线驱动电路602、和扫描线驱动电路603整体地形成在基板上的情况等。可以使用任何一种显示面板结构。
另外,作为另一种外部电路,显示装置的视频信号的输入一侧可以包括对调谐器604所接收的信号中的视频信号进行放大的视频信号放大电路605、将视频信号放大电路605所输出的信号转换为分别对应于红、绿、蓝的色度信号的视频信号处理电路606、将视频信号转换为符合驱动IC的输入规格的信号的控制电路607等。控制电路607向扫描线一侧和信号线一侧输出信号。在数字驱动的情况下,在信号线一侧可以设置信号分割电路,将输入的数字信号分割为m份来提供。
由调谐器604所接收的信号中的音频信号被传送至音频放大器609并经音频处理电路610提供给扬声器613。控制电路611从输入部分612接收接收机的控制信息(接收频率)或音量,并向调谐器604或音频处理电路发送信号。
如图20A和20B所示,通过将上述的液晶显示组件或EL显示组件集成于机壳(chassis)中,可以完成电视装置。当使用如图11所示的EL显示组件时,可以获得EL电视装置。当使用如图15A或15B所示的液晶显示组件时,可以获得液晶电视装置。使用显示组件构成主屏(main screen)2003,并设有作为附属设备的扬声器部分2009、操作开关等。这样,根据本发明,可以完成电视装置。
显示面板2002集成于机壳2001中,通过接收装置2005接收普通的TV广播。通过经调制解调器2004的有线或无线接入来与通信网络相连接,可以实现单向(由发送方到接收方)或双向(在发送方与接收方之间、或接收方与接收方之间)信息通信。可以通过内置于机壳2001中的开关或遥控单元2006来操作电视装置。
除主屏2003外,电视装置还可以包括利用第2显示面板构成的副屏(sub screen)2008,用于显示频道、音量等。在该结构中,主屏2003可以由具有宽可视角度的EL显示面板构成,副屏2008可以由可以低功耗地显示图像的液晶显示面板构成。为了优先地降低功耗,可以用液晶显示面板构成主屏2003,用EL显示面板构成可以副屏2008,且副屏2008可以接通或断开。根据本发明,即使当使用大尺寸基板和使用大量的TFT或电子部件时,也可以构成高可靠性的显示装置。
图20B所示为具有例如20英寸~80英寸的尺寸的大尺寸显示部分的电视装置。该电视装置包括机壳2010、显示部分2011、作为控制部分的遥控单元2012、和扬声器部分2013等。本发明适用于制造显示部分2011。图20B中的电视装置为壁挂式,因此无须较大的安装空间。
当然,本发明并不限于电视装置,也可以用于各种应用,例如用于车站、机场等的信息显示板、街道上的广告显示板等的大尺寸显示媒体,以及个人计算机的监视器。
实施方式12
根据本发明,可以制造各种类型的显示装置。换言之,可以通过将本发明的上述显示装置集成在电子装置的显示部分来制造各种类型的电子装置。
作为上述电子装置的例子,可以举出:摄像机;数字照相机;投影仪;头戴式显示器(护目镜式显示器);汽车导航系统;车载音响;个人计算机;游戏机;便携信息终端(如移动计算机、蜂窝电话、或电子书);设有记录介质的图像再现装置(具体地,可以再现例如数字通用光盘(DVD)的记录介质、并包括可以显示其图像的显示部分的装置)等。具体的例子如图19A~19D所示。
图19A所示为个人计算机,包括主机2101、机壳2102、显示部分2103、键盘2104、外部连接端口2105、鼠标器2106等。显示部分2103可以利用本发明来制造。根据本发明,即使对于小型化的个人计算机、且精确形成布线等的情况,也可以制造可在显示部分2103上显示高质量图像的高可靠性个人计算机。
图19B所示为含有记录介质的图像再现装置(具体地是DVD再现装置),包括主机2201、机壳2202、显示部分A 2203、显示部分B 2204、记录介质(DVD等)读取部分2205、操作键2206、和扬声器部分2207等。显示部分A 2203主要显示图像信息,而显示部分B 2204主要显示字符信息。该显示部分A 2203和显示部分B 2204可以利用本发明来制造。根据本发明,即使对于小型化的图像再现装置、且精确形成布线等的情况,也可以制造可在显示部分上显示高质量图像的高可靠性图像再现装置。
图19C所示为蜂窝电话,包括主机2301、音频输出部分2302、音频输入部分2303、显示部分2304、操作键2305、和天线2306等。通过将利用本发明所制造的显示装置用于显示部分2304,即使对于小型化的蜂窝电话、且精确形成布线等的情况,也可以制造可在显示部分2304上显示高质量图像的高可靠性蜂窝电话。
图19D所示为摄像机,包括主机2401、显示部分2402、机壳2403、外部连接端子2404、遥控接收器2405、图像接收部分2406、电池2407、音频输入部分2408、接目镜部分2409、操作键2410等。显示部分2402可以利用本发明来制造。通过将利用本发明所制造的显示装置用于显示部分2402,即使对于小型化的摄像机、且精确形成布线等的情况,也可以制造可在显示部分2402上显示高质量图像的高可靠性摄像机。本实施方式可以与上述的实施方式自由组合。
实施方式13
根据本发明,可以形成作为处理器芯片工作的半导体装置(也称为无线芯片、无线处理器、无线存储器、无线标签)。本发明的半导体装置的应用范围很广。例如,本发明的半导体装置可以用于纸币、硬币、证券、证件、无记名债券、包装容器、文件、记录介质、个人物品、交通工具、食品、服装、健康用品、日用品、药品、电子装置等。
纸币和硬币是在市场上流通的货币,包括例如在特定范围使用的现金代金券的通货、或纪念币。证券是指支票、单据、本票等,其中可以设有处理器芯片90(图26A)。证件是指驾驶执照、居留证等,其中可以设有处理器芯片91(图26B)。个人物品是指提包、眼镜等,其中可以设有处理器芯片97(图26C)。无记名债券是指邮票、大米优惠券、各种商业优惠券等。包装容器是指午餐盒的包装纸、塑料瓶等,其中可以设有处理器芯片93(图26D)。文件包括图书等,其中可以设有处理器芯片94(图26E)。记录介质是指DVD软件、录像带等,其中可以设有处理器芯片95(图26F)。交通工具是指例如自行车的轮式交通工具、船舶等,其中可以设有处理器芯片96(图26G)。食品是指食物、饮料等。服装是指衣物、鞋类等。健康用品是指医药用具、健康用具等。日用品是指家具、照明设备等。药品是指医药制品、杀虫剂等。电子装置指液晶显示装置、EL显示装置、电视装置(电视机或平面电视机)、和蜂窝电话等。
通过在纸币、硬币、证券、证件、无记名债券等中设置处理器芯片,可以防止伪造。通过在每个包装容器、文件、记录介质、个人物品、食品、日用品、电子装置等中设置处理器芯片,可以提高检查系统或出租店所用的系统的效率。通过在每个交通工具、健康用品、药品等中设置处理器芯片,可以防止诈骗或盗窃,而且还可以防止药物的误用。通过将处理器芯片粘贴在物品的表面或嵌入在其中来设置处理器芯片。例如,对于书籍的情况,可以将处理器芯片嵌入一页纸中;对于由树脂制成的封装,可以将处理器芯片嵌入有机树脂中。
通过将根据本发明形成的处理器芯片用于管理系统或物品配送系统中,可以使系统具有高功能。例如,由设置在传送带一侧的读/写装置读取设置在标签中的处理器芯片所记录的信息,读出配送处理或交货目的地的信息,从而容易地实现对商品的检查或货物的配送。
图27所示为可以根据本发明形成的处理器芯片的结构。该处理器芯片由薄膜集成电路9303和与薄膜集成电路9303相连接的天线9304所构成。薄膜集成电路9303和天线9304被夹在外罩材料9301和9302之间。可以用粘合剂将薄膜集成电路9303粘贴在外罩材料9301上。在图27中,薄膜集成电路9303的一侧与外罩材料9301之间用粘合剂9320将它们粘贴在一起。
薄膜集成电路9303是以与上述实施方式的任何一种所述的TFT相同的方式形成的,然后,通过已知的剥离工序将其剥离,并设置在外罩材料上。在本实施方式中,形成有形成天线9304的布线层,使其嵌入绝缘层9311和9321中。在绝缘层9311和9321中形成开口部分;在绝缘层9321上形成有掩模层,该掩模层表面的可湿性受到控制。通过在含氟气体气氛中的等离子体处理,对掩模层进行处理使其具有对含有形成布线层9323的导电材料的混合物的低可湿性。
含有导电材料的液态混合物不会附着在对混合物的可湿性低的掩模表面上。即使附着在上面也是不稳定的,而具有较高的可湿性的开口部分则被含有导电材料的混合物所充满。这样,由于开口部分充满了含有导电材料的混合物,所以可以以良好的稳定性和可控性来形成布线层,并且可以避免材料损耗。另外,由于使用了具有孔的绝缘层作为绝缘层9311和9321,所以布线层9323形成为该布线层9323的一部分填充了上述孔。这样,形成了对绝缘层9311和9321以及包含在薄膜集成电路9303中的电极层具有良好的附着性的布线层9323。薄膜集成电路9303所用的半导体元件并不限于上述的半导体元件。例如,还可以是存储器元件、二极管、光电转换元件、电阻元件、线圈、电容元件、电感、以及TFT等。
如图27所示,在薄膜集成电路9303的TFT上形成绝缘层9311,并形成与TFT相连接的天线9304;绝缘层9311夹在天线9304与TFT之间。另外,在绝缘层9311和天线9304上形成由氮化硅等构成的阻挡膜。
天线9304是通过由液滴排放法排放含有金、银、或铜等导电体的液滴、并干燥和烘焙而形成的。通过利用液滴排放法形成天线,可以减少工序的数量从而降低成本。
对于外罩材料9301和9302,优选使用密封膜(由聚丙烯、聚酯、乙烯、聚氟乙烯、氯乙烯等构成)、由纤维材料构成的纸、基础材料膜(聚酯、聚酰胺、无机汽相淀积膜、各种纸等)和粘性的合成树脂膜(基于丙烯酸的合成树脂、基于环氧的合成树脂等)的层积膜等。通过热压结合将密封膜与处理对象进行密封处理。在进行密封处理的情况下,通过热处理使设置在密封膜上表面的粘合层或设置在最外层中的层(非粘合层)融化,然后通过施加压力与处理对象粘合。
利用例如纸、纤维、石墨等可焚化的无公害材料作为外罩材料,可以将使用过的处理器芯片焚化或切碎。由于使用上述材料的处理器芯片在燃烧时不会产生有毒气体,所以上述处理器芯片是无公害的。
在图27中,处理器芯片是用粘合剂9320设置在外罩材料9301上的,但处理器芯片也可以附着在外罩材料9301以外的物品上。

Claims (11)

1.一种半导体装置的制造方法,包括以下步骤:
在基板上形成导电层;
在上述导电层上形成第1绝缘层;
在上述第1绝缘层上形成第2绝缘层;
在上述第2绝缘层上形成包括第1开口部分的第1掩模层;
利用上述第1掩模层,通过刻蚀上述第1绝缘层和上述第2绝缘层,在上述第1绝缘层和上述第2绝缘层中形成到达上述第1导电层的第2开口部分;
去除上述第1掩模层;
在去除上述第1掩模层后,在上述第2绝缘层上形成第2掩模层,该第2掩模层具有面积大于上述第1开口部分的第3开口部分,并且其表面对包含导电材料的组成物的可湿性低于上述第2绝缘层;
在上述第2绝缘层中形成第4开口部分,该第4开口部分的面积大于第2开口部分,并且通过刻蚀上述第2绝缘层使该第4开口部分与上述第2开口部分相交叠;和
将含有导电材料的组成物放入上述第2和第4开口部分中。
2.一种半导体装置的制造方法,包括以下步骤:
在基板上形成导电层;
在上述导电层上形成第1绝缘层;
在上述第1绝缘层上形成具有孔的第2绝缘层;
在上述第2绝缘层上形成包括第1开口部分的第1掩模层;
利用上述第1掩模层,通过刻蚀上述第1绝缘层和上述第2绝缘层,在上述第1绝缘层和上述第2绝缘层中形成到达上述第1导电层的第2开口部分;
去除上述第1掩模层;
在去除上述第1掩模层后,在上述第2绝缘层上形成第2掩模层,该第2掩模层具有面积大于上述第1开口部分的第3开口部分,并且其表面对包含导电材料的组成物的可湿性低于上述第2绝缘层;
在上述第2绝缘层中形成第4开口部分,该第4开口部分的面积大于第2开口部分,并且通过刻蚀上述第2绝缘层使该第4开口部分与上述第2开口部分相交叠;和
将含有导电材料的组成物放入上述第2和第4开口部分、和上述第2绝缘层的上述孔中。
3.一种半导体装置的制造方法,包括以下步骤:
在基板上形成导电层;
在上述导电层上形成第1绝缘层;
在上述第1绝缘层上形成第2绝缘层;
在上述第2绝缘层上形成第3绝缘层;
在上述第3绝缘层上形成包括第1开口部分的第1掩模层;
利用上述第1掩模层,通过刻蚀上述第1绝缘层、上述第2绝缘层和上述第3绝缘层,在上述第1绝缘层、上述第2绝缘层和上述第3绝缘层中形成到达上述第1导电层的第2开口部分;
在去除上述第1掩模层后,在上述第3绝缘层上形成第2掩模层,该第2掩模层具有面积大于上述第1开口部分的第3开口部分,并且其表面对包含导电材料的组成物的可湿性低于上述第3绝缘层;
在上述第3绝缘层中形成第4开口部分,该第4开口部分的面积大于第2开口部分,并且通过刻蚀上述第3绝缘层使上述第2绝缘层的上表面的一部分暴露出来、从而使该第4开口部分与上述第2开口部分相交叠;和
将含有导电材料的组成物放入上述第1和第2开口部分中。
4.一种半导体装置的制造方法,包括以下步骤:
在基板上形成导电层;
在上述导电层上形成第1绝缘层;
在上述第1绝缘层上形成第2绝缘层;
在上述第2绝缘层上形成具有孔的第3绝缘层;
在上述第3绝缘层上形成包括第1开口部分的第1掩模层;
利用上述第1掩模层,通过刻蚀上述第1、第2和第3绝缘层,在上述第1绝缘层、上述第2绝缘层和上述第3绝缘层中形成到达上述第1导电层的第2开口部分;
在去除上述第1掩模层后,在上述第3绝缘层上形成第2掩模层,该第2掩模层具有面积大于上述第1开口部分的第3开口部分,并且其表面对包含导电材料的组成物的可湿性低于上述第3绝缘层;
在上述第3绝缘层中形成第4开口部分,该第4开口部分的面积大于第2开口部分,并且通过刻蚀上述第3绝缘层使上述第2绝缘层的上表面的一部分暴露出来、从而使该第4开口部分与上述第2开口部分相交叠;和
将含有导电材料的组成物放入上述第2和第4开口部分、和上述第3绝缘层的上述孔中。
5.一种半导体装置的制造方法,包括以下步骤:
在基板上形成导电层;
在上述导电层上形成绝缘层;
形成具有第1开口部分的掩模层,上述掩模层的表面对包含导电材料的组成物的可湿性低于上述绝缘层;
在上述绝缘膜中形成到达上述导电层的第2开口部分;
将含有导电材料的组成物放入上述第2开口部分中。
6.如权利要求1~4的任一项所述的半导体装置的制造方法,其中所述第2掩模层包括含有碳氟基的物质。
7.如权利要求5所述的半导体装置的制造方法,其中所述掩模层包括含有碳氟基的物质。
8.如权利要求1~5的任一项所述的半导体装置的制造方法,其特征在于,还包括通过使包含导电材料的组成物干燥来形成布线层的步骤。
9.如权利要求1~4的任一项所述的半导体装置的制造方法,其中,通过对所述第2掩模层进行等离子体处理来降低所述第2掩模层的表面对包含导电材料的组成物的可湿性。
10.如权利要求5所述的半导体装置的制造方法,其中,通过对所述第2掩模层进行等离子体处理来降低所述掩模层的表面对包含导电材料的组成物的可湿性。
11.如权利要求9或10所述的半导体装置的制造方法,其中,所述等离子体处理是在含氟的气体气氛中进行的。
CNB2005101290271A 2004-11-30 2005-11-30 半导体装置的制造方法 Expired - Fee Related CN100492611C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004347839 2004-11-30
JP2004347839 2004-11-30

Publications (2)

Publication Number Publication Date
CN1797740A true CN1797740A (zh) 2006-07-05
CN100492611C CN100492611C (zh) 2009-05-27

Family

ID=36567904

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101290271A Expired - Fee Related CN100492611C (zh) 2004-11-30 2005-11-30 半导体装置的制造方法

Country Status (2)

Country Link
US (1) US7985677B2 (zh)
CN (1) CN100492611C (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102137548A (zh) * 2010-01-21 2011-07-27 精工爱普生株式会社 电路布线形成方法、电路基板及布线膜的膜厚度比布线膜的宽度大的电路布线膜
CN102610557A (zh) * 2011-01-18 2012-07-25 富士通株式会社 表面覆盖方法和半导体装置以及安装电路基板
CN105097650A (zh) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 接触插塞的形成方法

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW468283B (en) 1999-10-12 2001-12-11 Semiconductor Energy Lab EL display device and a method of manufacturing the same
DE10260149A1 (de) 2002-12-20 2004-07-01 BSH Bosch und Siemens Hausgeräte GmbH Vorrichtung zur Bestimmung des Leitwertes von Wäsche, Wäschetrockner und Verfahren zur Verhinderung von Schichtbildung auf Elektroden
JP4123172B2 (ja) * 2003-04-01 2008-07-23 セイコーエプソン株式会社 薄膜パターンの形成方法及びデバイスの製造方法、電気光学装置及び電子機器
US7582904B2 (en) * 2004-11-26 2009-09-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display device and method for manufacturing thereof, and television device
US7732349B2 (en) * 2004-11-30 2010-06-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of insulating film and semiconductor device
US7696625B2 (en) * 2004-11-30 2010-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7517791B2 (en) * 2004-11-30 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7687326B2 (en) * 2004-12-17 2010-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7579224B2 (en) * 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
CN101950748B (zh) * 2005-01-28 2013-06-12 株式会社半导体能源研究所 半导体器件和制造它的方法
JP4297106B2 (ja) * 2005-02-23 2009-07-15 セイコーエプソン株式会社 膜パターンの形成方法及びデバイスの製造方法、電気光学装置及び電子機器
JP4677937B2 (ja) * 2005-07-20 2011-04-27 セイコーエプソン株式会社 膜パターンの形成方法、デバイス、電気光学装置、電子機器、及びアクティブマトリクス基板の製造方法
JP2007053333A (ja) * 2005-07-20 2007-03-01 Seiko Epson Corp 膜パターンの形成方法、デバイス、電気光学装置、電子機器、及びアクティブマトリクス基板の製造方法
US7271086B2 (en) * 2005-09-01 2007-09-18 Micron Technology, Inc. Microfeature workpieces and methods of forming a redistribution layer on microfeature workpieces
JP2007123240A (ja) * 2005-09-28 2007-05-17 Sony Corp 表示装置の製造方法および表示装置
US8138075B1 (en) 2006-02-06 2012-03-20 Eberlein Dietmar C Systems and methods for the manufacture of flat panel devices
KR100762097B1 (ko) * 2006-02-13 2007-10-01 (주)실리콘화일 이미지 센서 제조방법
US7247560B1 (en) * 2006-03-01 2007-07-24 Gary Neal Poovey Selective deposition of double damascene metal
DE102006060533A1 (de) * 2006-12-21 2008-06-26 Qimonda Ag Verfahren zur Herstellung einer ersten Schicht mit einer elektrischen Leitung und Anordnung mit einer Kontaktschicht
CN200994225Y (zh) * 2006-12-29 2007-12-19 帛汉股份有限公司 电路基板结构
US20080168801A1 (en) * 2007-01-12 2008-07-17 Paul Stephen Danielson Method of sealing glass
KR100867631B1 (ko) * 2007-02-01 2008-11-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR101485926B1 (ko) * 2007-02-02 2015-02-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 기억장치
GB0703172D0 (en) * 2007-02-19 2007-03-28 Pa Knowledge Ltd Printed circuit boards
US7772064B2 (en) * 2007-03-05 2010-08-10 United Microelectronics Corp. Method of fabricating self-aligned contact
JP5039126B2 (ja) * 2007-03-26 2012-10-03 パイオニア株式会社 有機半導体素子及びその製造方法
JP5372337B2 (ja) 2007-03-27 2013-12-18 住友化学株式会社 有機薄膜トランジスタ基板及びその製造方法、並びに、画像表示パネル及びその製造方法
US8017940B2 (en) * 2007-05-25 2011-09-13 Panasonic Corporation Organic transistor, method of forming organic transistor and organic EL display with organic transistor
JP5205012B2 (ja) * 2007-08-29 2013-06-05 株式会社半導体エネルギー研究所 表示装置及び当該表示装置を具備する電子機器
GB0717055D0 (en) * 2007-09-01 2007-10-17 Eastman Kodak Co An electronic device
US20090107951A1 (en) * 2007-10-30 2009-04-30 Ming-Che Wu Method of packaging an LED array module
US20090193676A1 (en) * 2008-01-31 2009-08-06 Guo Shengguang Shoe Drying Apparatus
JP5497347B2 (ja) * 2008-06-24 2014-05-21 パナソニック株式会社 配線基板
CN102150480A (zh) 2008-08-18 2011-08-10 赛姆布兰特环球有限公司 卤代烃聚合物涂层
GB2462824A (en) * 2008-08-18 2010-02-24 Crombie 123 Ltd Printed circuit board encapsulation
JP2010080224A (ja) * 2008-09-25 2010-04-08 Sony Corp 光学部品の製造方法および光学部品、並びに表示装置の製造方法および表示装置
GB0819449D0 (en) * 2008-10-23 2008-12-03 Cambridge Display Tech Ltd Display drivers
JP5363789B2 (ja) * 2008-11-18 2013-12-11 スタンレー電気株式会社 光半導体装置
US8753933B2 (en) * 2008-11-19 2014-06-17 Micron Technology, Inc. Methods for forming a conductive material, methods for selectively forming a conductive material, methods for forming platinum, and methods for forming conductive structures
US8211782B2 (en) * 2009-10-23 2012-07-03 Palo Alto Research Center Incorporated Printed material constrained by well structures
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
JP2012108494A (ja) * 2010-10-25 2012-06-07 Semiconductor Energy Lab Co Ltd 表示装置
JP5877992B2 (ja) 2010-10-25 2016-03-08 株式会社半導体エネルギー研究所 表示装置
US9077344B2 (en) * 2010-12-07 2015-07-07 Atmel Corporation Substrate for electrical component and method
JP2012208294A (ja) * 2011-03-29 2012-10-25 Seiko Epson Corp 電気光学装置の製造方法、電気光学装置、投射型表示装置および電子機器
TWI573277B (zh) 2011-05-05 2017-03-01 半導體能源研究所股份有限公司 半導體裝置及其製造方法
US8829528B2 (en) * 2011-11-25 2014-09-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including groove portion extending beyond pixel electrode
TW201707202A (zh) * 2011-11-29 2017-02-16 半導體能源研究所股份有限公司 密封結構,發光裝置,電子裝置,及照明裝置
US10315275B2 (en) * 2013-01-24 2019-06-11 Wisconsin Alumni Research Foundation Reducing surface asperities
KR20150071318A (ko) * 2013-12-18 2015-06-26 삼성디스플레이 주식회사 유기 발광 표시 장치의 제조 방법
KR102148857B1 (ko) * 2014-08-14 2020-08-28 삼성디스플레이 주식회사 표시장치 및 그 제조 방법
US9859494B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Nanoparticle with plural functionalities, and method of forming the nanoparticle
GB201621177D0 (en) 2016-12-13 2017-01-25 Semblant Ltd Protective coating
CN109860207B (zh) * 2019-02-27 2022-07-19 合肥鑫晟光电科技有限公司 一种阵列基板、其制作方法、显示面板及显示装置
WO2020251927A1 (en) * 2019-06-12 2020-12-17 Tokyo Electron Limited Planarization of semiconductor devices
JP7455953B2 (ja) * 2020-03-02 2024-03-26 株式会社Fuji 配線形成方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5164337A (en) * 1989-11-01 1992-11-17 Matsushita Electric Industrial Co., Ltd. Method of fabricating a semiconductor device having a capacitor in a stacked memory cell
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
JP3071810B2 (ja) 1990-09-14 2000-07-31 株式会社東芝 半導体装置の製造方法
US5656834A (en) * 1994-09-19 1997-08-12 Philips Electronics North America Corporation IC standard cell designed with embedded capacitors
CN1138217A (zh) 1995-06-15 1996-12-18 北京工业大学 高电迁徒阻力的多层金属化结构及其设计方法
JPH09306988A (ja) 1996-03-13 1997-11-28 Sony Corp 多層配線の形成方法
JP3300643B2 (ja) * 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
US6693038B1 (en) * 1999-02-05 2004-02-17 Taiwan Semiconductor Manufacturing Company Method for forming electrical contacts through multi-level dielectric layers by high density plasma etching
US6399486B1 (en) * 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
EP1243033B1 (en) * 1999-12-21 2019-12-04 Flexenable Limited Solution processing
JP3926076B2 (ja) 1999-12-24 2007-06-06 日本電気株式会社 薄膜パターン形成方法
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6309957B1 (en) * 2000-04-03 2001-10-30 Taiwan Semiconductor Maufacturing Company Method of low-K/copper dual damascene
CN1197134C (zh) * 2000-05-08 2005-04-13 电气化学工业株式会社 低相对介电常数的SiOx膜、制造方法和使用它的半导体装置
JP3868713B2 (ja) 2000-05-18 2007-01-17 独立行政法人科学技術振興機構 超短光パルスによりガラス中に作製した三次元光メモリー素子のデータの書き換え方法
JP4035968B2 (ja) 2000-06-30 2008-01-23 セイコーエプソン株式会社 導電膜パターンの形成方法
US6376353B1 (en) * 2000-07-03 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Aluminum and copper bimetallic bond pad scheme for copper damascene interconnects
US6429118B1 (en) * 2000-09-18 2002-08-06 Taiwan Semiconductor Manufacturing Company Elimination of electrochemical deposition copper line damage for damascene processing
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6753249B1 (en) * 2001-01-16 2004-06-22 Taiwan Semiconductor Manufacturing Company Multilayer interface in copper CMP for low K dielectric
US6492708B2 (en) * 2001-03-14 2002-12-10 International Business Machines Corporation Integrated coil inductors for IC devices
TW548736B (en) * 2001-07-12 2003-08-21 Nec Electronics Corp Semiconductor device fabricating method and treating liquid
JP3886779B2 (ja) * 2001-11-02 2007-02-28 富士通株式会社 絶縁膜形成用材料及び絶縁膜の形成方法
JP4778660B2 (ja) * 2001-11-27 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2003273111A (ja) * 2002-03-14 2003-09-26 Seiko Epson Corp 成膜方法及びその方法を用いて製造したデバイス、並びにデバイスの製造方法
US7045861B2 (en) * 2002-03-26 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device, liquid-crystal display device and method for manufacturing same
JP4042497B2 (ja) * 2002-04-15 2008-02-06 セイコーエプソン株式会社 導電膜パターンの形成方法、配線基板、電子デバイス、電子機器、並びに非接触型カード媒体
JP3578162B2 (ja) * 2002-04-16 2004-10-20 セイコーエプソン株式会社 パターンの形成方法、パターン形成装置、導電膜配線、デバイスの製造方法、電気光学装置、並びに電子機器
JP4543617B2 (ja) 2002-04-22 2010-09-15 セイコーエプソン株式会社 アクティブマトリクス基板の製造方法、電気光学装置の製造方法、電子機器の製造方法、アクティブマトリクス基板の製造装置、電気光学装置の製造装置、及び電気機器の製造装置
US6726535B2 (en) * 2002-04-25 2004-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing localized Cu corrosion during CMP
CN1218393C (zh) * 2002-06-14 2005-09-07 台湾积体电路制造股份有限公司 具有局部狭缝的金属内连线构造及其制造方法
JP3974023B2 (ja) * 2002-06-27 2007-09-12 富士通株式会社 半導体装置の製造方法
JP2004055965A (ja) * 2002-07-23 2004-02-19 Seiko Epson Corp 配線基板及び半導体装置並びにこれらの製造方法、回路基板並びに電子機器
JP2004146796A (ja) * 2002-09-30 2004-05-20 Seiko Epson Corp 膜パターンの形成方法、薄膜製造装置、導電膜配線、電気光学装置、電子機器、並びに非接触型カード媒体
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
EP1420439B1 (en) 2002-11-14 2012-08-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
JP3886459B2 (ja) * 2003-01-28 2007-02-28 株式会社神戸製鋼所 誘電体線路の製造方法
AU2004223493A1 (en) * 2003-02-28 2004-10-07 Brown University Nanopores, methods for using same, methods for making same and methods for characterizing biomolecules using same
TWI257120B (en) * 2003-06-18 2006-06-21 Fujitsu Ltd Method for manufacturing semiconductor device
KR100510915B1 (ko) * 2003-07-18 2005-08-26 매그나칩 반도체 유한회사 반도체 소자의 절연막 형성 방법
US7598129B2 (en) * 2003-11-14 2009-10-06 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and method for manufacturing the same
JP4194508B2 (ja) * 2004-02-26 2008-12-10 三洋電機株式会社 半導体装置の製造方法
US7517791B2 (en) * 2004-11-30 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7732349B2 (en) * 2004-11-30 2010-06-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of insulating film and semiconductor device
US7696625B2 (en) * 2004-11-30 2010-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102137548A (zh) * 2010-01-21 2011-07-27 精工爱普生株式会社 电路布线形成方法、电路基板及布线膜的膜厚度比布线膜的宽度大的电路布线膜
CN102610557A (zh) * 2011-01-18 2012-07-25 富士通株式会社 表面覆盖方法和半导体装置以及安装电路基板
CN105097650A (zh) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 接触插塞的形成方法
CN105097650B (zh) * 2014-05-04 2018-11-16 中芯国际集成电路制造(上海)有限公司 接触插塞的形成方法

Also Published As

Publication number Publication date
CN100492611C (zh) 2009-05-27
US7985677B2 (en) 2011-07-26
US20060115983A1 (en) 2006-06-01

Similar Documents

Publication Publication Date Title
CN1797740A (zh) 半导体装置的制造方法
CN1828931A (zh) 半导体装置、电子装置及半导体装置的制造方法
CN1797757A (zh) 半导体装置、显示装置及其制造方法,以及电视装置
CN1815686A (zh) 半导体器件及其制造方法
CN1734736B (zh) 电视机和电子设备以及半导体器件的制造方法
CN1649454A (zh) 显示器件及其制造方法以及电视设备
CN1767159A (zh) 显示装置的生产方法
CN1674227A (zh) 形成图案的方法,薄膜晶体管,显示设备及制法和应用
CN1770937B (zh) 半导体器件以及显示器件
CN1702837A (zh) 图形形成方法,薄膜晶体管、显示器及其制造方法及电视设备
TWI280818B (en) Light emitting device, method of manufacturing the same, and manufacturing apparatus therefor
CN1750719A (zh) 显示器件及其制造方法
CN1700417A (zh) 半导体器件及其制造方法、液晶电视、和el电视
CN1825616A (zh) 显示装置、电子设备及该显示装置的制造方法
CN1707749A (zh) 半导体装置及其制造方法、液晶电视和el电视
CN1934713A (zh) 形成图案的方法,薄膜晶体管,显示设备及其制造方法,以及电视设备
JP4700484B2 (ja) 半導体装置の作製方法
CN1658052A (zh) 半导体器件及其制备方法,液晶电视系统,和el电视系统
CN1677645A (zh) 显示装置及其制造方法,以及电视机接收器
CN1905136A (zh) 半导体装置制造方法
CN1728900A (zh) 显示器件及其制造方法
CN1862848A (zh) 制造显示器的方法
CN1797717A (zh) 绝缘膜以及半导体器件的制造方法
CN1845648A (zh) 发光装置,电子设备和电视装置
CN1925112A (zh) 半导体器件的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090527

Termination date: 20171130