CN1782125A - 形成介电膜的方法和介电膜 - Google Patents

形成介电膜的方法和介电膜 Download PDF

Info

Publication number
CN1782125A
CN1782125A CNA2005101127439A CN200510112743A CN1782125A CN 1782125 A CN1782125 A CN 1782125A CN A2005101127439 A CNA2005101127439 A CN A2005101127439A CN 200510112743 A CN200510112743 A CN 200510112743A CN 1782125 A CN1782125 A CN 1782125A
Authority
CN
China
Prior art keywords
silane
sup
vinyl
film
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005101127439A
Other languages
English (en)
Other versions
CN100552084C (zh
Inventor
S·源
A·格里尔
S·M·盖茨
D·A·诺伊迈尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1782125A publication Critical patent/CN1782125A/zh
Application granted granted Critical
Publication of CN100552084C publication Critical patent/CN100552084C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component

Abstract

本发明涉及一种由具有固有有机成孔剂的单一有机硅前体制备包含Si、C、O和H原子的SiCOH介电材料的方法。所述具有固有有机成孔剂的单一有机硅前体选自分子式为SiRR1R2R3的硅烷(SiH4)衍生物、分子式为R4R5R6Si-O-Si-R7R8R9的二硅氧烷衍生物、分子式为R10R11R12-Si-O-Si--R13R14-O-Si-R15R16R17的三硅氧烷衍生物,其中R和R1-17可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、烯基或炔基,它们可以是直链、支链、环状、多环状的,并且可以被含氧、氮或氟的取代基官能化。除了上述方法,本申请还提供了由本发明方法制备的SiCOH电介质以及包含该电介质的电子结构。

Description

形成介电膜的方法和介电膜
相关申请的交叉参考
本申请涉及同授权的美国专利6,147,009、6,312,793、6,441,491、6,437,443、6,541,398、6,479,110B2和6,497,963号,上述各美国专利的全部内容通过参考并入本文。本申请还涉及下述同时待审和同授权的美国专利申请:于2002年6月19日递交的10/174,749,于2003年1月23日递交的10/340,000,于2003年3月18日递交的10/390,801和于2004年1月16日递交的10/758,724。上述各美国专利申请的全部内容也通过参考并入本文。
发明领域
本发明主要涉及一种利用等离子体增强化学气相沉积法(PECVD)制造具有超低介电常数(或超低k)的介电材料的方法,该方法使用包含固有有机成孔剂(built-in organic porogen)的单一有机硅前体;本发明还涉及制备包含该介电材料的电子器件的方法。在PECVD方法中使用单一前体可以更容易地控制该方法,更好地控制膜厚度和组成均匀度,并且简化制造过程。而且,由单一前体沉积介电膜可更好地控制膜中的最终孔隙率,并且使孔大小的分布较窄,从而在相同的介电常数值下产生较好的机械性能。
更具体地说,本发明涉及制备作为层内或层间电介质用于超大规模集成(ULSI)线路后端(BEOL)布线结构中的热稳定超低k介电膜的方法以及由此方法形成的电子结构。
发明背景
近年来用于ULSI电路的电子器件尺寸的连续收缩导致了BEOL金属化阻力的提高以及层内和层间电介质电容的增大。该复合作用增大了ULSI装置中的信号延迟。为了改善未来ULSI电路的转换性能,需要使用低介电常数(k)绝缘体,特别是那些k值显著低于氧化硅的绝缘体,以降低电容。具有低k值的介电材料(即电介质)可从市场购得。一种这样的市售材料为,例如,聚四氟乙烯(“PTFE”),其介电常数为2.0左右。但是,大多数市售介电材料在暴露于超过300℃的温度条件下不是热稳定的。集成于目前ULSI芯片中的低k电介质需要至少400℃的热稳定性。
已经考虑用于ULSI装置的低k材料包括含元素Si、C、O和H的聚合物,例如甲基硅氧烷、甲基倍半硅氧烷和其他有机和无机聚合物。例如,H.Hacker等人发表于Mat.Res.Soc.Symp.Proc.476(1997)的文章“Properties of new low dialectric constant spin-on silicon oxide baseddielectrics”描述了可满足热稳定性要求的材料,但在通过旋涂技术生产膜条件下,这些材料中的某些在达到集成于相互连接结构需要的厚度时容易扩散裂纹。而且,这些现有技术中的前体材料是高成本的,阻碍了其大量生产。此外,非常大规模集成(“VLSI”)和ULSI芯片的大多数生产步骤利用等离子体增强化学或物理气相沉积技术进行。
因而,利用以前安装且可以使用的加工设备通过等离子体增强化学气相沉积(PECVD)技术生产低k材料的能力将简化其在制造过程中的集成、降低制造成本并产生较少的有害废弃物。美国专利6,147,009号和6,497,963描述了由Si、C、O和H原子组成的低介电常数材料,这种材料具有不超过3.6的介电常数并显示了非常低的裂纹扩散速率。
美国专利6,312,793,6,441,491,6,541,398和6,479,110B2号描述了一种多相低k介电材料,该材料由包含Si、C、O和H元素的基质相和主要包含C和H的另一相组成。前述专利公开的介电材料的介电常数不超过3.2。
美国专利6,437,443号描述了具有两个或更多相的低k介电材料,其中第一相由SiCOH材料形成。该低k介电材料是通过使含有Si、C、O和H原子的第一前体气体至少与主要包含C、H和任选的F、N和O原子的第二前体气体在等离子体增强化学气相沉积室内进行反应获得的。
虽然已经公开了许多低k介电材料,但是仍然需要不断改进PECVD方法以便改善最终SiCOH介电材料的性能。例如,具有较低内部应力、改进的热稳定性、较低的成本和在加工温度内较好的工艺控制的SiCOH介电材料在目前ULSI技术中都是需要的。
普遍发现,当横跨基底材料直径以及透过层的深度测量时,现有技术中由两种或更多种单独的有机硅和/或成孔剂前体制备的SiCOH介电材料在原子和结构组成上都是不均匀的。300mm Si晶片的使用使这种横跨晶片的化学均匀性问题更为突出。
还普遍发现,由两种或更多种单独的有机硅和/或成孔剂前体制备的SiCOH介电材料因两种前体中一种的流速微小变化,即流速的漂移,而显示出工艺变化或工艺不稳定性。
有鉴于此,需要提供一种制造具有改善的膜性能的SiCOH介电材料层体的方法,即当横跨基底材料直径以及透过层的深度测量时,其原子组成和结构组成都是均匀的,并且不表现出任何工艺变化和工艺不稳定性。
发明概要
本发明提供了一种由具有固有有机成孔剂的单一有机硅前体制备介电常数不超过2.7的介电材料的方法。更优选地,本发明超低k材料的介电常数为约1.5-约2.6,并且最优选,所述介电常数为约1.8-2.5。除非另有说明,本申请中提及的所有介电常数都是相对于真空的。
本发明还提供了一种由具有固有有机成孔剂的单一有机硅前体制备包含Si、C、O和H原子的SiCOH介电材料的方法。所述具有固有有机成孔剂的单一有机硅前体选自分子式为SiRR1R2R3的硅烷(SiH4)衍生物、分子式为R4R5R6Si-O-Si-R7R8R9的二硅氧烷衍生物、分子式为R10R11R12-Si-O-Si--R13R14-O-Si-R15R16R17的三硅氧烷衍生物,其中R和Ri-17可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、烯基或炔基,它们可以是直链、支链、环状、多环状的,并且可以被含氧、氮或氟的取代基官能化。
具体地,本发明提供了用于制造具有改善的膜性能的SiCOH介电材料层的PECVD方法,即当横跨基底材料直径以及透过层的深度测量时,其原子组成和结构组成都是均匀的,并且不表现出任何工艺变化和工艺不稳定性。
“原子组成均匀”是指所述介电材料具有在整个膜中分布的在垂直和水平方向上都基本恒定的原子。“结构组成均匀”是指在膜的垂直和水平方向上都基本恒定的原子排列。
在PECVD法中使用单一前体可以更容易地控制该方法,更好地控制膜厚度和组成均匀度,并简化制造过程。而且,由单一前体沉积介电膜可更好地控制膜中的最终孔隙率,并且使孔大小的分布较窄,可以在相同的介电常数值下产生较好的机械性能。此外,由单一有机硅前体沉积介电膜可更好地控制最终SiCOH电介质的机械性能,原因在于最终膜中的结合与具有固有有机成孔剂的单一有机硅前体中的键合紧密相关。
总地说来,本发明方法(或工艺)包括下述步骤:
将基底放置在PECVD反应器内;
将具有固有有机成孔剂的单一有机硅前体供入所述PECVD反应器,所述具有固有有机成孔剂的单一有机硅前体包含分子式为SiRR1R2R3的硅烷衍生物、分子式为R4R5R6-Si-O-Si-R7R8R9的二硅氧烷衍生物、分子式为R10R11R12-Si-O-Si-R13R14-O-Si-R15R16R17的三硅氧烷衍生物,其中R和R1-17可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、烯基或炔基,它们可以是直链、支链、环状、多环状的,并且可以被含氧、氮或氟的取代基官能化;
由所述单一有机硅前体形成沉积状的膜,所述沉积状的膜包含SiCOH基质成分和有机成孔剂成分;并且
进行将所述有机成孔剂从所述沉积状的膜充分除去的处理步骤,从而提供具有约2.7或更低的介电常数的SiCOH介电材料。
除上述方法之外,本发明还提供了采用上述方法制备的SiCOH介电膜。具体地,本发明介电膜包含含有Si、C、O和H原子的介电材料,所述介电材料具有共价键合的三维网络结构、不超过2.7的介电常数、具有直径为约0.5-约20nm、优选为约0.5-约5nm的分子级孔隙的受控多孔性。根据本发明,分子级孔隙占据约5%-约60%的体积。同样根据本发明,本发明介电材料包含以孔大小分布为特征的分子级孔隙,所述孔大小分布具有的最大值(在该分布中)在0.7和3nm之间,并且优选在0.7和2.5nm之间。
本发明还涉及包括至少一种包含本发明SiCOH介电膜的绝缘材料的电子结构。所述至少一种包含本发明SiCOH电介质的介电膜在电子结构中可以包含层间和/或层内介电层、覆盖层、和/或硬掩膜/抛光阻止层。
附图的简要说明
图1A-1B为说明本发明基本处理步骤的示意图(截面图)。
图2是包含本发明SiCOH介电膜作为层内介电层和层内介电层的本发明电子器件的放大截面图。
图3是另具有沉积在本发明SiCOH介电膜顶部的扩散阻挡介电覆盖层的图2电子结构的放大截面图。
图4是另具有RIE硬掩膜/抛光阻止介电覆盖层和沉积在抛光阻止层顶部的介电覆盖扩散阻挡层的图3电子结构的放大截面图。
图5是另具有沉积在本发明SiCOH介电膜顶部的RIE硬掩膜/抛光阻止介电层的图4电子结构的放大截面图。
发明详述
本发明描述了一种使用包含固有有机成孔剂的单一有机硅前体制造SiCOH介电材料的方法、一种通过本发明方法形成的SiCOH介电膜和包含该介电膜的电子结构。下面将更详细地描述本发明。
根据本发明方法,如例如图1A所示,在基底10的表面上形成沉积状的介电膜12。当与基底10关联使用时,术语“基底”包括半导体材料、绝缘材料、导电材料或它们的任意组合,包括多层结构。因而,例如,基底基底10可以是半导体材料,如Si、SiGe、SiGeC、SiC、GaAs、InAs、InP和其他III/V或II/VI化合物半导体。所述半导体基底10还可以包括层状基底,例如Si/SiGe、Si/SiC、绝缘体上的硅(SOIs)或绝缘体上的硅锗(SGOIs)。当基底10是绝缘材料时,该绝缘材料可以是有机绝缘体、无机绝缘体或其包括多层的组合。当基底10是导电材料时,基底10可以包括,例如多晶硅、金属单质、金属单质的合金、金属硅化物、金属氮化物和它们的组合,包括多层。
在某些实施方案中,基底10包括半导体材料与绝缘材料的组合、半导体材料与导电材料的组合或半导体材料、绝缘材料与导电材料的组合。包括上述组合的基底实例是一种相连结构。
所述沉积状介电膜12在本发明中利用等离子体增强化学气相沉积(PECVD)反应器形成,在该反应器中使用包含固有有机成孔剂的有机硅前体。该沉积状介电膜12的厚度可以改变;所述沉积的介电膜12的厚度的典型范围为约50nm-约1μm,其中约100-约500nm的厚度更为典型。
一般地,所述介电膜12使用在同授权的美国专利6,147,009、6,312,793、6,441,491、6,437,443、6,441,491、6,541,398、6,479,110B2和6,497,963号中公开的加工技术沉积(它们的内容通过参考并入本文),不同的是使用了包含固有有机成孔剂的单一有机硅前体(将在下面详细描述)。
具体地,沉积状介电膜12如下形成:将包含固有有机成孔剂的单一有机硅前体和可选的惰性载体,如He、Ne或Ar,送入反应器,该反应器优选是PECVD反应器;然后采用对形成介电材料有效的条件将由所述前体衍生的膜沉积到合适的基底上。所述基底放置在PECVD反应器内的基底支架的顶部。本发明还进一步描述了使前体与氧化剂如O2、CO2或其组合混合,从而使反应器内的反应物稳定并提高沉积在基底10上的介电膜12的均匀性。
所述具有固有有机成孔剂的单一有机硅前体选自分子式为SiRR1R2R3的硅烷(SiH4)衍生物、分子式为R4R5R6-Si-O-Si-R7R8R9的二硅氧烷衍生物、分子式为R10R11R12-Si-O-Si-R13R14-O-Si-R15R16R17的三硅氧烷衍生物,其中R和R1-17可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、烯基或炔基,它们可以是直链、支链、环状、多环状的,并且可以被含氧、氮或氟的取代基官能化。
优选的具有固有有机成孔剂的单一有机硅前体包括,但不限于:烯丙基氮杂二甲氧基硅杂环戊烷、烯丙基氨基丙基三甲氧基硅烷、烯丙基二甲氧基硅烷、烯丙基二甲基硅烷、烯丙氧基-叔丁基二甲基硅烷、烯丙氧基三甲基硅烷、烯丙基四甲基二硅氧烷、烯丙基三乙氧基硅烷、烯丙基三甲氧基硅烷、二环庚烯基乙基三甲氧基硅烷、二环庚烯基三乙氧基硅烷、二烯丙氧基甲基三甲基甲硅烷氧基丁烷、双-二环庚烯基乙基四甲基二硅氧烷、二环氧环己基乙基四甲基二硅氧烷、二-三甲基甲硅烷氧基环丁烯、二(三甲氧基甲硅烷基)乙烷、二(三甲氧基甲硅烷基)癸烷、二(三甲氧基甲硅烷基)己烷、丁烯基三乙氧基硅烷、丁烯基三甲基硅烷、(叔-丁基二甲基甲硅烷氧基)丁炔、环己烯基乙基三乙氧基硅烷、环己烯基三甲氧基硅烷、环己基三甲氧基硅烷、环戊二烯基丙基三乙氧基硅烷、环戊烯氧基三甲基硅烷、环戊基三甲氧基硅烷、二烯丙基四甲基二硅氧烷、二乙氧基硅杂环戊烯、二乙基二乙氧基硅烷、二甲基二乙氧基硅烷、二甲基二甲氧基硅烷、二甲基亚丁基氨基丙基三乙氧基硅烷、二甲基硅杂氧杂环己烷、二乙烯基二苯基二甲基二硅氧烷、二乙烯基二苯基四甲基二硅氧烷、二乙烯基四苯基二硅氧烷、2-(3,4-环氧环己基)乙基三乙氧基硅烷、2-(3,4-环氧环己基)乙基三甲氧基硅烷、5,6-环氧己基三乙氧基硅烷、炔丙氧基三甲基硅烷、二乙烯基四甲基二硅氧烷、二乙烯基二甲基硅烷、六乙烯基二硅氧烷、六苯基二硅氧烷、二-叔丁基二甲氧基硅烷、六甲基三硅氧烷、六甲基环三硅氧烷、甲基三乙氧基硅烷、甲基三甲氧基硅烷、辛基(octeyl)三甲氧基硅烷、辛烯基二甲基硅烷、辛基三甲氧基硅烷、丙烯基三甲基硅烷、四烯丙基硅烷、四甲基二硅氧烷、四乙烯基二甲基二硅氧烷、四乙烯基硅烷、三甲基甲硅烷基环戊烯、
三乙烯基环三硅氧烷、三乙烯基三硅氧烷、三乙烯基三甲基环三硅氧烷、三乙烯基五甲基三硅氧烷、环氧丙氧基丙基三甲氧基硅烷、环氧丙氧基丙基甲基二乙氧基硅烷、四乙氧基二甲基二硅氧烷、四异丙基二硅氧烷、三乙烯基甲氧基硅烷、三乙烯基乙氧基硅烷、三乙烯基硅烷、乙烯基二甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基五甲基二硅氧烷、乙烯基四甲基二硅氧烷、乙烯基三-叔丁氧基硅烷、乙烯基三乙氧基硅烷、乙烯基三异丙烯氧基硅烷、乙烯基三异丙氧基硅烷、乙烯基三甲氧基硅烷、乙氧基三甲基硅烷、乙氧基二甲基硅烷、二甲氧基二甲基硅烷、二甲氧基甲基硅烷、三乙氧基硅烷和三甲氧基甲基硅烷。
在优选实施方案中,所述具有固有有机成孔剂的有机硅前体是乙烯基甲基二乙氧基硅烷、乙烯基三乙氧基硅烷、乙烯基二甲基乙氧基硅烷、环己烯基乙基三乙氧基硅烷、1,1-二乙氧基-1-硅杂环戊-3-烯、二乙烯基四甲基二硅氧烷、2-(3,4-环氧环己基)乙基三乙氧基硅烷、2-(3,4-环氧环己基)乙基三甲氧基硅烷、环氧己基三乙氧基硅烷、六乙烯基二硅氧烷、三乙烯基甲氧基硅烷、三乙烯基乙氧基硅烷、乙烯基甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基五甲基二硅氧烷、乙烯基四甲基二硅氧烷、乙烯基三乙氧基硅烷或乙烯基三甲氧基硅烷。
沉积状介电膜12可以使用其中包括提供平行板反应器步骤的方法沉积,所述反应器具有约85cm2至750cm2的基底卡盘导电面积,基底与顶电极之间的间隙为约1cm至约12cm的。高频RF电源以约0.45MHz-约200MHz的频率施加到其中的一个电极。任选地,可以将另一低频电源施加到其中一个电极。
用于沉积步骤的条件可以根据期望的介电膜的最终介电常数而变化。一般地,用于提供包含Si、C、O、H元素且具有约2.7或更低介电常数的稳定介电材料的条件包括:将基底温度设定在约200℃和约425℃之间;将高频RF电源的密度设定为约0.1W/cm2和约2.5W/cm2之间;将所述单一有机硅前体的流速设定在约100mg/min和约5000mg/min之间,任选地将惰性载气如氦气(和/或氩气)流速设定在在50sccm-约5000sccm之间;并且将反应器的压力设定在约1000m Torr和约10000m Torr之间。任选地,可以将约30W-400W的低频电源施加于等离子体。有必要指出的是,RF电源可以用同样能够解离所述前体的其他能量源取代。对于有机硅气体前体,在同样的1000mTorr-约10000mTorr反应器压力下的流速在约20sccm和约3000sccm之间。
当本发明中使用氧化剂时,氧化剂以约10sccm-约1000sccm的流速流入PECVD反应器。
虽然前文特别提及了平行板PECVD反应器,但是沉积状介电膜12可以由高浓度等离子体反应器沉积。
本发明此处形成的介电膜12包含两种成分。第一成分是SiCOH基质,第二成分是有机成孔剂。有机成孔剂成分与SiCOH基质相互连接。SiCOH基质由包含Si、C、O和H原子的氢化氧化的硅碳材料(SiCOH)以共价键合的三维网络构成,并且具有不超过约2.8的介电常数。三键合的网络可以包括其中包含Si-O、Si-C、Si-H、C-H和C-C键的共价键合的三维环结构。更优选地,所述沉积状的膜12的介电常数为约1.5-约2.6,最优选为约1.8-约2.5。
沉积状介电膜12的SiCOH基质包含约5-约40原子%的Si,约5-约45原子%的C,0-约50原子%的O,和约10-约55原子%的H。
在基底10上形成沉积状介电膜12之后,对例如图1A所示的结构进行能够基本上将有机成孔剂成分与沉积状的膜12除去的处理步骤,从而形成介电常数不超过2.7、优选约1.5-约2.6、更优选约1.8-约2.5的SiCOH介电材料14。在该处理步骤进行后形成的结构如例如图1B中所示。有必要指出的是,在该处理步骤后SiCOH介电材料14的介电常数略低于沉积状介电膜12原来的介电常数
这里描述的处理步骤可以在单层沉积之后或数个沉积步骤(多层沉积)之后实施。
本发明此处进行的处理步骤需要足够的能量以使存在于沉积状介电膜12的有机成孔剂成分与SiCOH基质脱离并将所述成孔剂从最终膜14中除去。用于解离的能量源可以是热、电子束、等离子体或光学辐射,如UV或激光。在本发明中也可使用上述能量源的组合。有机成孔剂的除去一般与膜的附加交联有关。
热源包括任何能够将沉积的介电材料加热到最高达450℃的能量源例如加热元件或灯。更优选地,热源能够将沉积的介电材料加热到约200℃-约450℃,其中约350℃-约425℃更为优选。这种热处理过程可以进行不同的时间段,其中典型的周期为约0.5分钟-约300分钟。热处理步骤一般在惰性气体如He、Ar、Ne、Xe、N2或它们的混合物存在下进行。所述热处理步骤可称为退火步骤,其中采用快速热退火、炉内退火、激光退火或脉冲退火(spike anneal)条件。
在某些实施方案中,所述热处理步骤可以在包含氢源气体(如H2或烃)的气体混合物的存在下进行。在另外的实施方案中,热处理步骤可以在包含分压非常低的O2和H2O的气体混合物的存在下进行,其中所述分压低于1000ppm。在O2和H2O环境中固化是一种提高低k膜机械性能的选择,但是这将提高k值。
UV光处理步骤如下进行:使用能够产生波长约500-约150nm光的光源辐射基底,同时晶片温度保持在最高450℃,其中200℃-450℃为优选,350℃-425℃更为优选。用波长>370nm的光辐射,能量不足以解离或活化重要的键,所以150-370nm的波长范围是优选范围。利用文献数据和在沉积状膜上测量的吸收光谱,本发明人发现由于SiCOH膜降解,<170nm的辐射是不利的。更进一步说,与150-310nm范围相比,310-370nm的能量范围适用性较低,原因在于每个310-370nm范围内的光子的能量较低。在150-310nm范围内,可以任选地采用沉积状的膜吸光光谱和膜性能(例如憎水性)最小程度降解的最佳组合,以选择改变SiCOH性能的最有效的UV光谱范围。
UV光处理步骤可以在惰性气体、氢源气体或分压在上述范围的O2和H2O气体的混合物中进行。
电子束处理步骤使用能够在晶片上产生均匀电子通量的源进行,其中电子通量的能量为0.5 to 25keV,电流强度为0.1-100微安培/em2(优选1-5微安培/em2),同时晶片温度保持在最高450℃,其中200℃-450℃为优选,350℃-425℃为更优选。用于电子束处理步骤的优选电子剂量为50-500微库仑/cm2,其中100-300微库仑/cm2范围为优选。
电子束处理步骤可以在惰性气体、氢源气体或分压在上述范围的O2和H2O气体的混合物中进行。
等离子体处理步骤利用能够产生氢(H)和任选的CH3或其他烃自由基的源进行。下游等离子源比直接等离子暴露更优选。在等离子体处理过程中,晶片温度保持在最高450℃,其中200℃-450℃的温度为优选,350℃-425℃的温度为更优选。
等离子体处理步骤通过将气体引入能够产生等离子的反应器进行,然后将其转化为等离子体。可用于等离子体处理的气体包括惰性气体,如Ar、N、He、Xe或Kr,其中He为优选;氢或有关的原子氢源,甲烷,甲基硅烷,有关的CH3基团的源和它们的混合物。等离子体处理气体的流速可根据使用的反应器体系变化。室压力可以在0.05-20托之间,但是优选的压力操作范围是1-10托。等离子体处理步骤进行一段时间,一般为约1/2-约10分钟,但在本发明中可以使用较长的时间。
RF或微波能量源一般用于产生上述等离子体。RF能量源可以高频范围(约100W或更高)或低频范围(低于250W)操作,或采用高低频的组合。高频能量源密度可在0.1-2.0W/cm2范围内,但是优选的操作范围是0.2-1.0W/cm2。低频能源密度可在0.1-1.0W/cm2范围内,但是优选的操作范围是0.2-0.5W/cm2。所选择的能量源水平必须足够低,以避免对暴露的电介质表面产生显著的溅射蚀刻(除去部分<5纳米)。
除上述之外,也可以使用深紫外(DUV)激光源使成孔剂从沉积状介电膜12中解离。用于处理沉积的介电膜12的激光源一般是受激准分子激光器,其根据激光气体混合物运行在多种DUV波长中的一种之下。例如,可以使用产生308nm辐射的XeF激光器。产生248nm辐射的KrF激光器或产生193nm辐射的ArF激光器也可用于本发明。受激准分子激光器可以在每秒数百脉冲运行,脉冲能量最高达可产生数百瓦(W)输出的焦耳(J)。
用于处理沉积状介电膜12的激光器优选在脉冲模式下操作。激光束可扩大以使全部试样曝光。或者,对于较大试样,激光曝光面积可以在整个试样上进行光栅扫描以提供均匀的剂量。在使用受激准分子激光时,能流被限制在每脉冲低于5mJ/cm2以保证不出现烧蚀。对于受激准分子激光,当能流大于20mJ/cm2时,约10ns的短脉冲持续时间可导致材料烧蚀。一般使用每脉冲0.1-5mJ/cm2的激光能流水平。总剂量可以在1-10000焦耳/cm2、优选500-2000J/cm2范围内变化。这可通过多次激光脉冲曝光达到。例如,1000J/cm2的剂量可使用106次脉冲的1mJ/cm2的能流获得。受激准分子激光器一般以每秒种几百脉冲操作。根据需要的总剂量,DUV激光处理的总曝光时间为数秒到数小时。使用以每脉冲3mJ/cm2能流操作的200Hz激光器在少于15分钟内可达到典型的500J/cm2剂量。
此外,所述SiCOH介电膜的特征还在于具有约5-约40原子%的Si、约5-约45原子%的C、0-约50原子%的O和约10-约55原子%的H。最终膜中的原子组成范围可能略低于沉积状膜12。处理过的SiCOH介电膜14还具有其他特征,例如三键合网络、水中的裂缝速率(低于10-12米/秒)、高于350℃的热稳定性等,这与沉积状介电膜12相似。
如上所述,本发明处理过的SiCOH介电膜在整个膜上在垂直和水平方向上都具有均匀的原子组成和结构组成。而且,本发明的膜,即处理过的SiCOH介电膜14比由两种前体形成的传统SiCOH膜更稳定。
所述SiCOH介电膜14具有受控的具有分子级孔隙(即纳米大小的孔隙)的多孔性,其中孔隙直径为约0.5-约20纳米,优选直径约0.5-约5nm。根据本发明,分子级的孔隙占据约5%-60%的体积。同样根据本发明,本发明介电材料包含以孔大小分布为特征的分子级孔隙,所述大小分布具有的最大值(在该分布中)在0.7和3nm之间,并且优选在0.7和2.5nm之间。
另外,本发明SiCOH介电膜14在相同的介电常数值下具有提高的机械性能。例如,厚度约1-2μm的本发明膜测得以下性能:低膜应力(大约15-26MPa)、低裂缝速率(大约低于IE-l0m/秒)、约3-约3.8GPa的模量、约0.2-约0.24GPa的硬度。
表1给出了具有表中所示厚度的其他SiCOH介电膜的物理性能。
表1:单一前体SiCOH膜评价
  试样厚度(μm)   应力(MPa)   裂缝速率(m/sec)   模量(GPa)   硬度(GPa)
  1.1-1.3   26+/-5   5.6E-11   3.62+/-0.7   0.23+/-0.05   k=2.52-2.6
1.25 26+/-5 <1E-10 3.61+/-0.12 0.23+/-0.01   k=2.52-2.55;顶部100nm软
1.85 26+/-5 <1E-10 3.65+/-0.01 0.24   k=2.52-2.55;顶部100nm软
  1.88   26+/-5   <10E-10   3.78+/-0.11   0.23+/-0.01   k=2.52-2.55
  0.7-1.2   17+/-5   9.7E-11   3.34+/-0.08   0.20+/-0.006   k=2.6
1.4-2.6 14+/-4   1.2E-10,无增长   2.94+/-0.004 0.18+/-0.004 k=2.6
本发明SiCOH介电膜14可在电子结构中用作层间/层内电介质、覆盖层和/或硬掩膜/抛光阻止层。
本发明电子结构包括预处理的半导体基底,其具有埋置于第一绝缘材料层中的第一金属区、埋置在第二绝缘材料层中第一导体层,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区处于电导通,且第二导体区与第一导体区处于电导通,并埋置于第三绝缘材料层中,其中第三绝缘材料层与第二绝缘材料层紧密接触。
在上述结构中,各绝缘层都可以包含本发明的SiCOH介电膜14。
所述电子结构可进一步包括位于第一绝缘材料层和第二绝缘材料层之中或二者之间的介电覆盖层,并且可进一步包括位于第二绝缘材料层和第三绝缘材料层之中或二者之间的介电覆盖层。所述电子结构可进一步包括位于第二绝缘材料层和第三绝缘材料层之间的第一介电覆盖层,和位于第三绝缘材料层顶部的第二介电覆盖层。
介电覆盖材料可选自氧化硅、氮化硅、硅的氮氧化物、氮化硅碳(SiCN)、高熔点金属氮化硅(其中高熔点金属为Ta、Zr、Hf或W)、碳化硅、氧化硅碳、掺杂有氧化物的碳和它们的氢化或氮化化合物。在某些实施方案中,所述介电覆盖层本身可以包含本发明处理过的SiCOH介电材料。第一和第二介电覆盖层可选自相同组的介电材料。第一绝缘材料层可以是氧化硅或氮化硅或这些材料的掺杂品种,例如PSG或BPSG。
所述电子结构可进一步包括沉积在第二和第三绝缘材料层中至少一层上的介电材料扩散阻挡层。该电子结构还可包括位于第二绝缘材料层顶部的介电层,用作RIE硬掩膜/抛光阻止层,和位于该RIE硬掩膜/抛光阻止层顶部的介电扩散阻挡层。该电子结构可进一步包括位于第二绝缘材料层顶部的第一介电RIE硬掩膜/抛光阻止层、位于第一介电抛光阻止层顶部的第一介电RIE扩散阻挡层、位于第三绝缘材料层顶部的第二介电RIE硬掩膜/抛光阻止层、以及位于第二介电抛光阻止层顶部的第二介电扩散阻挡层。所述RIE硬掩膜/抛光阻止层也可以包含本发明SiCOH介电材料14。
图2-5显示了可以包含本发明处理过的SiCOH介电膜的电子器件。应该指出的是,图2-5所示的器件仅仅用于举例说明本发明实例,而通过本发明的新颖方法还可以形成无限数量的其他器件。
在图2中,显示了一种在半导体基底32上制作的电子器件30。在半导体基底32的顶部,首先形成绝缘材料层34,其中埋置有第一金属区36。在对第一金属区36进行CMP处理后,在第一绝缘材料层34和第一金属区36的顶部形成了处理过的本发明SiCOH介电膜38。第一绝缘材料层34可适当地由氧化硅、氮化硅、这些材料的掺杂品种或其他任何合适的绝缘材料形成。然后,处理过的SiCOH介电膜38以照相平板印刷方法形成图案,之后进行蚀刻并在其上沉积导体层40。在对第一导体层40进行CMP处理之后,通过等离子体增强化学气相沉积方法沉积了第二层本发明处理过的SiCOH膜44,其叠加在第一处理过的SiCOH介电膜38和第一导体层40上。导体层40可以是金属材料或非金属导电材料的沉积物。例如,铝或铜金属材料,或氮化物或多晶硅非金属材料。第一导体层40与第一金属区36电导通。
在对处理过的SiCOH介电膜44进行照相平板印刷之后,形成第二导体区50,然后进行蚀刻,并然后进行第二导体材料的沉积过程。第二导体区50也可以是金属材料或非金属材料的沉积物,与用于沉积第一导体层40的相似。第二导体区50与第一导体区40电导通,并埋置于第二层处理过的SiCOH介电膜44中。第二层处理过的SiCOH介电膜44与第一层处理过的SiCOH介电材料38紧密接触。在该实施例中,第一层处理过的SiCOH介电材料38的是层间介电材料,而第二层处理过的SiCOH介电膜44既是层内电介质又是层间电介质。
图3显示了与图2所示电子器件30相似的本发明电子器件60,但是其另外还具有沉积于第一绝缘材料层38和第二绝缘材料层44之间的介电覆盖层62。介电覆盖层62可适当地由例如氧化硅、氮化硅、硅的氮氧化物、高熔点金属氮化硅(其中高熔点金属为Ta、Zr、Hf或W)、碳化硅、氮化硅碳(SiCN)、氧化硅碳(SiCO)和它们的氢化化合物的材料形成。该附加的介电覆盖层62用作扩散阻挡层,以防止第一导体层40扩散到第二绝缘材料层44中,或扩散到下层、特别是层34和32中。
图4显示了本发明另一种可选择实施方案的电子器件70。在电子器件70中,使用了两个附加的介电覆盖层72和74作为RIE掩膜和CMP(化学机械抛光)的抛光阻止层。第一介电覆盖层72沉积在第一处理过的SiCOH介电材料38的顶部,并用作RIE掩膜和CMP阻止层,所以在CMP后第一导体层40和层72近似共面。第二介电层74的作用与层72类似,但是层74用于使第二导体层50平面化。抛光阻止层74可以是例如氧化硅、氮化硅、硅的氮氧化物、高熔点金属氮化硅(其中高熔点金属为Ta、Zr、Hf或W)、碳化硅、氧化硅碳(SiCO)和它们的氢化化合物的适当介电材料的沉积物。用于层72或74的优选抛光阻止层成分是SiCH或SiCOH。当层72由SiCOH组成时,优选使用本发明处理过的SiCOH膜。第二介电层74可以是出于相同的目的添加在第二处理过的SiCOH介电膜44的顶部。
图5显示了本发明的另一种可选择实施方案的电子器件80。在该可选择实施方案中,沉积附加的介电材料层82并因此将第二绝缘材料层44分为两个分离的层84和86。因此,图2所示的由本发明处理过的SiCOH介电膜形成的层内和层间介电层44在通路92和内连94之间的界面被分为层间介电层84和层内介电层86。在上介电层74的顶部进一步沉积了附加扩散阻挡层96。由这种可选择实施方案电子结构80提供的额外好处在于介电层82用作提供优越的内连深度控制的RIE蚀刻阻止层。因而,可选择层82的组成以提供相对于层86的蚀刻选择性。
其它可选择实施方案可包括其中具有绝缘材料层在布线结构中作为层内或层间电介质的电子结构,所述电子结构包括预处理的半导体基底,其具有埋置于第一绝缘材料层的第一金属区,埋置于第二绝缘材料层的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,并且第一导体区与第一金属区电导通,第二导体区与第一导体区电导通并埋置于第三绝缘材料层,其中第三绝缘材料层与第二绝缘材料层紧密接触,第一介电覆盖层位于第二绝缘材料层和第三绝缘材料层之间,且第二介电覆盖层位于第三绝缘材料层顶部,其中第一和第二介电覆盖层由包含本发明SiCOH介电膜的材料形成。
本发明的其它可选择实施方案可包括其中具有绝缘材料层在布线结构中作为层内或层间电介质的电子结构,所述电子结构包括预处理的半导体基底,其具有埋置于第一绝缘材料层的第一金属区,埋置于第二绝缘材料层的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电导通,第二导体区与第一导体区电导通并埋置于第三绝缘材料层,第三绝缘材料层与第二绝缘材料层紧密接触,并且形成于第二和第三绝缘材料层中至少一层上的扩散阻挡层包含本发明SiCOH膜
其它可选择实施方案可包括其中具有绝缘材料层在布线结构中作为层内或层间电介质的电子结构,所述电子结构包括预处理的半导体基底,其具有埋置于第一绝缘材料层的第一金属区,埋置于第二绝缘材料层的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电导通,第二导体区与第一导体区电导通并埋置于第三绝缘材料层,第三绝缘材料层与第二绝缘材料层紧密接触,反应性离子蚀刻(RIE)硬掩膜/抛光阻止层位于第二绝缘材料层顶部,扩散阻挡层位于RIE硬掩膜/抛光阻止层顶部,其中所述RIE硬掩膜/抛光阻止层和扩散阻挡层包含本发明SiCOH介电膜。
其它可选择实施方案可包括其中具有绝缘材料层在布线结构中作为层内或层间电介质的电子结构,所述电子结构包括预处理的半导体基底,其具有埋置于第一绝缘材料层的第一金属区,埋置于第二绝缘材料层的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电导通,第二导体区与第一导体区电导通并埋置于第三绝缘材料层,第三绝缘材料层与第二绝缘材料层紧密接触,第一RIE硬掩膜、抛光阻止层位于第二绝缘材料层顶部,第一扩散阻挡层位于RIE硬掩膜/抛光阻止层顶部,第二-RIE硬掩膜/抛光阻止层位于第三绝缘材料层顶部,第二扩散阻挡层位于第二RIE硬掩膜/抛光阻止层顶部,其中所述RIE硬掩膜/抛光阻止层和扩散阻挡层包含本发明处理过的SiCOH介电膜。
其它可选择实施方案可包括其中具有绝缘材料层在布线结构中作为层内或层间电介质的电子结构,其与前面刚刚描述的结构相似,但是另外包括位于层间介电层和层内介电层之间的、包含本发明处理过的SiCOH介电材料的介电覆盖层。
虽然本发明已经就优选实施方案进行了具体说明和描述,但是本领域技术人员应该理解的是,前述内容以及其他形式和细节可以变化,但不偏离本发明的实质和保护范围。因此,本发明不仅仅限于所描述和举例说明的形式和细节,而是落入所附权利要求的保护范围之内。

Claims (29)

1.一种形成介电膜的方法,其包括:
将基底放置在等离子体增强化学气相沉积(PECVD)反应器内;
将具有固有有机成孔剂的单一有机硅前体供入所述PECVD反应器,所述具有固有有机成孔剂的单一有机硅前体包含分子式为SiRR1R2R3的硅烷衍生物、分子式为R4R5R6-Si-O-Si-R7R8R9的二硅氧烷衍生物、分子式为R10R11R12-Si-O-Si-R13R14-O-Si-R15R16R17的三硅氧烷衍生物,其中R和R1-17可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、烯基或炔基,它们可以是直链、支链、环状、多环状的,并且可以被含氧、氮或氟的取代基官能化;
在所述基底上由所述单一有机硅前体形成沉积状的膜,所述沉积状的膜包含SiCOH基质成分和有机成孔剂成分;并且
进行将所述有机成孔剂从所述沉积状的膜充分除去的处理步骤,从而提供具有约2.7或更低的介电常数的SiCOH介电材料。
2.如权利要求1所述的方法,其进一步包括使惰性气体与所述具有固有成孔剂的单一有机硅前体混合。
3.如权利要求1所述的方法,其中所述具有固有有机成孔剂的单一有机硅前体选自由以下化合物组成的组:
烯丙基氮杂二甲氧基硅杂环戊烷、烯丙基氨基丙基三甲氧基硅烷、烯丙基二甲氧基硅烷、烯丙基二甲基硅烷、烯丙氧基-叔丁基二甲基硅烷、烯丙氧基三甲基硅烷、烯丙基四甲基二硅氧烷、烯丙基三乙氧基硅烷、烯丙基三甲氧基硅烷、二环庚烯基乙基三甲氧基硅烷、二环庚烯基三乙氧基硅烷、二烯丙氧基甲基三甲基甲硅烷氧基丁烷、双-二环庚烯基乙基四甲基二硅氧烷、二环氧环己基乙基四甲基二硅氧烷、二-三甲基甲硅烷氧基环丁烯、二(三甲氧基甲硅烷基)乙烷、二(三甲氧基甲硅烷基)癸烷、二(三甲氧基甲硅烷基)己烷、丁烯基三乙氧基硅烷、丁烯基三甲基硅烷、(叔-丁基二甲基甲硅烷氧基)丁炔、环己烯基乙基三乙氧基硅烷、环己烯基三甲氧基硅烷、环己基三甲氧基硅烷、环戊二烯基丙基三乙氧基硅烷、环戊烯氧基三甲基硅烷、环戊基三甲氧基硅烷、二烯丙基四甲基二硅氧烷、二乙氧基硅杂环戊烯、二乙基二乙氧基硅烷、二甲基二乙氧基硅烷、二甲基二甲氧基硅烷、二甲基亚丁基氨基丙基三乙氧基硅烷、二甲基硅杂氧杂环己烷、二乙烯基二苯基二甲基二硅氧烷、二乙烯基二苯基四甲基二硅氧烷、二乙烯基四苯基二硅氧烷、2-(3,4-环氧环己基)乙基三乙氧基硅烷、2-(3,4-环氧环己基)乙基三甲氧基硅烷、5,6-环氧己基三乙氧基硅烷、炔丙氧基三甲基硅烷、二乙烯基四甲基二硅氧烷、二乙烯基二甲基硅烷、六乙烯基二硅氧烷、六苯基二硅氧烷、二-叔丁基二甲氧基硅烷、六甲基三硅氧烷、六甲基环三硅氧烷、甲基三乙氧基硅烷、甲基三甲氧基硅烷、辛基三甲氧基硅烷、辛烯基二甲基硅烷、辛基三甲氧基硅烷、丙烯基三甲基硅烷、四烯丙基硅烷、四甲基二硅氧烷、四乙烯基二甲基二硅氧烷、四乙烯基硅烷、三甲基甲硅烷基环戊烯、三乙烯基环三硅氧烷、三乙烯基三硅氧烷、三乙烯基三甲基环三硅氧烷、三乙烯基五甲基三硅氧烷、环氧丙氧基丙基三甲氧基硅烷、环氧丙氧基丙基甲基二乙氧基硅烷、四乙氧基二甲基二硅氧烷、四异丙基二硅氧烷、三乙烯基甲氧基硅烷、三乙烯基乙氧基硅烷、三乙烯基硅烷、乙烯基二甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基五甲基二硅氧烷、乙烯基四甲基二硅氧烷、乙烯基三-叔丁氧基硅烷、乙烯基三乙氧基硅烷、乙烯基三异丙烯氧基硅烷、乙烯基三异丙氧基硅烷、乙烯基三甲氧基硅烷、乙氧基三甲基硅烷、乙氧基二甲基硅烷、二甲氧基二甲基硅烷、二甲氧基甲基硅烷、三乙氧基硅烷和三甲氧基甲基硅烷。
4.如权利要求3所述的方法,其中所述具有固有成孔剂的单一有机硅前体选自由以下化合物组成的组:
乙烯基甲基二乙氧基硅烷、乙烯基三乙氧基硅烷、乙烯基二甲基乙氧基硅烷、环己烯基乙基三乙氧基硅烷、1,1-二乙氧基-1-硅杂环戊-3-烯、二乙烯基四甲基二硅氧烷、2-(3,4-环氧环己基)乙基三乙氧基硅烷、2-(3,4-环氧环己基)乙基三甲氧基硅烷、环氧己基三乙氧基硅烷、六乙烯基二硅氧烷、三乙烯基甲氧基硅烷、三乙烯基乙氧基硅烷、乙烯基甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基五甲基二硅氧烷、乙烯基四甲基二硅氧烷、乙烯基三乙氧基硅烷和乙烯基三甲氧基硅烷。
5.如权利要求1所述的方法,其进一步包括使氧化剂与所述具有固有成孔剂的单一有机硅前体混合。
6.如权利要求1所述的方法,其中所述基底是半导体材料、绝缘材料、导电材料或它们包括多层的组合。
7.如权利要求1所述的方法,其中所述SiCOH基质成分与所述有机成孔剂成分共价键合。
8.如权利要求1所述的方法,其中所述进行所述处理的步骤包括选择能够使有机成孔剂成分与SiCOH基质解离的能量源。
9.如权利要求8所述的方法,其中所述能量源包括热、电子束、等离子体、UV、DUV或激光。
10.如权利要求9所述的方法,其中所述能量源是热源并且所述热源能够提供最高达450℃的温度。
11.如权利要求10所述的方法,其中所述热源是在惰性气体存在下进行的退火过程。
12.如权利要求11所述的方法,其中所述退火过程还包含氢源或烃源。
13.如权利要求10所述的方法,其中所述热源是包含混合物的退火过程,其中所述混合物中O2和H2O的分压低于1000ppm。
14.如权利要求9所述的方法,其中所述能量源包含能够产生波长为约500-约150nm的光的UV或DUV光。
15.如权利要求13所述的方法,其中所述UV或DUV光处理在惰性气体的存在下进行。
16.如权利要求15所述的方法,其中所述UV或DUV光处理进一步包含氢源或烃源。
17.如权利要求15所述的方法,其中所述UV或DUV光处理进一步包含其中O2和H2O的分压低于1000ppm的混合物。
18.如权利要求1所述的方法,其中所述步骤合并到制造电子器件中互连结构的方法中。
19.一种包含含有Si、C、O和H原子的介电材料的介电膜,所述介电材料具有共价键合的三维网络结构、不超过2.7的介电常数、具有分子级孔隙的受控多孔性,其中孔隙的直径为约0.5-约20纳米,所述分子级孔隙占据约5%-约60%的体积。
20.如权利要求19所述的膜,其中分子级孔隙以孔大小分布为特征,并且所述大小分布具有0.7和3nm之间的最大值(在该分布中)。
21.如权利要求19所述的膜,其中所述膜包含约5-约40原子%的Si;约5-约45原子%的C;0-约50原子%的O;和约10-约55原子%的H。
22.如权利要求19所述的膜,其中所述膜具有约低于10-10米/秒的水中裂缝速率,并且在高于350℃时是热稳定的。
23.如权利要求19所述的膜,其中所述膜具有约0.07-2.6μm的厚度。
24.如权利要求19所述的膜,其具有约0.11-约2.6μm的厚度、约2.0-约2.7的介电常数、约14-26MPa的应力、约5.6E-11m/秒的裂缝速率、约2.9-3.8GPa的模量和约0.23GPa的硬度。
25.一种电子结构,其至少包含含有Si、C、O和H原子的介电材料,其中所述介电材料具有共价键合的三维网络结构、不超过2.7的介电常数、具有分子级孔隙的受控多孔性,其中孔隙的直径为约0.5-约20纳米,所述分子级的孔隙占据约5%-约60%的体积。
26.如权利要求25所述的电子结构,其中所述分子级孔隙以孔大小分布为特征,并且所述大小分布具有0.7和3nm之间的最大值(在该分布中)。
27.如权利要求25所述的电子结构,其中所述介电材料是其中具有成图案的金属轮廓的层间或层内电介质。
28.如权利要求25所述的电子结构,其中所述介电材料位于半导体基底的顶部。
29.如权利要求25所述的电子结构,其中所述介电材料是层间电介质、覆盖层、硬掩膜、CMP/蚀刻阻止层中的一种。
CNB2005101127439A 2004-10-13 2005-10-12 形成介电膜的方法和介电膜 Active CN100552084C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/964,254 US7491658B2 (en) 2004-10-13 2004-10-13 Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US10/964,254 2004-10-13

Publications (2)

Publication Number Publication Date
CN1782125A true CN1782125A (zh) 2006-06-07
CN100552084C CN100552084C (zh) 2009-10-21

Family

ID=36145921

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101127439A Active CN100552084C (zh) 2004-10-13 2005-10-12 形成介电膜的方法和介电膜

Country Status (2)

Country Link
US (2) US7491658B2 (zh)
CN (1) CN100552084C (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101649177A (zh) * 2008-08-15 2010-02-17 信越化学工业株式会社 高温粘结组合物,基材的粘结方法,和3-d半导体器件
US7897521B2 (en) 2007-12-06 2011-03-01 Sungkyunkwan University Foundation For Corporate Collaboration Low dielectric constant plasma polymerized thin film and manufacturing method thereof
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
CN108933088A (zh) * 2017-05-25 2018-12-04 上海稷以科技有限公司 一种封装的方法及封装结构
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
TWI801917B (zh) * 2020-06-29 2023-05-11 美商應用材料股份有限公司 沉積低k介電膜的系統及方法
US11967498B2 (en) 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US20060081965A1 (en) * 2004-10-15 2006-04-20 Ju-Ai Ruan Plasma treatment of an etch stop layer
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
US7674521B2 (en) * 2005-07-27 2010-03-09 International Business Machines Corporation Materials containing voids with void size controlled on the nanometer scale
JP2008103586A (ja) * 2006-10-20 2008-05-01 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US20080188074A1 (en) * 2007-02-06 2008-08-07 I-I Chen Peeling-free porous capping material
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP5317089B2 (ja) * 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
US7687877B2 (en) * 2008-05-06 2010-03-30 International Business Machines Corporation Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US8518530B2 (en) * 2009-05-20 2013-08-27 Industry-University Cooperation Foundation Sogang University Production method for an ultra-low-dielectric-constant film, and an ultra-low-dielectric-constant film produced thereby
US8299365B2 (en) * 2010-01-07 2012-10-30 International Business Machines Corporation Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures
TWI550121B (zh) 2010-02-17 2016-09-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 SiCOH低K膜之氣相沈積法
US20110206857A1 (en) * 2010-02-25 2011-08-25 Applied Materials, Inc. Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US8658050B2 (en) 2011-07-27 2014-02-25 International Business Machines Corporation Method to transfer lithographic patterns into inorganic substrates
US9328422B2 (en) * 2013-03-06 2016-05-03 Corning Incorporated Crystallization and bleaching of diamond-like carbon and silicon oxynitride thin films
CN103258734B (zh) * 2013-05-16 2016-03-30 复旦大学 一种SiCOH薄膜及其制备方法
KR102053350B1 (ko) * 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9209017B2 (en) 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
CN105720005B (zh) * 2014-12-04 2019-04-26 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
FR3047490B1 (fr) * 2016-02-09 2021-08-06 Commissariat Energie Atomique Procede simplifie de realisation d'une couche mince de sioch poreux
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
WO2017218561A1 (en) 2016-06-13 2017-12-21 Gvd Coproraton Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202001492TA (en) * 2017-08-30 2020-03-30 Versum Materials Us Llc Alkoxysilacyclic or acyloxysilacyclic compounds and methods for depositing films using same
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
KR20230093286A (ko) * 2020-10-20 2023-06-27 버슘머트리얼즈 유에스, 엘엘씨 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6514881B1 (en) * 2000-05-23 2003-02-04 Texas Instruments Incorporated Hybrid porous low-K dielectrics for integrated circuits
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6768200B2 (en) 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
WO2002043119A2 (en) 2000-10-25 2002-05-30 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
CN1643669A (zh) * 2002-01-15 2005-07-20 霍尼韦尔国际公司 用于低介电常数材料的有机组合物
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050196974A1 (en) * 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
US7897521B2 (en) 2007-12-06 2011-03-01 Sungkyunkwan University Foundation For Corporate Collaboration Low dielectric constant plasma polymerized thin film and manufacturing method thereof
CN101649177A (zh) * 2008-08-15 2010-02-17 信越化学工业株式会社 高温粘结组合物,基材的粘结方法,和3-d半导体器件
CN101649177B (zh) * 2008-08-15 2013-08-21 信越化学工业株式会社 高温粘结组合物,基材的粘结方法,和3-d半导体器件
CN108933088A (zh) * 2017-05-25 2018-12-04 上海稷以科技有限公司 一种封装的方法及封装结构
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
TWI801917B (zh) * 2020-06-29 2023-05-11 美商應用材料股份有限公司 沉積低k介電膜的系統及方法
US11967498B2 (en) 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Also Published As

Publication number Publication date
US8097932B2 (en) 2012-01-17
CN100552084C (zh) 2009-10-21
US20090146265A1 (en) 2009-06-11
US20060079099A1 (en) 2006-04-13
US7491658B2 (en) 2009-02-17

Similar Documents

Publication Publication Date Title
CN100552084C (zh) 形成介电膜的方法和介电膜
EP1873818A2 (en) Process for curing dielectric films
CN100524648C (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
CN100533681C (zh) 先进的低介电常数有机硅等离子体化学汽相沉积膜
TW546252B (en) Hydrogenated oxidized silicon carbon material
CN1311097C (zh) 制备低介电薄膜的方法、原料混合物及该薄膜
KR100730844B1 (ko) 유전 상수가 극히 낮은 플라즈마 강화 cvd 막
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
US8268411B2 (en) Materials containing voids with void size controlled on the nanometer scale
TW200428494A (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2011119770A (ja) 半導体デバイスの層内または層間誘電体としての超低誘電率材料
TW200428493A (en) Ultra low k (ulk) SiCOH film and method
JP2013102174A (ja) 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法
CN1367205A (zh) 用于低介电常数层间介质薄膜的有机硅前体
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
TWI490363B (zh) 絕緣膜材料、使用該絕緣膜材料的成膜方法及絕緣膜
KR20040103393A (ko) 저유전율 절연막 및 그 형성 방법
CN1460130A (zh) 无机/有机介电薄膜的沉积系统及方法
KR20040042853A (ko) 다공성 저 유전율 필름을 형성하기 위한 비-열적 방법
CN101101876A (zh) 在还原气氛下固化介电膜
JP2007318070A (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171110

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171110

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right