CN1741274A - 集成电路元件及其形成方法 - Google Patents

集成电路元件及其形成方法 Download PDF

Info

Publication number
CN1741274A
CN1741274A CNA2005100646823A CN200510064682A CN1741274A CN 1741274 A CN1741274 A CN 1741274A CN A2005100646823 A CNA2005100646823 A CN A2005100646823A CN 200510064682 A CN200510064682 A CN 200510064682A CN 1741274 A CN1741274 A CN 1741274A
Authority
CN
China
Prior art keywords
layer
integrated circuit
contact hole
circuit component
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100646823A
Other languages
English (en)
Other versions
CN100403540C (zh
Inventor
吴振诚
蔡宏骏
林大文
张文
郑双铭
梁孟松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1741274A publication Critical patent/CN1741274A/zh
Application granted granted Critical
Publication of CN100403540C publication Critical patent/CN100403540C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明是有关于一种集成电路元件及其形成方法,在基材上形成闸介电层和闸电极。接着沿着闸介电层和闸电极两侧形成一对间隙壁,间隙壁的较佳的基本组成材质为SiCO或SiCN。接着形成源极和汲极。在源极/汲极及间隙壁区域形成接触窗蚀刻阻绝层(CES),CES层较佳的基本组成材质为SiCO或SiCN。接着形成层间介电层(ILD)在CES层上。此外,此种具有低k值的SiCO和SiCN材料可在较高的沉积速率及较低的沉积温度下进行沉积。使用含SiCO和SiCN的材料的MOS元件特性,不管是在外缘电容、接触电阻、片电阻、起始电压和遗漏电流等方面,和过去习知工艺相比较均有获得改善。

Description

集成电路元件及其形成方法
技术领域
本发明涉及一种一般的半导体元件,特别是涉及一种关于半导体元件中的接触窗蚀刻阻绝层(contact etch stop,CES)的集成电路元件及其形成方法。
背景技术
在深次微米微电子制造中,氮化硅(silicon nitride,SiN)于接触窗蚀刻过程中被广泛地应用为阻绝层(stop layer)。在现有习知的技术中,层间介电层(inter-layer dielectric,ILD)是做为金属氧化物半导体(metal-oxide semiconductor,MOS)元件和位于其上的金属线之间的绝缘材料。接触窗孔洞(contact openings)则穿过ILD层到源极/汲极(source/drain)和闸极(gate)。由于ILD层相当地厚,因此难以控制蚀刻过程而没有过蚀刻(over etch)。形成ILD层之前,先形成接触窗蚀刻阻绝层。因此施行第一高选择性蚀刻来蚀刻ILD层,并停止在蚀刻阻绝层(etchstop)上。然后施行第二选择性蚀刻,在蚀刻阻绝层后,以曝露出位于其下层。
在传统的技术领域中使用氮化硅膜当蚀刻阻绝层有许多的缺点。一般所知氮化硅具有高介电质常数(即所谓的k值),约为7.5~8。高k值会增高寄生电容(parasitic capacitance)而降低元件的性能。此外,氮化硅膜的低沉积速率以及高沉积温度并不是理想的制程特性。
由此可见,上述现有的使用氮化硅膜当蚀刻阻绝层在结构、方法与使用上,显然仍存在有不便与缺陷,而亟待加以进一步改进。为了解决现有的使用氮化硅膜当蚀刻阻绝层存在的问题,相关厂商莫不费尽心思来谋求解决之道,但长久以来一直未见适用的设计被发展完成,而一般产品又没有适切的结构能够解决上述问题,此显然是相关业者急欲解决的问题。
有鉴于上述现有的使用氮化硅膜当蚀刻阻绝层存在的缺陷,本发明人基于从事此类产品设计制造多年丰富的实务经验及专业知识,并配合学理的运用,积极加以研究创新,以期创设一种新的集成电路元件及其形成方法,能够改进一般现有的使用氮化硅膜当蚀刻阻绝层,使其更具有实用性。经过不断的研究、设计,并经反复试作样品及改进后,终于创设出确具实用价值的本发明。
发明内容
本发明的目的在于,克服现有使用氮化硅膜当蚀刻阻绝层存在的缺陷,而提供一种新型的集成电路元件及其形成方法,所要解决的技术问题是使具有低k值的SiCO和SiCN材料可在较高的沉积速率及较低的沉积温度下进行沉积,从而更加适于实用。
本发明的目的及解决其技术问题是采用以下技术方案来实现的。依据本发明提出的一集成电路元件,其包含:一基材有一表面;一闸介电层位于该基材表面上;一闸电极位于在该闸介电层上;一对间隙壁位于沿着该闸电极及该闸介电层的两侧;一对源极/汲极区域位于该闸电极的相反两侧;一接触窗蚀刻阻绝层位于该源极/汲极区及该间隙壁上,其中该接触窗蚀刻阻绝层的材料是选自由氧碳化硅(SiCO)和氮碳化硅(SiCN)所组成的族群;一金属层间介电质层位于该接触窗蚀刻阻绝层上方;以及一传导插塞位于该金属层间介电质层上方。
本发明的目的及解决其技术问题还采用以下技术措施来进一步实现。
前述的集成电路元件,其中所述的元件更包括一粘着层位于该接触窗蚀刻阻绝层上方。
前述的集成电路元件,其中所述的粘着层材料为碳化硅。
前述的集成电路元件,其中所述的粘着层厚度约为20埃到50埃。
前述的集成电路元件,其中所述的接触窗蚀刻阻绝层的k值约小于7.0。
前述的集成电路元件,其中所述的接触窗蚀刻阻绝层的厚度约100埃到1000埃。
前述的集成电路元件,其中所述的接触窗蚀刻阻绝层内应力约-3Gpa到3Gpa。
本发明的目的及解决其技术问题还采用以下技术方案来实现。依据本发明提出的一种集成电路元件的形成方法,其至少包括:形成一闸介电层在一基材表面上;形成一闸电极在该闸介电层上;形成一对间隙壁于沿着该闸电极及该闸介电层的两侧;形成一对源极/汲极区域于邻近的该些间隙壁;形成一接触窗蚀刻阻绝层于该源极/汲极区域上方,其中该接触窗蚀刻阻绝层的材料是选自由氧碳化硅(SiCO)和氮碳化硅(SiCN)所组成的族群;形成一金属层间介电层于该接触窗蚀刻阻绝层上方;以及形成一导体于该金属层间介电质层内。
本发明的目的及解决其技术问题还采用以下技术措施来进一步实现。
前述的集成电路元件的形成方法,其中形成所述的接触窗蚀刻阻绝层的方法为一化学气相沉积法。
前述的集成电路元件的形成方法,其中沉积所述的接触窗蚀刻阻绝层的一前驱物为含碳的材料。
前述的集成电路元件的形成方法,其中所述的前驱物包含四甲基硅甲烷和三甲基硅甲烷。
前述的集成电路元件的形成方法,其中所述的化学气相沉积法沉积温度约为300℃到800℃。
前述的集成电路元件的形成方法,其更包含一氢电浆预处理步骤执行于形成该接触窗蚀刻阻绝层之前。
前述的集成电路元件的形成方法,其更包含一氨电浆预处理步骤执行于形成该接触窗蚀刻阻绝层之前。
前述的集成电路元件的形成方法,其更包含形成一含SiC的粘着层于形成该接触窗蚀刻阻绝层之前。
本发明与现有技术相比具有明显的优点和有益效果。由以上技术方案可知,本发明的主要技术内容如下:
为了达到上述目的,本发明提供了一种集成电路元件及其形成方法。在本发明的较佳实施例中,形成接触窗蚀刻阻绝层的基本组成材料为氧碳化硅(silicon oxycarbide,SiCO;或称硅碳含氧化物),以及氮碳化硅(silicon carbonitride,SiCN;或称硅碳含氮化物),例如碳掺杂氧化物(carbon doped oxide,CDO)和氮掺杂碳化硅物(nitride doped siliconcarbide,NDC)。
SiCO和SiCN材料的k值比SiN材料的k值低。此外,此种具有低k值的材料可在较高的沉积速率及较低的沉积温度下进行沉积。使用含SiCO和SiCN的材料的MOS元件特性,不管是在外缘电容(outer fringingcapacitance)、接触电阻(contact resistance)、片电阻(sheetresistance)、起始电压(threshold voltage)和遗漏电流(leakagecurrent)等方面,和过去习知工艺相比较均有获得改善。
本发明的一较佳实施例中,在基材上形成闸介电层(gate dielectric)和闸电极(gate electrode),接着沿着闸介电层和闸电极两侧形成一对间隙壁(spacers),然后形成源极/汲极。CES层形成在源极/汲极及间隙壁区域,CES层较佳的基本组成材质为SiCO或SiCN,接着形成ILD层在CES层上,再形成接触窗孔洞,并于接触孔洞中形成接触窗插塞(contact plugs)。
以SiCO或SiCN为基本组成材质的材料,也可用于形成MOS元件中的其他部分,例如间隙壁、闸阶梯外形(gate step features)和ILD层。
经由上述可知,本发明集成电路元件及其形成方法,在基材上形成闸介电层和闸电极。接着沿着闸介电层和闸电极两侧形成一对间隙壁,间隙壁的较佳的基本组成材质为SiCO或SiCN。接着形成源极和汲极。在源极/汲极及间隙壁区域形成接触窗蚀刻阻绝层(CES),CES层较佳的基本组成材质为SiCO或SiCN。接着形成层间介电层(ILD)在CES层上。
借由上述技术方案,本发明集成电路元件及其形成方法至少具有下列优点:
其具有低k值的SiCO和SiCN材料可在较高的沉积速率及较低的沉积温度下进行沉积。使用含SiCO和SiCN的材料的MOS元件特性,不管是在外缘电容、接触电阻、片电阻、起始电压和遗漏电流等方面,和过去习知工艺相比较均有获得改善。
综上所述,本发明特殊的集成电路元件及其形成方法,其具有上述诸多的优点及实用价值,并在同类产品及方法中未见有类似的结构设计及方法公开发表或使用而确属创新,其不论在产品结构、方法或功能上皆有较大的改进,在技术上有较大的进步,并产生了好用及实用的效果,且较现有的使用氮化硅膜当蚀刻阻绝层具有增进的多项功效,从而更加适于实用,而具有产业的广泛利用价值,诚为一新颖、进步、实用的新设计。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其他目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。
附图说明
图1到图7所示为依照本发明一较佳实施例的MOS晶体管制造阶段的剖面图。
图8a和图8b所示为边缘电容的示意图。
图9所示为边缘电容为复晶硅和源极/汲极间之间隔距离的函数图形。
图10所示为累积或然率为n+掺杂源极/汲极和接触插塞之间接触电阻的函数图形。
图11所示为累积或然率为p+掺杂源极/汲极和接触插塞之间接触电阻的函数图形。
2:硅基材                   4:闸介电层
6:闸电极                   8:间隙壁
9:源极                     10:半导体材料
11:汲极                    14:粘着层
16:接触窗蚀刻阻绝层        18:层间介电层层
20:图案化之的抗微影材料    24:接触窗插塞
30:边缘电容                32:边缘电容
42:复晶硅                  46:接触窗蚀刻阻绝层
48:间隙壁                  50:间隙壁
54:源极/汲极               60:接触窗插塞
62:复晶硅               66:接触窗蚀刻阻绝层
74:源极/汲极            73:复晶硅
80:电容                 13:硅化金属区域
d1:在图8a中复晶硅和接触窗插塞之间的距离
d2:在图8b中复晶硅和源极/汲极之间的距离
具体实施方式
本发明一较佳实施例使用SiCO和SiCN为基本组成材质的材料例如CDO和NDC来制造MOS元件。在较佳实施例中讨论制造过程的详细内容及MOS元件的测试结果。
请参阅图1到图7,所示为本发明的较佳实施例。图1所示为形成一闸极。在所示的较佳实施例中,基材2为硅基材。在其他较佳实施例中例如硅锗(SiGe)、体积半导体(bulk semiconductor)、应变半导体(strainedsemiconductor)、混成半导体(compound semiconductor)、多层半导体(milti-layer semiconductor)或硅绝缘体(silicon-on-insulatior,SOI)、应变硅绝缘体(SSOI)、应变硅锗绝缘体(S-SiGeOI)、硅锗绝缘体(SiGeOI)、锗绝缘体(GeOI)及其他类似的材料均可当作基材2。由习知工艺可知,部分基材例如通道区(channel areas)将会被轻微地掺杂n型或p型的掺质(dopant)。
闸介电层4沉积在基材2表面上,其材质较佳为氧化物(oxide),形成闸介电层的方法为习知此工艺者所熟悉例如:热氧化法等方法。在另一较佳实施例中,因为氮化硅膜能有效地阻挡污染物扩散,所以较佳形成氮化硅膜方法为硅的热氮化法,也可藉由氮氢组成的电浆阳极氮化法或二氧化硅(SiO2)的热氮化法形成氮化硅膜。在另一较佳实施例中,闸介电层也可为氮氧介电层、含氧介电层、含氮介电层或结合上述的组合所形成的介电层。
请参阅图1,显示一闸电极6形成在闸介电层4上方,闸电极6较佳材料为复晶硅(polysilicon),也可由其他材质形成例如金属或包含金属、半导体材料、金属氧化物以及硅化金属(silicides)的化合物。形成闸电极6的较佳方法为化学气相沉积法。复晶硅能作为罩幕以使闸极和源极/汲极重叠减到最小。此将提高元件性能。接着复晶硅被掺杂以降低元件的片电阻。又一较佳实施例中,形成闸电极6的材料可为非晶硅(amorphous silicon)、有传导性质的金属元素、有传导性质的金属元素的合金、有传导性质的硅化金属或氮化金属、或上述的任意组和。闸电极6和闸介电层4被图案化后形成闸极。
请参阅图2所示为有间隙壁的闸极。沿着闸介电层4和闸电极6的两侧形成一对间隙壁8。间隙壁8于接下来的自行对准金属硅化物(self-aligned silicide,通常简称为salicide)制程中作为自行对准罩幕。形成间隙壁的材料可为氧化物、氮化硅、氧氮化物或及上述的组合物。形成间隙壁8的方法为习知此工艺者所熟悉,例如以毯覆式沉积法(blanketdeposition)覆盖一介电层在包含基材2和闸电极6的整个区域上方,接着以非等方性蚀刻移除平行于表面的介电层并留下间隙壁8。
在一较佳实施例中,间隙壁基本组成材质为SiCO,例如碳掺杂氧化物(CDO)、含碳的氧化硅(SiOC)或氧掺杂碳化物(ODC;Oxide Doped Carbide)等。在另一较佳实施例中,间隙壁基本组成材质为SiCN,例如氮掺杂碳化硅物(NDC)。在后续形成CES层的介绍中会详细讨论形成含SiCO和SiCN材料层的细节。含SiCO和SiCN材料层的k值较佳为小于约5.0。因为典型的集成电路尺寸一直在减小,所以接触插塞(即分别连接到源极/汲极之具导电性的接触)和复晶硅的距离也在减少,以至于在闸复晶硅和源极/汲极间的外缘电容变得更明显。k值的减小可以帮助外缘电容减小,因此较佳为选用具有低k值介电质的材质。在一较佳实施例中,当间隙壁由含SiN的材料层(其k值为7.5)改变为含SiCO和SiCN的材料层(其k值为4.0),外缘电容也会减小约4%到5%。
含SiCO和SiCN材料层并非只局限用在间隙壁。如果在元件的闸极构造中有一介电材料的阶梯外形位于一复晶硅层和另一层复晶硅层之间或是在复晶硅层和接触插塞之间。形成阶梯外形的理想材料为含SiCO和SiCN的材质。阶梯外形为在硅基材上垄起的特性。如果邻近元件之间隙壁之间隔小于300埃、阶梯外形的高宽比(aspect ratio)(即阶梯高度和宽度的比率)约大于2或阶梯高度约大于300埃均可使用含SiCO和SiCN的材料。在典型的例子中,阶梯外形的高度约高于1000埃。当CES层沉积在未形成间隙壁的多晶硅闸极上时,CES层沉积于复晶硅闸极的两侧成了阶梯外形,且含SiCN和SiCN的材质为形成CES层理想材料。
请参阅图3所示为形成一源极和汲极。磊晶沉积一半导体材料10,其是用来定义源极/汲极区域。最佳形成半导体材料10的方法为选择性磊晶成长(selective epitaxy)。形成SiO2层覆盖在基材2上方(图中未示出),接着形成孔洞穿过SiO2层以曝露源极/汲极区域,再经由磊晶成长形成半导体材10。形成半导体材料10的较佳方法为分子束磊晶(molecular beamepitaxy,MEB),其他沉积技术包括:化学气相沉积(chemical vapordeposition,CVD)、极高真空化学气相沉积(ultra high vacuum chemicalvapor deposition,UHVCVD)、原子层化学气相沉积(atomic layer chemicalvapor deposition,ALCVD)或金属有机化学气相沉积(metal organicchemical vapor deposition,MOCVD)。在已曝露的单晶基材区域磊晶长成半导体材料10,接着在SiO2层上形成复晶硅(poly-crystal),然后蚀刻移除复晶硅和SiO2,只留下半导体材料10。在另一较佳实施例中,形成源极9和汲极11的材料为磊晶于基材2凹处所形成,源极9和汲极11实质上是在基材2内所形成(与在基材2上形成源极9和汲极11是不同的)。在另一较佳实施例中,形成源极9和汲极11可经由掺杂于基材2中所选定的区域中进行。
接着对闸电极6、源极9和汲极11区域进行离子植入(ion-implantation)。由半导体材料10所形成理想的源极9和汲极11区可掺杂高浓度的p型及n型掺质。多晶硅的电阻率可藉由掺杂而降低,接着执行回火(annealing)步骤以恢复植入之前的晶格结构,回火过程必须小心控制。在一较佳实施例中,掺质垂直扩散而超过了植入损坏的区域。在另一较佳实施例中,快速热制程(rapid thermal process,RTP)可减少掺质重新分布范围。值得重视的是,横向分布可能引起掺质扩散到间隙壁下方的区域,但不会扩散到闸介电层下方的区域。
再请参阅图3所示一经由自我对准金属硅化物过程所形成的硅化金属区域13。在闸电极、源极/汲极区上方涂布一层过渡金属材料例如钴、钛、镍或其他类似金属。在一较佳实施例中,使用金属镍当材质,接着进行热制程,使金属和位于下方的硅反应形成硅化金属。利用习知技术透过蚀刻剂(etchant)选择性地移除未反应的金属,此蚀刻剂不会攻击到硅化金属、二氧化硅和硅基材。
可随意选择执行一预处理法,在腔体中进行氢预处理法为较佳方式,处理条件的一例为:氢气流速约为9500sccm,腔体内压力维持在约为2.3torr,温度约为400℃以及处理时间约为10秒。
氨预处理法为另一选择方式,下列处理条件为:腔体中充满氨气和氮气的混合气体,氨气流速约为9500sccm以及氮气流速约为2800sccm,腔体内压力维持约为4.8torr,温度约为400℃以及处理时间约为10秒。
请参阅图4所示为形成一粘着层(glue layer)14。为了改善CES层的附着能力(adhesion)及预防CES层的剥离(peeling),含SiC材质的薄粘着层14可选择性地形成在整个元件上,包含的区域有源极9和汲极11、间隙壁8和复晶硅6。形成粘着层14的方法有电浆增强式化学气相沉积(plasmaenhanced chemical vapor deposition,PECVD)、原子层沉积(atomic layerdeposition,ALD),以及低压化学气相沉积(low pressure CVD,LPCVD),其中以PECVD为较佳方法。沉积粘着层14条件一例为:前驱物为四甲基硅烷Si(CH3)4(4MS或tetramethylsilane),4MS的流速约为1350sccm,腔体内压力维持在约为1.6torr,温度约为400℃以及处理时间约为20秒。粘着层14形成较佳厚度约为20到50埃之间,更佳厚度约为20埃。
请参阅图5所示为一以毯覆式(blanket)沉积CES层16于粘着层14上方。CES层16较佳形成方式为PECVD,或其他方法例如ALD或LPCVD亦可。在形成CES层16的一较佳实施例中,CES层16的材质为碳掺杂氧化物例如CDO、SiOC和ODC(Oxide Deped Carbide)。CES层16的沉积较佳厚度约为100埃到1000埃之间,更佳厚度约为300埃。形成CES层16的气体可为任何包含碳之前驱物,较佳的组成气体为4MS或三甲基硅甲烷(CH3)3SiH(3MS或trimethylsilane)以及二氧化碳(CO2)。在沉积CDO薄膜的一较佳实施例中,整个气腔体充满4MS和CO2组成的前驱气体,前驱气体的流速约为1060sccm到3860sccm,腔体内压力维持在约1.5torr,沉积温度约为400℃。在上述条件之下,厚度为300埃到500埃的CDO薄膜需花约10秒到50秒间来沉积它。
在形成CES层16的另一较佳实施例中,形成CES层16的材质为氮掺杂氧化物例如NDC和SiCN。CES层16的较佳厚度约为100埃到1000埃,更佳厚度约为300埃。形成CES层16的气体可为任何包含碳之前驱物,较佳的组成气体为4MS或三甲基硅甲烷(CH3)3SiH(3MS或trimethylsilane)、氨气(NH3)和氮气(N2)。在沉积NDC薄膜的一较佳实施例中,整个气腔体充满4MS、NH3和N2所组成的前驱气体,前驱气体的流速约为1120sccm到1700sccm,腔体内压力维持在约3.9torr,沉积温度约为400℃。在上述条件之下,厚度为300埃到500埃的NDC薄膜需花约10秒到50秒间来沉积它。
SiCO和SiCN材料的k值比SiN材料为低(一般SiN的k值为7.5到8),同时实际的k值会随着不同制程而产生变化。k值可利用制程条件的改变而调整,例如沉积压力的改变,所形成的SiCO或SiCN薄膜的k值范围约在3.0到5.0之间。
增加CES层16会导致元件内应力产生。一般所知元件内的应力会提高载子的迁移率,因而提高元件的效能。由习知工艺所知,同平面拉伸应力(in-plane tensile stress)会改善NMOS元件的效能,但是会降低PMOS元件效能。因此为了利用应力的好处,需要CES层16的应力为可调整的在一较佳实施例中,应力可能会经由改变沉积条件而有所调整,例如改变沉积温度。应力可能调整范围约在-3Gpa到3Gpa,此处的正值表示拉伸应力(tensile stress),负值表示压缩应力(compressive stress)。
接下来图6所示为一层间介电层18(ILD)沉积在CES层16的表面上,其中ILD层18亦称为前金属介电层(pre-metal dielectric,PMD)或金属层间介电层(inter-metal dielectric,IMD)。在一较佳实施例中,ILD层18为含SiCO或SiCN的材料层,其k值约小于7.0,而较佳的k值约小于4.0。由习知此工艺所知,ILD层18位于晶体管及后来将形成于ILD层18上方的金属线之间当做绝缘材料。寄生电容存在于源极/汲极、复晶硅及形成于ILD层18上方的金属线之间,且寄生电容会降低元件的效能。由于降低ILD层18的k值使得寄生电容变得较小,因此改善了元件的效能。因为所使用介电质材料的k值大小和电容大小成正比,因此ILD层18的较小k值导致寄生电容明显地减小。在另一较佳实施例中,ILD层18也可使用SiO2材质沉积,沉积ILD层18的技术包括CVD、PECVD、LPCVD或其他相关方法。
请参阅图6所示为形成一抗微影材料20且图案化于ILD层18上,以便形成接触窗插塞24于源极/汲极区及闸极上(请见图7)。由于ILD层18和CES层16为可进行选择性蚀刻(selective etching)不同材料,因此分两步骤进行蚀刻。第一步骤为蚀刻ILD层18后停止在CES层16,第二步骤为蚀穿CES层16以曝露出位于下方的材料层。因为CES层16厚度比ILD层18薄,所以蚀刻过程中可更精确地控制以预防过蚀刻(over etch)。虽然CES层16和ILD层18均为含SiCO和SiCN的材料层,但两者必须有所不同以便可进行选择性蚀刻。在一较佳实施例中,因为ILD层18为含SiCO的材质层而CES层16为含SiCN的材料层,所以在蚀刻ILD层18时使用C4F6、O2、CO以及Ar的混合气体进行蚀刻并停止在CES层16,接着CES层16可利用CH2F2加O2的混合气体进行蚀刻。
请参阅图图7所示为形成一接触窗插塞24在接触窗孔洞上。接触窗插塞24可由金属钨、铝、铜或其他习知的替代金属作为材料。接触窗插塞24亦可为复合结构,包括阻障层/粘着层的结构,例如钛/氮化钛(titaniumnitride)或钛/氮化钽(tantalum nitride),或其他类似的复合层亦可。
将本发明的较佳实施例和一些习知技术方面做比较,例如寄生电容、沉积速率、接触电阻和片电阻等。每一方面比较的结果将于下述讨论。习知工艺的元件大都用类似结构物且在相似的条件下作为较佳实施例,除非在其他方面指定说明。
表一列示使用SiN、ODC和NDC三种介电材料形成薄膜的参数及结果,其中SiN为习知技术所使用的材料,ODC及NDC为本发明的最佳实施例使用的材料。SiN薄膜形成于充满硅化氢(SiH4)、氨气和氮气的气腔体中,沉积温度约为480℃,腔体内压力保持约3torr。
表一
  材质   SiN   ODC   NDC
  沉积时间(秒)   176   54   31
  厚度(埃)   1207   1171   1104
  沉积速率(埃/分)   411   1301   2137
  k值   7.5~8   4~5   4~5
  沉积温度(℃)   480   400   400
在本发明的较佳实施例中,CES层16沉积速率较快而且可在较低温度下进行沉积。ODC及NDC的材质沉积速度约是SiN的材质的4到5倍。ODC及NDC的材质其沉积温度为400℃,低于SiN的材质其沉积温度480℃。ODC及NDC的材质其k值范围约为4~5,小于SiN材质的k值约7.5~8。
在本发明的较佳实施例中,元件的外缘电容降低,此外缘电容为复晶硅6和源极/汲极10之间的寄生电容。图8a和图8b所示为用以解释边缘电容结果,每一图所示含有间隙壁的两相邻MOS元件。在图8a中,标示48和50为间隙壁,同时接触窗插塞60连接于和源极/汲极54。距离d1表示复晶硅42和接触窗插塞60之间的距离。在复晶硅42和接触插塞60间存有边缘电容30。图8b类似于图8a,除了于图8b中移除接触插塞60的部分。距离d2表示一元件的复晶硅62和另一元件的复晶硅73之间的距离。在复晶硅62和复晶硅73间存有边缘电容80。在复晶硅62和源极/汲极74之间也存有外缘电容32,此外缘为复晶硅62和源极/汲极74之间的寄生电容。
图9是图示一电容的量测结果,显示边缘电容为在复晶硅和源极/汲极间之间隔距离的函数图形。在图8a中,复晶硅42和接触窗插塞60间的隔距离表示为d1、图8b中复晶硅62和复晶硅73间隔距离表示为d2。图9中的曲线1和曲线2表示所示于图8a中的结合电容30和32的边缘电容和间隔距离的函数关系。其中曲线1表示含SiN材料(k值为7.5)所形成的CES层46,曲线2表示含CDO及NDC材料(k值为4.0)所形成的CES层46。图9中的曲线3和曲线4表示所示于图8b中的结合电容80和32的边缘电容和间隔距离的函数关系。其中曲线3表示含SiN材料(k值为7.5)所形成的CES层66,曲线4表示含CDO及NDC材料(k值为4.0)所形成的CES层66。可观察到CES层46对于电容30有贡献,因此CES层46的k值降低有助于缩小电容30。因为曲线2所表示的CES层46其k值低于曲线1所表示的CES层46的k值,所以曲线2位置低于曲线1。曲线1和曲线2之间的电容差异约为4%到5%。因为曲线4所表示的CES层66其k值低于曲线3所表示CES层66的k值,所以曲线4位置亦低于曲线3。当间隔距离和增加时,电容30和电容80效应会降低以至于CES层所造成的影响也会低。依某个观点而言,当间隔距离明显地增加时,电容30和电容80的效应会减小而且电容32会变成造成边缘电容的主要部分,最后曲线1、2、3和4将会合并成一曲线。
在现有习知的工艺中,因为CES层16影响接触表面特性、接触外型和其他过蚀刻的行为,所以CES层16影响位于其下的层6及层10(如图5或图6中所示)和接触插塞24之间的接触电阻。接触插塞24和源极/汲极9、11之间的接触电阻和习知的工艺做比较,相关结果显示于图10和图11。图10是图示累积或然率为n+掺杂源极/汲极10和接触插塞24之间接触电阻的函数图形。测试结果以794,475个串连的接触窗为基础。需注意到含ODC材料、含NDC材料及含SiN材料形成的CES层16,分别表示为曲线81、曲线82及曲线83,其中曲线81或曲线82所代表的CES层16的接触电阻比曲线83所代表的CES层16的接触电阻约低10%。
请参阅图11,是图示在累积或然率为p+掺杂源极/汲极9、11和接触插塞24之间接触电阻的函数图形。测试结果也以794,475个串连的接触窗为基础。需注意到含NDC材料、含ODC材料及含SiN材料形成的CES层16,分别表示为曲线91、曲线92及曲线93,其中以曲线91或曲线92代表的CES层16的接触电阻小于曲线93所代表的CES层16的接触电阻。
接触电阻也存在于掺杂n+的多晶硅和金属接触窗之间。从这执行的测试以794,475个串连的接触窗的测试平均值为基础,发现含NDC和ODC的材料电阻比含SiN材料的接触电阻要好一些,所以位于金属和掺杂n+/p+多晶硅之间的接触电阻至少可和现有习知的工艺相匹敌。
由测试中也显示出当CES层16的材料由SiN改变成含ODC及HDC时,位于材料下方的片电阻并不会被影响。n+掺杂源极/汲极、p+掺杂源极/汲极、n+掺杂多晶硅和p+掺杂多晶硅的电阻值已被量测出来,显示不管覆盖在CES层的材料为何,上述的片电阻均不会改变。
其他特性方面例如起始电压和MOS晶体管的遗漏电流的测试结果显示在不同的CES材料层例如ODC、NDC和SiN并没有相当差异。
除了上述提到的优点外,本发明的较佳实施例完全符合现今元件的制造过程,同时可由以知的方法及现存的设备加以制造,以致于没有额外的成本开支。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的方法及技术内容作出些许的更动或修饰为等同变化的等效实施例,但是凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (15)

1、一集成电路元件,其特征在于其包含:
一基材有一表面;
一闸介电层位于该基材表面上;
一闸电极位于在该闸介电层上;
一对间隙壁位于沿着该闸电极及该闸介电层的两侧;
一对源极/汲极区域位于该闸电极的相反两侧;
一接触窗蚀刻阻绝层位于该源极/汲极区及该间隙壁上,其中该接触窗蚀刻阻绝层的材料是选自由氧碳化硅(SiCO)和氮碳化硅(SiCN)所组成的族群;
一金属层间介电质层位于该接触窗蚀刻阻绝层上方;以及
一传导插塞位于该金属层间介电质层上方。
2、根据权利要求1所述的集成电路元件,其特征在于其中所述的元件更包括一粘着层位于该接触窗蚀刻阻绝层上方。
3、根据权利要求2所述的集成电路元件,其特征在于其中所述的粘着层材料为碳化硅。
4、根据权利要求2所述的集成电路元件,其特征在于其中所述的粘着层厚度约为20埃到50埃。
5、根据权利要求1所述的集成电路元件,其特征在于其中所述的接触窗蚀刻阻绝层的k值约小于7.0。
6、根据权利要求1所述的集成电路元件,其特征在于其中所述的接触窗蚀刻阻绝层的厚度约100埃到1000埃。
7、根据权利要求1所述的集成电路元件,其特征在于其中所述的接触窗蚀刻阻绝层内应力约-3Gpa到3Gpa。
8、一种集成电路元件的形成方法,其特征在于其至少包括:
形成一闸介电层在一基材表面上;
形成一闸电极在该闸介电层上;
形成一对间隙壁于沿着该闸电极及该闸介电层的两侧;
形成一对源极/汲极区域于邻近的该些间隙壁;
形成一接触窗蚀刻阻绝层于该源极/汲极区域上方,其中该接触窗蚀刻阻绝层的材料是选自由氧碳化硅(SiCO)和氮碳化硅(SiCN)所组成的族群;
形成一金属层间介电层于该接触窗蚀刻阻绝层上方;以及
形成一导体于该金属层间介电质层内。
9、根据权利要求8所述的集成电路元件的形成方法,其特征在于其中形成所述的接触窗蚀刻阻绝层的方法为一化学气相沉积法。
10、根据权利要求9所述的集成电路元件的形成方法,其特征在于其中所述的接触窗蚀刻阻绝层的一前驱物为含碳的材料。
11、根据权利要求10所述的集成电路元件的形成方法,其特征在于其中所述的前驱物包含四甲基硅甲烷和三甲基硅甲烷。
12、根据权利要求9所述的集成电路元件的形成方法,其特征在于其中所述的化学气相沉积法沉积温度约为300℃到800℃。
13、根据权利要求8所述的集成电路元件的形成方法,其特征在于其更包含一氢电浆预处理步骤执行于形成该接触窗蚀刻阻绝层之前。
14、根据权利要求8所述的集成电路元件的形成方法,其特征在于其更包含一氨电浆预处理步骤执行于形成该接触窗蚀刻阻绝层之前。
15、根据权利要求8所述的集成电路元件的形成方法,其特征在于其更包含形成一含SiC的粘着层于形成该接触窗蚀刻阻绝层之前。
CNB2005100646823A 2004-04-27 2005-04-19 集成电路元件及其形成方法 Active CN100403540C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US56563004P 2004-04-27 2004-04-27
US60/565,630 2004-04-27
US10/895,514 2004-07-21

Publications (2)

Publication Number Publication Date
CN1741274A true CN1741274A (zh) 2006-03-01
CN100403540C CN100403540C (zh) 2008-07-16

Family

ID=36093563

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100646823A Active CN100403540C (zh) 2004-04-27 2005-04-19 集成电路元件及其形成方法

Country Status (4)

Country Link
US (1) US7115974B2 (zh)
CN (1) CN100403540C (zh)
SG (1) SG116566A1 (zh)
TW (1) TWI254369B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102306660A (zh) * 2011-09-08 2012-01-04 上海华力微电子有限公司 一种mos器件结构及其制备方法
CN102969342A (zh) * 2011-08-30 2013-03-13 台湾积体电路制造股份有限公司 电容器和制造电容器的方法
CN105428307A (zh) * 2014-09-04 2016-03-23 台湾积体电路制造股份有限公司 用于rc延迟改进的半导体器件蚀刻
CN105637622A (zh) * 2013-08-26 2016-06-01 美光科技公司 半导体构造及形成导电触点的方法
CN109119339A (zh) * 2018-08-26 2019-01-01 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US6940705B2 (en) * 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US6936881B2 (en) 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7989056B2 (en) * 2005-07-01 2011-08-02 Commissariat A L'energie Atomique Hydrophobic surface coating with low wetting hysteresis, method for depositing same, microcomponent and use
WO2007072305A2 (en) 2005-12-19 2007-06-28 Nxp B.V. Source and drain formation in silicon on insulator device
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US8187978B2 (en) * 2007-07-27 2012-05-29 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and semiconductor device
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7745275B2 (en) * 2008-09-10 2010-06-29 Arm Limited Integrated circuit and a method of making an integrated circuit to provide a gate contact over a diffusion region
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
DE102009031156B4 (de) * 2009-06-30 2012-02-02 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement mit nicht-isolierenden verspannten Materialschichten in einer Kontaktebene und Verfahren zu dessen Herstellung
TWI469225B (zh) * 2010-02-08 2015-01-11 United Microelectronics Corp 製作金氧半導體電晶體的方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8841181B2 (en) * 2012-03-07 2014-09-23 United Microelectronics Corp. Method for fabricating semiconductor device and PMOS device fabricated by the method
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8836139B2 (en) * 2012-10-18 2014-09-16 Globalfoundries Singapore Pte. Ltd. CD control
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9159798B2 (en) * 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9711646B2 (en) 2014-03-31 2017-07-18 United Microelectronics Corp. Semiconductor structure and manufacturing method for the same
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10181527B2 (en) * 2015-10-16 2019-01-15 Samsung Electronics Co., Ltd. FinFet having dual vertical spacer and method of manufacturing the same
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP6620034B2 (ja) * 2016-02-24 2019-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN105928986B (zh) * 2016-04-11 2018-08-31 温州大学 一种硅碳基高温氢气传感器及其制备方法
US9893062B2 (en) * 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN106449400B (zh) * 2016-11-09 2019-09-17 上海华力微电子有限公司 消除前金属层内部缺陷导致的短路漏电的方法
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10224414B2 (en) * 2016-12-16 2019-03-05 Lam Research Corporation Method for providing a low-k spacer
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN108807514B (zh) * 2017-05-05 2021-06-08 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10504797B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102422241B1 (ko) * 2018-02-06 2022-07-18 삼성전자주식회사 소스/드레인 영역을 가지는 반도체 소자
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111863711B (zh) * 2019-04-29 2023-06-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20230173501A (ko) * 2022-06-17 2023-12-27 삼성전자주식회사 실리콘 화합물 및 이를 이용한 집적회로 소자의 제조 방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
KR100292403B1 (ko) * 1997-12-30 2001-07-12 윤종용 반도체소자의층간절연막및그제조방법
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
CN1420530A (zh) * 2001-11-21 2003-05-28 联华电子股份有限公司 一种氧掺杂硅碳化合物蚀刻停止层
CN1198325C (zh) * 2001-12-04 2005-04-20 联华电子股份有限公司 金氧半导体晶体管的制造方法
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US20040124420A1 (en) * 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
US6861686B2 (en) * 2003-01-16 2005-03-01 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102969342A (zh) * 2011-08-30 2013-03-13 台湾积体电路制造股份有限公司 电容器和制造电容器的方法
CN102969342B (zh) * 2011-08-30 2015-06-17 台湾积体电路制造股份有限公司 电容器和制造电容器的方法
CN102306660A (zh) * 2011-09-08 2012-01-04 上海华力微电子有限公司 一种mos器件结构及其制备方法
CN105637622A (zh) * 2013-08-26 2016-06-01 美光科技公司 半导体构造及形成导电触点的方法
CN105637622B (zh) * 2013-08-26 2018-05-18 美光科技公司 半导体构造及形成导电触点的方法
CN105428307A (zh) * 2014-09-04 2016-03-23 台湾积体电路制造股份有限公司 用于rc延迟改进的半导体器件蚀刻
CN105428307B (zh) * 2014-09-04 2019-05-21 台湾积体电路制造股份有限公司 用于rc延迟改进的半导体器件蚀刻
CN109119339A (zh) * 2018-08-26 2019-01-01 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用

Also Published As

Publication number Publication date
CN100403540C (zh) 2008-07-16
SG116566A1 (en) 2005-11-28
TWI254369B (en) 2006-05-01
US20050236694A1 (en) 2005-10-27
TW200536004A (en) 2005-11-01
US7115974B2 (en) 2006-10-03

Similar Documents

Publication Publication Date Title
CN100403540C (zh) 集成电路元件及其形成方法
US10361201B2 (en) Semiconductor structure and device formed using selective epitaxial process
US20080083948A1 (en) SiGe selective growth without a hard mask
US20110207273A1 (en) Methods of Manufacturing Transistors
US7923322B2 (en) Method of forming a capacitor
US20060019032A1 (en) Low thermal budget silicon nitride formation for advance transistor fabrication
US6509239B1 (en) Method of fabricating a field effect transistor
CN1825625A (zh) 具混成应变诱导层的应变晶体管及其形成方法
CN1449034A (zh) 具有铜布线的半导体器件
US10211341B2 (en) Tensile strained high percentage silicon germanium alloy FinFETS
CN1950946A (zh) 用于增进信道载子移动性之具有高应力衬料之基于Si-Ge的半导体装置
TW201508923A (zh) 積體電路結構及其形成方法
US10727310B2 (en) Contact formation on germanium-containing substrates using hydrogenated silicon
JP2003282877A (ja) 異種結晶粒積層ゲートを有する半導体素子及びその製造方法
CN1179402C (zh) 半导体器件制作工艺
US11901229B2 (en) Barrier-free approach for forming contact plugs
JP2005340816A (ja) 最新デバイス用の多結晶SiGe接合
CN101038879A (zh) 半导体器件及其制造方法
CN1801464A (zh) 半导体装置与半导体装置的制造方法
TW200525751A (en) Silicide/semiconductor structure and method of fabrication
CN100541758C (zh) 形成浅槽隔离区的方法、制备集成电路的方法以及形成衬里的方法
TWI647750B (zh) 半導體元件及其形成方法
TW202310176A (zh) 製造半導體裝置的方法
KR100663010B1 (ko) 모스 트랜지스터 및 그 제조 방법
JP4004277B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant