TW202310176A - 製造半導體裝置的方法 - Google Patents

製造半導體裝置的方法 Download PDF

Info

Publication number
TW202310176A
TW202310176A TW110145516A TW110145516A TW202310176A TW 202310176 A TW202310176 A TW 202310176A TW 110145516 A TW110145516 A TW 110145516A TW 110145516 A TW110145516 A TW 110145516A TW 202310176 A TW202310176 A TW 202310176A
Authority
TW
Taiwan
Prior art keywords
layer
source
opening
multilayer
forming
Prior art date
Application number
TW110145516A
Other languages
English (en)
Inventor
李健瑋
李啟弘
顏邦廷
賴柏宇
李威養
林家彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202310176A publication Critical patent/TW202310176A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本文描述了一種半導體裝置及製造此半導體裝置的方法。方法包括在多層堆疊中圖案化鰭狀物及在鰭狀物中形成開口的步驟,作為形成多層源極/汲極區域的初始步驟。形成開口進入鰭狀物的寄生通道區域中。一旦形成開口,使用自下而上沉積製程在開口底部處沉積源極/汲極阻障材料至多層堆疊下方的位準。在源極/汲極阻障材料上形成多層源極/汲極區域。藉由移除多層堆疊的犧牲層來形成奈米結構的堆疊,多層源極/汲極區域電耦合至奈米結構的堆疊。

Description

半導體裝置及製造方法
半導體裝置用於各種電子應用,例如個人電腦、手機、數位相機、及其他電子設備等。半導體裝置通常藉由以下步驟而製造:在半導體基板上方依序地沉積絕緣層或介電層、導電層及半導體材料層,以及使用微影術圖案化各種材料層以在其上形成電路組件及元件。
半導體工業藉由持續減小最小特徵尺寸來持續提高各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度,如此允許更多組件得以整合至給定區域中。然而,隨著最小特徵尺寸減小,出現了應解決的其他問題。
以下揭示內容提供許多不同實施例或實例,以便實現所發明標的之不同特徵。下文描述部件及排列的特定實例以簡化本揭示案。當然,這些僅為實例且不意欲為限制性。舉例而言,在隨後描述中第一特徵在第二特徵上方或在第二特徵上的形成可包括第一及第二特徵形成為直接接觸的實施例,以及亦可包括額外特徵可形成在第一及第二特徵之間,以使得第一及第二特徵可不直接接觸的實施例。另外,本揭示案在各實例中可重複元件符號及/或字母。此重複為出於簡單清楚之目的,且本身不指示所論述各實施例及/或配置之間的關係。
另外,空間相對用語,諸如「在……之下」、「在……下方」、「下部」、「在……上方」、「上部」及類似術語,在本文中為便於描述可用於描述諸圖中所圖示一元件或特徵與另一(些)元件或(多個)特徵之關係。除圖式中描繪的定向外,空間相對術語意欲包括元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或在以其他的定向)及可因此同樣相應地解釋在本文中使用的空間相對描述詞。
現在將參照形成包括多個奈米結構裝置的多個有源元件的特定實施例來描述實施例。然而,所描述的實施例意欲是說明性的,而不意欲限於本文中明確描述的彼等實施例。相反,本文提出的觀念可以被合併到各種各樣的實施例中。
現在參考第1圖,在透視圖中示出了多層結構100,其包括基板101,在基板101上形成有半導體材料的多層堆疊119。基板101可為矽基板,但是可以使用諸如絕緣體上半導體(semiconductor-on-insulator, SOI)、應變SOI及絕緣體上矽鍺等其他基板。基板101可為p型半導體,但是在其他實施例中,基板101可為n型半導體。在一些實施例中,基板101可包括摻雜區域(例如,p型區域、n型區域、反穿孔摻雜區域、組合等)。
在此類實施例中,半導體材料的多層堆疊119透過交替材料的一系列沉積形成。在一些實施例中,多層堆疊119包括第一半導體材料的第一層121及第二半導體材料的第二層123。
根據一些實施例,第一層121可使用具有第一晶格常數的第一半導體材料形成,諸如SiGe、Ge、Si、GaAs、InSb、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、上述材料之組合或類似者。在一些實施例中,使用諸如磊晶生長、氣相磊晶(vapor-phase epitaxy, VPE)、分子束磊晶(molecular beam epitaxy, MBE)等沉積技術在基板101上磊晶生長第一半導體材料(例如SiGe)的第一層121,但是亦可以使用其它沉積製程,諸如化學氣相沉積(chemical vapor deposition, CVD)、低壓化學氣相沉積(low pressure chemical vapor deposition, LPCVD)、原子層化學氣相沉積(atomic layer chemical vapor deposition, ALCVD)、超高真空化學氣相沉積(ultrahigh vacuum chemical vapor deposition, UHVCVD)、減壓化學氣相沉積(reduced pressure chemical vapor deposition, RPCVD)、上述沉積製程之組合等。根據一些實施例,一旦沉積,可執行選擇性的平坦化技術(例如,化學機械平坦化(chemical mechanical planarization, CMP))以將第一層121的厚度減小到期望厚度。在一些實施例中,第一層121經形成至在約30Å與約300Å之間的第一厚度。然而,在保持在實施例的範圍內的同時,可以使用任何合適的厚度。
一旦在基板101上形成了第一層121,第二層123中的一者可在第一層121上形成。根據一些實施例,第二層123可以使用具有不同於第一層121的第一晶格常數的第二晶格常數的第二半導體材料形成,該第二半導體材料諸如Si、SiGe、Ge、GaAs、InSb、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、上述材料的組合等。在其中第一層121為矽鍺的特定實施例中,第二層123為諸如矽的材料。然而,材料的任何適當組合可用於第一層121及第二層123。
在一些實施例中,使用與用於形成第一層121的沉積技術類似的沉積技術在第一層121上磊晶生長第二層123。根據一些實施例,一旦生長第二層123,可執行選擇性的平坦化技術(例如,化學機械平坦化(CMP))以將第二層123的厚度減小至期望厚度。然而,第二層123可使用適於形成第一層121的任何沉積及/或可選平坦化技術(如上文所述)或任何其他合適技術。根據一些實施例,第二層123形成為與第一層121相似的厚度。然而,第二層123亦可以形成為不同於第一層121的厚度。根據一些實施例,第二層123可形成為約10 Å與約500 Å之間的第二厚度。然而,亦可以使用任何合適的厚度。
一旦已在第一層121上形成第二層123,則重複沉積製程以形成第一層121及第二層123的交替材料序列中的剩餘材料層,直至已形成多層堆疊119的期望最頂層。根據本實施例,第一層121可以形成至相同或相似的第一厚度,以及第二層123可以形成至相同或相似的第二厚度。然而,第一層121可以具有彼此不同的厚度及/或第二層123可以具有彼此不同的厚度,並且厚度的任何組合可以用於第一層121及第二層123。
儘管本文揭示的實施例包括第一層121中的三層及第二層123中的三層,但多層堆疊119可以具有任何適當數目的層。例如,多層堆疊119可以包括在2至20層之間的範圍內的多個層。在一些實施例中,多層堆疊119可包括相等數目的第一層121至第二層123;然而,在其他實施例中,第一層121的數目可能不同於第二層123的數目。此外,多層堆疊119可在基板上形成至任何期望高度。
如本領域一般技術者將認識到的,上述形成多層結構100的製程僅僅為一潛在製程,且並不意謂為唯一的實施例。相反,可以使用可透過其形成多層結構100的任何合適製程,並且可以使用包括任何數目的沉積及可選平坦化步驟之任何合適製程。
第2圖根據一些實施例圖示使用多層結構100形成的中間結構200的透視圖。特定而言,第2圖圖示多層結構100中之溝槽201及圖案化多層堆疊205的形成。第2圖根據一些實施例進一步圖示隔離區域203的形成以及隔離區域203及圖案化多層堆疊205上的虛設閘極堆疊211及上部間隔物219的形成。
一旦多層結構100已經形成,在多層結構100中形成溝槽201作為最終形成隔離區域203的初始步驟。溝槽201可以使用遮罩層(第2圖中未單獨示出)以及合適的蝕刻製程形成。例如,遮罩層可為硬遮罩,包括透過諸如化學氣相沉積(CVD)等製程形成的氮化矽;儘管可以使用其他材料,諸如氧化物、氮氧化物、碳化矽、上述材料的組合等,以及其他製程,諸如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)、低壓化學氣相沉積(low pressure chemical vapor deposition; LPCVD),或甚至氧化矽形成後氮化。一旦形成,遮罩層可透過適當的光微影製程進行圖案化,以暴露多層結構100中將被移除以形成溝槽201的彼等部分。
然而,熟習本領域者將認識到,上述形成遮罩層的製程及材料不是可用於保護多層結構100的部分,同時暴露多層結構100的其他部分以形成溝槽的唯一方法。任何合適的製程,諸如圖案化及顯影的光阻劑,可用於暴露多層結構100中待移除以形成溝槽201的部分。所有此類方法完全意欲包括在本實施例的範圍內。
一旦遮罩層已形成並經圖案化,則在多層結構100中形成溝槽201。多層結構100的暴露部分的暴露材料可透過諸如一或多個反應性離子蝕刻(reactive ion etches, RIE)的適當製程去除,以便在多層結構100中形成溝槽201,儘管可使用任何適當製程。
然而,如熟習本領域者將認識到的,上述形成溝槽201的製程僅僅為一個潛在製程,並不意謂是唯一的實施例。相反,可以使用可經由其形成溝槽201的任何適當製程,並且可以使用任何適當製程,包括任何數目的遮罩及移除步驟。
除了形成溝槽201之外,遮罩及蝕刻製程另外地從多層堆疊119及基板101中保持未移除的彼等部分形成複數個圖案化多層堆疊205。圖案化多層堆疊205在本文中亦可稱為「多層鰭狀物」。如下文論述,該等圖案化多層堆疊205可用於形成有源元件,諸如多通道裝置(例如,全環繞閘極(gate-all-around, GAA)金屬氧化物半導體場效應電晶體(metal-oxide-semiconductor field effect transistor, MOSFET)、奈米片場效應電晶體(nanosheet field effect transistor, NSFET)等)。儘管第2圖圖示三個多層鰭狀物,但可以在多層結構100中形成任意數目的多層鰭狀物。
根據一些實施例,圖案化多層堆疊205可形成為在基板101的表面具有約30 Å與約5000 Å之間的第一寬度W1。此外,圖案化多層堆疊205可形成為以約5 nm與約100 nm之間的第一距離Dist1隔開。然而,亦可以使用任何合適的寬度及距離。根據一些實施例,可以根據正在形成的期望多通道裝置的期望通道寬度來選擇圖案化多層堆疊205的第一寬度W1。在一些實施例中,多層鰭狀物之間的第一距離Dist1可以足夠接近以共用公共閘極電極或所謂的「共用閘極電極」。
此外,儘管上文已經描述了在多層結構100中形成圖案化多層堆疊205的特定實施例,但是此類描述旨在說明性而非限制性。相反,圖案化多層堆疊205可以藉由任何合適的方法圖案化。舉另一實例,圖案化多層堆疊205可以使用一或多個光微影製程(包括雙圖案化製程或多圖案化製程)進行圖案化。通常,雙圖案化製程或多圖案化製程結合了光微影及自對準製程,允許創建的圖案具有比使用單個直接光微影製程可獲得的間距更小的間距。例如,在一個實施例中,犧牲層形成在多層結構100上,並且使用光微影製程圖案化。間隔物係使用自對準製程在圖案化犧牲層旁形成。隨後移除犧牲層,並隨後使用剩餘的間隔物對圖案化多層堆疊205進行圖案化。可使用任何合適的製程。
在一實施例中,藉由在溝槽201中初始沉積介電材料,隔離區域203形成為淺溝槽隔離(shallow trench isolation, STI)區域。根據一些實施例,用於形成隔離區域203的介電材料可為諸如氧化物材料(例如,可流動氧化物)、高密度電漿(high-density plasma, HDP)氧化物等的材料。介電材料可在溝槽的可選清潔及內襯後,使用化學氣相沉積(CVD)方法(例如HARP製程),高密度電漿CVD方法,或其他合適的形成方法而形成,以填充或過度填充圖案化多層堆疊205周圍的區域。在一些實施例中,執行放置後退火製程(例如,氧化物緻密化)以緻密化隔離區域203的材料並降低其濕蝕刻速率。此外,可以執行一或多個平坦化製程,諸如化學機械研磨(CMP)、蝕刻、組合等,以去除隔離區域203的任何多餘材料。
一旦介電材料已沉積以填充或過度填充圖案化多層堆疊205周圍的區域,則介電材料可凹陷以形成隔離區域203。可藉由將結構浸入對介電材料具有選擇性的蝕刻劑中,使用濕蝕刻將介電材料凹陷,但亦可以使用其他方法,諸如反應性離子蝕刻、乾蝕刻、化學氧化物去除或乾化學清洗。
第2圖進一步圖示在隔離區203上方暴露的圖案化多層堆疊205上形成虛設閘極介電質209。虛設閘極介電質209可藉由熱氧化、化學氣相沉積、濺射或本領域已知並用於形成閘極介電質的任何其他方法形成。取決於閘極介電質形成的技術,頂部的虛設閘極介電質209的厚度可不同於側壁上的虛設介電質的厚度。在一些實施例中,虛設閘極介電質209可藉由以下步驟形成:沉積諸如矽的材料,隨後氧化或氮化矽層以形成諸如二氧化矽或氧氮化矽等介電質。在此類實施例中,虛設閘極介電質209可形成至約3 Å至約100 Å之間的厚度。在其他實施例中,虛設閘極介電質209亦可由高介電常數(高k)材料形成,諸如氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鉿(HfO 2)、氮氧化鉿(HfON)或氧化鋯(ZrO 2)或上述材料的組合,其中等效氧化物厚度在約0.5 Å至約100 Å之間。此外,二氧化矽、氮氧化矽及/或高介電常數材料的任何組合亦可用於虛設閘極介電質209。
第2圖根據一些實施例進一步圖示在虛設閘極介電質209上形成虛設閘極電極213,在虛設閘極電極213上形成第一硬遮罩(未單獨示出),以及在第一硬遮罩上形成第二硬遮罩(亦未單獨示出)。虛設閘極介電質209、虛設閘極電極213、第一硬遮罩及第二硬遮罩在本文中統稱為虛設閘極堆疊211。
在一些實施例中,虛設閘極電極213包括導電材料,並且可以從多晶矽、W、Al、Cu、AlCu、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、上述材料的組合等組成的群組中選擇。虛設閘極電極213可藉由化學氣相沉積(CVD)、濺射沉積或本領域已知並用於沉積導電材料的其他技術沉積。虛設閘極電極213的厚度可在約5 Å至約500 Å的範圍內。虛設閘極電極213的上表面可以具有非平面的上表面,並且可以在虛設閘極電極213的圖案化或閘極蝕刻之前進行平坦化。此時,離子可被引入或不被引入虛設閘極電極213。例如,可以藉由離子植入技術引入離子。
一旦虛設閘極電極213已經形成,虛設閘極介電質209及虛設閘極電極213可以被圖案化。在一實施例中,可以藉由在虛設閘極電極213上初始形成第一硬遮罩並在第一硬遮罩上形成第二硬遮罩來執行圖案化。
根據一些實施例,第一硬遮罩包括介電材料,諸如氧化矽(SiO)、氮化矽(SiN)、氧化物(OX)、氮化鈦(TiN)、氮氧化矽(SiON)、上述材料的組合,或類似物。可使用諸如化學氣相沉積、電漿增強化學氣相沉積、原子層沉積等製程來形成第一硬遮罩。然而,亦可以使用任何其他合適的材料及成型方法。第一硬遮罩可形成至約20 Å與約3000 Å之間的厚度。
第二硬遮罩包括與第一硬遮罩的材料不同的介電材料。第二硬遮罩可包括任何材料並使用任何適於形成第一硬遮罩的製程,並且第二硬遮罩可形成為與第一硬遮罩相同或類似的厚度。在其中第一硬遮罩包括氧化物(oxide, OX)的實施例中,第二硬遮罩可為例如氮化矽(SiN)。然而,亦可使用任何合適的介電材料、製程及厚度來形成第二硬遮罩。
一旦形成了第一硬遮罩及第二硬遮罩,就可以對第一硬遮罩及第二硬遮罩進行圖案化。在一實施例中,可藉由最初將光阻劑(未單獨圖示)放置在第二硬遮罩上並將光阻劑暴露於圖案化的能源(例如,光)來圖案化遮罩,以便引發改變第一光阻劑的暴露部分的物理性質的化學反應。隨後,可藉由應用第一顯影劑(亦未單獨示出)來顯影第一光阻劑,以便利用暴露區域與未暴露區域之間的修改後的物理性質來選擇性地去除暴露區域或未暴露區域。
一旦光阻劑已被圖案化,光阻劑可被用作遮罩以圖案化底層硬遮罩。在一實施例中,第一硬遮罩及第二硬遮罩可使用例如一或多個以光阻劑為遮罩的反應性離子蝕刻(reactive ion etching, RIE)製程來圖案化。圖案化製程可以繼續,直到虛設閘極電極213暴露在第一硬遮罩之下。
一旦第一硬遮罩及第二硬遮罩已被圖案化,可利用(例如灰化製程)去除光阻劑,由此提高光阻劑的溫度直到光阻劑經歷熱分解,並且可使用一或多個清潔製程容易地去除光阻劑。然而,亦可以使用任何其他合適的去除製程。
一旦第一硬遮罩及第二硬遮罩已被圖案化,虛設閘極電極213及虛設閘極介電質209可被圖案化以形成一系列虛設閘極堆疊211。在一實施例中,使用各向異性蝕刻製程(例如反應性離子蝕刻)對虛設閘極電極213及虛設閘極介電質209進行圖案化,但亦可以使用任何合適的製程。因此,在要形成的多層通道區域的期望位置中,虛設閘極堆疊211被設置在圖案化多層堆疊205上。在虛設閘極堆疊211之間的區域中,圖案化多層堆疊205的頂面及側壁以及隔離區域203的頂面被暴露。根據一些實施例,虛設閘極堆疊211可形成為約2 nm至約200 nm之間的第二寬度W2,並且可彼此間隔約5 nm至約100 nm之間的第二距離Dist2。然而,亦可以使用任何合適的寬度及距離。根據一些實施例,可以根據所形成的期望多通道裝置的期望通道長度來選擇虛設閘極堆疊211的第二寬度W2。此外,儘管第2圖圖示三個虛設閘極堆疊211,但是可以形成任意適當數目的虛設閘極堆疊211。例如,在一些實施例中,可以形成少於三個的虛設閘極堆疊211,諸如兩個或一個虛設閘極堆疊211。作為進一步的實例,在一些實施例中,可以形成三個以上的虛設閘極堆疊211,諸如四個或更多個的虛設閘極堆疊211。
第2圖進一步圖示上部間隔物219的形成。根據一個實施例,藉由在虛設閘極堆疊211及圖案化多層堆疊205以及隔離區域203的暴露部分上的毯覆沉積,形成間隔物材料。因此,間隔物材料沉積在虛設閘極堆疊211的頂面及側壁上,以及圖案化多層堆疊205的頂面及側壁上,以及未被虛設閘極堆疊211覆蓋的隔離區域203的頂面上。根據一些實施例,間隔物材料包括介電材料,並且使用諸如化學氣相沉積(CVD)、電漿增強CVD、濺射、熱氧化及任何其他合適方法的方法形成。根據一些實施例,間隔物材料包括諸如氧化矽(SiO 2)、氮氧化矽(SiON)、氮化矽(Si 3N 4)、碳氧化矽(SiOC)、碳氮氧化矽(SiOCN)等材料,但亦可利用任何合適的材料,諸如介電常數值小於約4.0的低介電常數材料、上述材料的組合,或類似物。
一旦形成,可蝕刻間隔物材料,以便在虛設閘極堆疊211上形成上部間隔物219,並重新暴露虛設閘極堆疊211的頂部、圖案化多層堆疊205的頂部及側壁以及隔離區域203的頂部。根據一些實施例,可使用各向異性蝕刻製程(例如,乾蝕刻製程),諸如反應性離子蝕刻(RIE)製程、各向同性蝕刻製程(例如,濕蝕刻製程)、上述製程的組合等來蝕刻間隔物材料。在一些實施例中,在源極/汲極區域中的圖案化多層堆疊205上形成的間隔物材料可在蝕刻製程中及/或在後續蝕刻製程中被凹陷,以使得沿彼等源極/汲極區域中的圖案化多層堆疊205的側壁的部分暴露。
然而,儘管使用單種間隔物材料描述實施例,但這意欲說明性而非限制性。相反,可以使用任何數目的間隔物材料以及沉積及去除製程的任何組合,並且所有此類製程完全意欲包括在實施例的範圍內。例如,三層間隔物可以形成為上部間隔物219。根據一實施例,三層間隔物材料可包括第一薄膜介電層(例如,SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物等)、第二薄膜介電層(例如,SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物等)及第三薄膜介電層(例如,SiN)。
第2圖進一步圖示覆蓋在中間結構200上的剖面線A-A。剖面線A-A沿著圖案化多層堆疊205中的一者的長度取得,並參考以下附圖及描述使用。
第3A圖及第3B圖根據一些實施例圖示在形成半導體裝置的中間步驟中使用的第一凹槽蝕刻製程350的剖面線A-A的橫截面圖。特定而言,在一些實施例中,第3A圖圖示第一開口301的形成,作為形成半導體裝置的多層源極/汲極區域的初始步驟。第3B圖圖示第3A圖所示的第一開口301的部分319的放大圖。
根據一些實施例,第一開口301可藉由使用上部間隔物219作為遮罩並執行第一凹槽蝕刻製程350來形成,以選擇性地去除多層源極/汲極區域的期望位置處的圖案化多層堆疊205及/或基板101的材料。因此,第一開口301在虛設閘極堆疊211下方的多層通道區域內將圖案化多層堆疊205劃分為一系列奈米結構堆疊311。奈米結構堆疊311包括第一層121(第3A圖及第3B圖中重新標記的犧牲層312)及第二層123(第3A圖及第3B圖中重新標記的奈米結構313)。
根據一些實施例,可使用多個各向異性蝕刻及/或各向同性蝕刻的組合及/或選擇性調整來執行第一凹槽蝕刻製程350,以去除第二層123的材料及第一層121的材料。第一凹槽蝕刻製程350可使用各向異性濕化學蝕刻、各向異性乾蝕刻、各向同性乾蝕刻、上述各者的組合等執行。各向異性濕化學蝕刻使用諸如氫氧化鉀(KOH)、四甲基銨(TMAH)及乙烯二胺鄰苯二酚(EDP)等溶液。各向異性乾蝕刻使用諸如CF 4、CH 3F、HBr、O 2、He、Ar、上述各者之組合等的電漿源,並且使用偏壓功率執行。各向同性乾蝕刻使用諸如NF 3、CL 2、H 2、Ar、He、上述各者之組合等的電漿源。
根據一些實施例,可使用蝕刻的組合及/或透過選擇性調整來執行第一凹槽蝕刻製程350,以使得犧牲層312最初可形成具有大體上垂直且與第一開口301的側壁共面的輪廓(如第3B圖所示)。然而,犧牲層312及奈米結構313可在第一開口301的側壁處形成為任何合適的輪廓。根據一些實施例,奈米結構313可由可選通道輪廓307形成(如第3A圖所示)。可選通道輪廓307可具有任何合適的形狀(例如,凸圓形),以使得第一開口301的側壁處的奈米結構313沿徑向朝向第一開口301的中心線延伸。
可以使用蝕刻的組合及/或透過選擇性地調整來執行第一凹槽蝕刻製程350,以使得第一開口301在第一開口301的底部成形為期望的凹槽輪廓。在一些實施例中,第一開口301形成為具有深凹圓形的第一凹槽輪廓305。
在一些實施例中,第一開口301可從一系列奈米結構堆疊311的頂部延伸,並且延伸進基板101中至約50 nm至約80 nm之間的第三深度D3。根據一些實施例,第一凹槽輪廓305在基板101頂部形成具有第二寬度W2的凹圓形,並延伸進基板101中至第四深度D4。根據一些實施例,可使用具有電漿源(CF 4)及功率偏壓的各向異性乾蝕刻來形成第一凹槽輪廓305的深凹圓形。因此,第一凹槽輪廓305形成為具有凹圓形,其中在基板101頂部具有介於約3 nm與約100 nm之間的第二寬度W2,以及介於約20 nm與約25 nm之間的第四深度D4。然而,任何合適的形狀、寬度及深度可用於第一凹槽輪廓305。
第3A圖及第3B圖根據一些實施例進一步圖示與犧牲層312相鄰的內部間隔物303的形成。根據一些實施例,犧牲層312可在第一開口301通過第一層121的形成期間被凹陷。在其他實施例中,犧牲層312最初形成為與第一開口301的側壁共面,並且隨後經凹陷至期望距離。在一些實施例中,使用對犧牲層312的材料(例如,矽鍺(SiGe))比奈米結構313的材料(例如,矽(Si))或基板101(例如,矽(Si))更具選擇性的蝕刻劑進行濕蝕刻,在犧牲層312中形成凹槽。例如,在犧牲層312為矽鍺且奈米結構313為矽的實施例中,濕蝕刻可使用諸如鹽酸(HCl)的蝕刻劑。
在犧牲層312於形成第一開口301後凹陷的實施例中,濕蝕刻製程可使用浸漬製程、噴塗製程、旋塗製程等執行,並可使用任何合適的製程溫度(例如,在約400℃至約600℃之間)以及任何合適的處理時間(例如,在約100秒至約1000秒之間)執行。然而,亦可以使用任何合適的製程條件及參數。蝕刻製程可以繼續,以使得在犧牲層312中的每一層中形成凹槽至約5 nm至約10 nm之間的第五距離Dist5。然而,亦可以使用任何合適的距離。犧牲層312的遠端可以形成為具有任何合適的輪廓(例如,圓形、垂直、小面限制、凸面、凹面等)。在第3A圖的圖示實施例中,犧牲層312的遠端形成為具有垂直輪廓。在第3B圖的圖示實施例中,犧牲層312的遠端形成為具有圓形輪廓。
然而,濕蝕刻製程並非可用於凹陷犧牲層312的唯一製程。例如,在另一實施例中,犧牲層312的凹陷可藉由各向同性乾蝕刻製程或乾蝕刻製程與濕蝕刻製程的組合來執行。此外,可以執行可選的清潔製程以去除在犧牲層312的暴露表面、第一凹槽輪廓305的暴露表面以及沿第一開口301的側壁的任何其他暴露表面處的任何氧化物堆積。可以利用使犧牲層312凹陷的任何合適製程,並且所有此類製程完全意欲包括在實施例的範圍內。
一旦在每個犧牲層312中形成凹槽,則在第一開口301中形成填充及/或過度填充每個凹槽的間隔物材料。在一些實施例中,間隔物材料可以不同於上部間隔物219的材料,並且可以為包括矽的介電材料,諸如氮化矽(SiN)、氧化矽(SiO 2)、氮氧化矽(SiON)、碳氮氧化矽(SiOCN)、碳氮化矽(SiCN)、碳氧化矽(SiOC),儘管亦可以使用任何合適的材料,諸如介電常數值小於約4.0的低介電常數材料,或上述材料之組合。間隔物材料可使用沉積製程(諸如化學氣相沉積、物理氣相沉積或原子層沉積)沉積至約5 nm至約10 nm之間的厚度。然而,亦可以使用任何合適的厚度或沉積製程。
藉由在第一開口301上沉積間隔物材料,間隔物材料將襯砌第一開口301的側壁,並且還將填充鄰近犧牲層312的凹槽。一旦用間隔物材料填充凹槽,則執行去除製程以去除第一開口301中的任何多餘間隔物材料,同時留下內部間隔物303。在一實施例中,可使用蝕刻製程(例如,各向異性乾蝕刻製程,例如反應性離子蝕刻製程)來去除多餘的間隔物材料。然而,可以使用任何合適的蝕刻製程,該製程從第一開口301去除多餘的間隔物材料,同時留下內部間隔物303。
藉由用間隔物材料填充凹槽並從第一開口301去除多餘的間隔物材料,內部間隔物303將呈現凹槽的形狀。此外,儘管第3A圖中圖示將內部間隔物303形成小面形狀的實施例,但此舉僅為了說明並不意欲限制。相反,如第3B圖所示,內部間隔物303可與第一開口301的側壁共面形成。此外,可以為內部間隔物303提供任何合適的形狀,例如凹形或凸形,或者甚至可以使用凹陷的內部間隔物303。所有此類形狀完全意欲包括在實施例的範圍內。根據一些實施例,內部間隔物303可形成為約2 nm至約10 nm之間的寬度及約5 nm至約20 nm之間的高度。然而,亦可以使用任何合適的寬度、高度及距離。
根據一些實施例,如第3A圖中所示,控制及/或選擇性地調整第一凹槽蝕刻製程350,以使得第一開口301在基板101中形成有凹槽輪廓,奈米結構313具有通道輪廓,並且內部間隔物303已被凹陷。因此,第一開口301由側壁輪廓317形成,側壁輪廓317在奈米結構313之間具有第三距離Dist3,且在內部間隔物303之間具有第四距離Dist4。根據一些實施例,第三距離Dist3為約3 nm與約100 nm之間的一距離。在一些實施例中,第四距離Dist4為約3 nm與約100 nm之間的一距離。然而,任何合適的距離都可用於第三距離Dist3及第四距離Dist4。根據一些其他實施例,如第3B圖中所示,第一凹槽蝕刻製程350經控制,以使得第一開口301的側壁大體上垂直,並且第一開口301在基板101中形成有凹槽輪廓。
第4圖至第6圖根據一些實施例圖示形成多層源極/汲極區域401的中間步驟的橫截面圖。特定而言,第4圖根據一些實施例圖示在第一開口301中形成第一源極/汲極阻障層403。第5圖根據一些實施例圖示在第一源極/汲極阻障層403上形成第一磊晶生長層501。第6圖根據一些實施例圖示在半導體裝置的第一磊晶生長層501上形成第二磊晶生長層601。
第4圖根據一些實施例圖示在第一開口301的底部形成第一源極/汲極阻障層403,作為形成多層源極/汲極區域401的中間步驟。第一源極/汲極阻障層403可在自下而上的沉積製程中磊晶地生長,從而在第一開口301的底部並且沿著第一開口301的側壁形成。根據一些實施例,第一源極/汲極阻障層403可形成為未摻雜半導體層,諸如使用矽(Si)的前驅物的未摻雜矽層,該矽(Si)的前驅物諸如二氯矽烷(SiH 2Cl 2)(其在本文中亦可稱為DCS)、矽烷(SiH 4)、二矽烷(Si 2H 6)等。在一些實施例中,矽前驅物可以約50 sccm至約150 sccm的流速流入反應腔室。然而,亦可以使用任何合適的流速。
此外,為了幫助形成第一源極/汲極阻障層403,亦可以添加蝕刻前驅物,以便在第一源極/汲極阻障層403生長時蝕刻其一些部分(例如,沿晶體取向選擇性蝕刻)。在一些實施例中,蝕刻前驅物可為蝕刻劑,諸如鹽酸(HCl)等,並且可以約50 sccm至約150 sccm之間的流速流動。然而,亦可以使用任何合適的蝕刻前驅物。
根據一些實施例,用於形成第一源極/汲極阻障層403的沉積製程可使用約600℃至約800℃之間的製程溫度來執行。此外,根據一些實施例,用於形成第一源極/汲極阻障層403的沉積製程可使用約10托至約30托之間的製程壓力來執行。亦可使用其他沉積製程或製程參數。
根據一些實施例,繼續沉積製程,直到第一源極/汲極阻障層403在第一開口301的底部形成至約10 nm至約20 nm之間的第一厚度Th1。根據一些實施例,第一源極/汲極阻障層403可形成為第二厚度Th2與第一厚度Th1的第一厚度比介於約1:1與約1:10之間。然而,亦可以使用任何合適的厚度及比率。在一些實施例中,第一源極/汲極阻障層403沿著第一開口301的側壁形成到距離犧牲層312中最底部一層的第六距離Dist6處的點。根據一些實施例,第六距離Dist6為至少2 nm的距離。然而,亦可以使用任何合適的距離。在所示實施例中,第一源極/汲極阻障層403在第一開口301的底部形成為彎月面狀結構。然而,第一源極/汲極阻障層403可以形成為任何合適的形狀結構。
第5圖根據一些實施例圖示在第一源極/汲極阻障層403上形成第一磊晶生長層501,作為形成多層源極/汲極區域401的中間步驟。一旦形成第一源極/汲極阻障層403,則使用磊晶生長製程在第一開口301的底部並沿著暴露在第一開口301的側壁處的奈米結構313及內部間隔物303的表面形成第一磊晶生長層501。根據一些實施例,使用諸如磊晶生長、氣相磊晶(vapor-phase epitaxy, VPE)、分子束磊晶(molecular beam epitaxy, MBE)、上述各者之組合等沉積技術形成第一磊晶生長層501。然而,亦可以使用任何合適的沉積製程。此外,第一磊晶生長層501使用適於形成第一源極/汲極阻障層403的矽(Si)的任何前驅物(例如,DCS)及使用鍺(Ge)的前驅物(諸如鍺烷(GeH 4)、其他鍺前驅物等)形成。然而,亦可以使用其他材料,諸如適合形成第二層123的彼等材料,諸如鹽酸(HCl)、磷烷(PH 3)、砷烷(AsH 3)、二硼烷(B 2H 6)等,或其組合。可使用摻雜劑(例如,硼(B))摻雜第一磊晶生長層501。然而,亦可以使用任何合適的摻雜劑。
根據一些實施例,第一磊晶生長層501形成為矽鍺(SiGe)材料層中鍺的第一體積濃度百分比(Ge%1)。根據一些實施例,鍺的第一百分比濃度(Ge%1)在第一體積濃度範圍內,其中10%≦Ge%1<30%。根據一些實施例,鍺的第一體積濃度百分比(Ge%1)表示鍺(Ge)在整個第一磊晶生長層501的結構中的恆定濃度位準。在其他實施例中,鍺的第一百分比濃度(Ge%1)表示鍺(Ge)在整個第一磊晶生長層501的結構中的濃度位準梯度。例如,第一磊晶生長層501的結構可以具有濃度位準梯度,其中鍺的濃度位準隨著與基板101的距離的增加而增加。因此,鍺(Ge)的濃度位準梯度可以從與第一源極/汲極阻障層403界面接觸的第一磊晶生長層501底部的10%到第一磊晶生長層501頂部的約30%。在此類實施例中,可藉由調節用於形成第一磊晶生長層501的前驅物的一或多個流速並在沉積期間增加鍺的百分比濃度,在生長期間形成濃度位準梯度。
根據一些實施例,第一磊晶生長層501使用n型摻雜劑(例如,硼(B))輕摻雜(例如,體積濃度在約2x10 20cm -3與約3x10 20cm -3之間)。然而,亦可以使用任何合適的n型摻雜劑。根據一些實施例,可在第一磊晶生長層501的生長期間放置第一磊晶生長層501的摻雜劑。例如,可以在形成第一磊晶生長層501時原位放置n型摻雜劑。然而,可以利用用於將摻雜劑放置在第一磊晶生長層501內的任何合適製程,並且所有此類製程完全意欲包括在實施例的範圍內。
此外,可以控制沉積製程,以使得在自下而上的沉積製程中,第一磊晶生長層501從第一源極/汲極阻障層403在第一開口301的底部並沿第一開口301的側壁磊晶生長。例如,可以藉由調節沉積製程中使用的前驅物的流速及製程區域,沿著第一開口301的側壁形成及成形第一磊晶生長層501。在奈米結構313與如上所述的第一通道輪廓307形成的實施例中,第一磊晶生長層501符合奈米結構313及內部間隔物303的形狀,其可向奈米結構313提供特定應力。
根據一些實施例,用於形成第一磊晶生長層501的沉積製程可使用約500℃至約800℃之間的製程溫度來執行。此外,根據一些實施例,用於形成第一磊晶生長層501的沉積製程可使用約5托與約300托之間的製程壓力來執行。可將氣體及/或前驅物以約10 sccm至約2000 sccm的速率流入處理腔室,並持續約50秒至約3000秒的時間。亦可使用其他沉積製程或製程參數。根據一些實施例,繼續沉積製程,直到第一磊晶生長層501在第一開口301的底部生長到約5 nm到約20 nm之間的第三厚度Th3,及沿第一開口301的側壁生長到約4 nm與約6 nm之間的第四厚度Th4。根據一些實施例,第一磊晶生長層501可形成為介於約1:1與約1:10之間的第四厚度Th4與第三厚度Th3的第二厚度比。根據一些實施例,第一磊晶生長層501可以生長到第一源極/汲極阻障層403上方的第一高度H1。在一些實施例中,第一磊晶生長層501可形成在最上面的奈米結構313上方並沿著上部間隔物219的側壁形成。根據一些實施例,第一高度H1為約60 nm與約80 nm之間的高度。然而,亦可以使用任何合適的高度。
第6圖根據一些實施例圖示在第一開口301中形成第二磊晶生長層601。一旦已經形成第一磊晶生長層501,則可以在第一磊晶生長層501上形成第二磊晶生長層601。根據一些實施例,使用適於形成如上所述的第一磊晶生長層501的任何沉積製程及矽(Si)與鍺(Ge)的前驅物,形成第二磊晶生長層601。在一些實施例中,用於第二磊晶生長層601的前驅物與用於第一磊晶生長層501的前驅物相同。根據一些實施例,使用諸如DCS及鍺烷(GeH 4)的前驅物形成第二磊晶生長層601。然而,亦可以使用其他材料,諸如適於形成第一磊晶生長層501的彼等材料,諸如鹽酸(HCl)、磷烷(PH 3)、砷烷(AsH 3)、二硼烷(B 2H 6)等,或其組合。在一些實施例中,第二磊晶生長層601經摻雜有n型摻雜劑(例如,硼(B))。然而,亦可以使用其他摻雜劑。
根據一些實施例,第二磊晶生長層601形成為矽鍺(SiGe)材料層中鍺的第二體積濃度百分比(Ge%2)。在一些實施例中,鍺的第二體積濃度百分比(Ge%2)可在第二體積濃度範圍之內,其中25%≦Ge%2≦45%。根據一些實施例,鍺的第二體積濃度百分比(Ge%2)表示鍺(Ge)在整個第二磊晶生長層601的結構中的恆定濃度位準。在其他實施例中,鍺的第二濃度百分比(Ge%2)表示鍺(Ge)在整個第二磊晶生長層601的結構中的濃度位準梯度。例如,第二磊晶生長層601的結構可以具有濃度位準梯度,其中鍺的濃度位準隨著與基板101的距離的增加而增加。因此,鍺(Ge)的濃度位準梯度可以從與第一磊晶生長層501界面接觸的第二磊晶生長層601底部的25%到第二磊晶生長層601頂部的約45%。在此類實施例中,可藉由調節用於形成第二磊晶生長層601的前驅物的一或多個流速並在沉積期間增加鍺的百分比濃度,在生長期間形成濃度位準梯度。
在一些實施例中,使用適於形成第一磊晶生長層501的任何沉積技術(例如,磊晶生長、VPE、MBE、上述各者之組合等)磊晶生長第二磊晶生長層601。此外,根據一些實施例,沉積製程可在約400℃至約800℃之間的製程溫度下進行。此外,沉積製程可在約1托與約760托之間的製程壓力下進行。然而,可以利用任何合適的溫度及壓力來形成第二磊晶生長層601。在一些實施例中,控制沉積製程,以使得第二磊晶生長層601從第一磊晶生長層501磊晶生長,以在自下而上製程中將第一開口301內的剩餘空間填充到第二高度H2。根據一些實施例,第二高度H2為約30 nm與約60 nm之間的高度,以及第五厚度Th5為約10 nm與約20 nm之間的厚度。然而,亦可以使用任何合適的高度及厚度。
根據實施例,與第一磊晶生長層501的輕摻雜材料相比,第二磊晶生長層601為重摻雜。在一些實施例中,第二磊晶生長層601使用n型摻雜劑(例如,硼(B))重摻雜(例如,體積濃度在約8x10 20cm -3及約1.2x10 21cm -3之間)。然而,亦可以使用任何合適的n型摻雜劑。
根據一些實施例,可在第二磊晶生長層601生長期間放置第二磊晶生長層601的摻雜劑。例如,可在第二磊晶生長層601磊晶生長時原位放置n型摻雜劑。在其他實施例中,形成之後例如使用合適的摻雜劑植入技術,可將摻雜劑放置在第二磊晶生長層601中。然而,可以使用用於將摻雜劑放置在第二磊晶生長層601內的任何合適製程,並且所有此類製程完全意欲包括在實施例的範圍內。此外,可以執行單獨的退火製程及/或組合退火製程以活化第一磊晶生長層501及第二磊晶生長層601內的摻雜劑。
第7圖根據一些實施例圖示在第一開口301中形成第三磊晶生長層699。一旦形成了第二磊晶生長層601,第三磊晶生長層699可以形成在第二磊晶生長層601上。根據一些實施例,使用如上所述適於形成第一磊晶生長層501的任何沉積製程及矽(Si)與鍺(Ge)的前驅物,形成第三磊晶生長層699。在一些實施例中,用於第三磊晶生長層699的前驅物與用於第二磊晶生長層601的前驅物相同。根據一些實施例,第三磊晶生長層699使用諸如DCS及鍺(GeH 4)的前驅物形成。然而,亦可以使用其他材料,例如適合形成第二磊晶生長層601的彼等材料,諸如鹽酸(HCl)、磷烷(PH 3)、砷烷(AsH 3)、二硼烷(B 2H 6)等,或上述材料之組合。在一些實施例中,第三磊晶生長層699經摻雜有n型摻雜劑(例如,硼(B))。然而,亦可以使用其他摻雜劑。
根據一些實施例,第三磊晶生長層699形成為矽鍺(SiGe)材料層中鍺的第三體積濃度百分比(Ge%3)。在一些實施例中,鍺的第三體積濃度百分比(Ge%3)可在第三體積濃度範圍內,其中45%≦Ge%3≦65%。根據一些實施例,鍺的第三濃度體積百分比(Ge%3)表示鍺(Ge)在整個第三磊晶生長層699的結構中的恆定濃度位準。在其他實施例中,鍺的第三濃度百分比(Ge%3)表示鍺(Ge)在整個第三磊晶生長層699的結構中的梯度濃度位準。例如,第三磊晶生長層699的結構可以具有濃度位準梯度,其中鍺的濃度位準隨著與基板101的距離的增加而增加。因此,鍺(Ge)的濃度位準梯度可從與第二磊晶生長層601界面接觸的第三磊晶生長層699底部的45%到第三磊晶生長層699頂部的約65%。在此類實施例中,可藉由調節用於形成第三磊晶生長層699的前驅物的一或多個流速,在生長期間形成濃度位準梯度,並在沉積期間增加鍺的百分比濃度。
在一些實施例中,使用適於形成第二磊晶生長層601的任何沉積技術(例如,磊晶生長、VPE、MBE、組合等)磊晶生長第三磊晶生長層699。此外,根據一些實施例,沉積製程可在約400℃至約800℃之間的製程溫度下進行。此外,沉積製程可在約1托與約760托之間的製程壓力下進行。然而,可以利用任何合適的溫度及壓力來形成第三磊晶生長層699。在一些實施例中,控制沉積製程,以使得第三磊晶生長層699從第二磊晶生長層601磊晶生長,以在自下而上製程中將第一開口301內的剩餘空間填充到第三高度H3。根據一些實施例,第三高度H3為約5 nm與約7 nm之間的高度。然而,亦可以使用任何合適的高度及厚度。根據一些實施例,第三磊晶生長層699的上部部分699a可在上部間隔物219之間形成具有可選的小面形狀。
根據實施例,與第二磊晶生長層601的重摻雜材料相比,第三磊晶生長層699經重摻雜或甚至更重摻雜。在一些實施例中,第三磊晶生長層699經更重地摻雜(例如,使用n型摻雜劑(例如,硼(B)),按容積計濃度介於約8x10 20cm -3及約1.2x10 21cm -3之間)。然而,可以使用任何合適的n型摻雜劑。在一些實施例中,第三磊晶生長層699的摻雜劑濃度與第二磊晶生長層601的摻雜劑濃度相同。在一些其他實施例中,第三磊晶生長層699的摻雜劑濃度大於第二磊晶生長層601的摻雜劑濃度。
根據一些實施例,可在第三磊晶生長層699生長期間放置第三磊晶生長層699的摻雜劑。例如,可在第三磊晶生長層699磊晶生長時原位放置n型摻雜劑。在其他實施例中,在形成後使用例如合適的摻雜劑植入技術,可將摻雜劑置於第三磊晶生長層699中。然而,可使用用於將摻雜劑放置在第三磊晶生長層699內的任何合適製程,並且所有此類製程完全意欲包括在實施例的範圍內。此外,可以執行單獨的退火製程及/或組合退火製程以活化第一磊晶生長層501、第二磊晶生長層601及第三磊晶生長層699內的摻雜劑。因此,多層源極/汲極區域491在第一開口301內形成,並準備進行進一步處理。
第8圖根據一些實施例圖示準備最終形成半導體裝置的閘極觸點及源極/汲極觸點的中間步驟的橫截面圖。特定而言,第8圖根據一些實施例圖示接觸蝕刻終止層701及第一層間介電層703的形成。一旦第三磊晶生長層699的材料已沉積,則進行可選蝕刻製程(例如,濕蝕刻)以使第三磊晶生長層699凹陷及/或平坦化。因此,可將第三磊晶生長層699減小至第四高度H4,並且可去除至少一些上部部分699a。第四高度H4可為約2 nm至約5 nm之間的高度。然而,亦可使用任何適當高度。
一旦形成了第三磊晶生長層699,接觸蝕刻終止層701就在多層源極/汲極區域401上,沿著上部間隔物219的側壁,並且在暴露於中間結構頂部的材料頂部上形成。接觸蝕刻終止層701在後續蝕刻製程中用作蝕刻終止層,並可包括合適的材料,諸如氮化矽(SiN)、氮氧化矽(SiON)、氮碳化矽(SiCN)、其組合等,並可藉由合適的形成方法形成,諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、其組合等。
第一層間介電層703形成在接觸蝕刻終止層701上,並填充及/或過度填充第一開口301中的剩餘空間。第一層間介電層703可包括諸如二氧化矽的材料、低介電常數介電材料(例如,介電常數低於二氧化矽的材料),諸如氧氮化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼磷矽酸鹽玻璃(BPSG)、未摻雜矽酸鹽玻璃(USG)、氟化矽酸鹽玻璃(FSG)、有機矽酸鹽玻璃(OSG)、SiO xC y、旋塗式玻璃、旋塗式聚合物、矽碳材料、上述各者之化合物、其複合物等或其組合,但亦可使用任何合適的介電質。可使用諸如電漿增強化學氣相沉積(PECVD)等製程形成第一層間介電層703,但亦可使用其他製程,如低壓化學氣相沉積(LPCVD)。
一旦形成,第一層間介電層703及接觸蝕刻終止層701可使用諸如化學機械平坦化(CMP)的平坦化製程,使用虛設閘極電極213及上部間隔物219平坦化。然而,亦可使用任何合適的平坦化製程。此外,在第一層間介電層703的平坦化製程期間,可去除先前未去除的第一硬遮罩及/或第二硬遮罩的任何剩餘部分。根據一些實施例,一個或多個蝕刻製程及/或化學機械平坦化(CMP)可用於去除第一硬遮罩及第二硬遮罩的任何剩餘部分。因此,在平坦化製程之後暴露虛設閘極電極213。
第9圖根據一些實施例圖示形成半導體裝置的閘極電極的中間步驟的橫截面圖。特定而言,第9圖根據一些實施例圖示去除虛設閘極電極213、虛設閘極介電質209及犧牲層312以準備最終形成閘極電極。
一旦暴露,可去除虛設閘極電極213以暴露底層虛設閘極介電質209。在一實施例中,使用例如一個或多個利用對虛設閘極電極213的材料具有選擇性的蝕刻劑的濕或乾蝕刻製程,去除虛設閘極電極213。然而,可利用任何合適的去除製程。
一旦虛設閘極介電質209已經暴露,去除虛設閘極介電質209以暴露圖案化多層堆疊205中的底層多層通道區域。在一實施例中,可以使用例如對虛設閘極介電質209的材料具有選擇性並對奈米結構313及犧牲層312的底層材料基本上較少選擇性或非選擇性的蝕刻劑的濕蝕刻製程,來去除虛設閘極介電質209,但亦可以使用任何合適的蝕刻製程。
一旦去除虛設閘極介電質209,先前被虛設閘極介電質209覆蓋的犧牲層312的側面暴露在第二開口901內。因此,可以在導線釋放製程步驟中去除犧牲層312。導線釋放製程步驟亦可以稱為片釋放製程步驟、片形成製程步驟、奈米片形成製程步驟或導線形成製程步驟。在一實施例中,可使用選擇性去除犧牲層312(例如,矽鍺(SiGe))材料的濕蝕刻製程去除犧牲層312,而不顯著去除基板101的材料及奈米結構313的材料(例如,矽(Si))。然而,可以使用任何合適的去除製程。
例如,在一實施例中,諸如高溫HCl的蝕刻劑可用於選擇性地去除犧牲層312的材料(例如SiGe),而無需實質性地去除基板101的材料及/或奈米結構313的材料(例如Si)。此外,濕蝕刻製程可在約400℃至約600℃的溫度下進行,並持續約100秒至約600秒的時間。然而,可使用任何合適的蝕刻劑、製程參數及時間。
藉由去除犧牲層312的材料,暴露奈米結構313的側面。奈米結構313藉由內部間隔物303彼此分離。根據一些實施例,奈米結構313以約5 nm與約15 nm之間的間距分離。奈米結構313包括多層源極/汲極區域401的相對區域之間的通道區域,並具有約5 nm至約180 nm的通道長度及約8 nm至約100 nm的通道寬度。在一實施例中,奈米結構313形成為具有與第二層123的原始厚度相同的厚度(諸如,約5 nm至約10 nm之間的厚度),但亦可利用蝕刻製程來減小厚度。
此外,儘管第9圖圖示奈米結構堆疊311中三個奈米結構313的形成,但任何適當數目的奈米結構313可由多層堆疊119中提供的奈米片形成。例如,多層堆疊119可形成為包括任何適當數目的第一層121及任何適當數目的第二層123。因此,在去除犧牲層312後,包括較少的第一層121及較少的第二層123的多層堆疊119形成一個或兩個奈米結構313。然而,在去除犧牲層312後,包括許多第一層121及許多第二層123的多層堆疊119,形成四個或更多奈米結構313。
第10圖根據一些實施例圖示第一半導體裝置800的橫截面圖。特定而言,第10圖根據一些實施例圖示在第二開口901內形成閘極介電質801及閘極電極803。
在一個實施例中,閘極介電質801包括高介電常數材料(例如,K>=9),諸如Ta 2O 5、Al 2O 3、Hf氧化物、Ta氧化物、Ti氧化物、Zr氧化物、Al氧化物、La氧化物(例如,HfO 2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、TiO),上述材料的組合或類似物,該等材料透過諸如原子層沉積、化學氣相沉積等製程沉積。在一些實施例中,閘極介電質801包括在形成金屬含量高介電常數(例如,K值>13)介電材料之前最初形成的氮摻雜氧化物介電質。閘極介電質801可以沉積到約1 nm與約3 nm之間的厚度,但亦可以使用任何合適的材料及厚度。如圖所示,閘極介電質801捲繞奈米結構313,從而在第二磊晶生長層601之間形成全環繞閘極通道。
一旦形成閘極介電質801,形成閘極電極803以包圍奈米結構313並用作第一半導體裝置800的全環繞閘極電極。在一些實施例中,使用多層形成閘極電極803,每一層使用高保形沉積製程(諸如原子層沉積)依序地彼此相鄰沉積,但亦可以使用任何合適的沉積製程。根據一些實施例,閘極電極803可以包括覆蓋層、阻障層、n型金屬功函數層、p型金屬功函數層及填充材料。
覆蓋層可在閘極介電質801附近形成,並且可由金屬材料形成,諸如TaN、Ti、TiAlN、TiAl、Pt、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氧氮化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、上述材料的組合或類似物。金屬材料可使用沉積製程(諸如原子層沉積、化學氣相沉積等)沉積,但亦可使用任何合適的沉積製程。
阻障層可在覆蓋層附近形成,並且可由不同於覆蓋層的材料形成。例如,阻障層可由諸如一或多層金屬材料之材料形成,該材料諸如TiN、TaN、Ti、TiAlN、TiAl、Pt、TaC、TaCN、TaSiN、Mn、Zr、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯及上述材料的組合等。可使用沉積製程(諸如原子層沉積、化學氣相沉積等)沉積阻障層,儘管可以使用任何合適的沉積製程。
n型金屬功函數層可鄰近阻障層形成。在一實施例中,n型金屬功函數層為諸如W、Cu、AlCu、TiAlC、TiAlN、TiAl、Pt、Ti、TiN、Ta、TaN、Co、Ni、Ag、Al、TaAl、TaAlC、TaCN、TaSiN、Mn、Zr、其他適當n型功函數材料或其組合之材料。例如,可利用原子層沉積(ALD)製程、CVD製程等沉積第一n型金屬功函數層。然而,可利用任何合適的材料及製程來形成n型金屬功函數層。
p型金屬功函數層可與n型金屬功函數層相鄰形成。在一實施例中,第一p型金屬功函數層可由金屬材料形成,諸如W、Al、Cu、TiN、TiAlN、TiAl、TiAl、Pt、Ta、TaN、Co、Ni、TaC、TaCN、TaSiN、TaSi 2、NiSi 2、Mn、Zr、ZrSi 2、TaN、Ru、AlCu、Mo、MoSi 2、WN、其他金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬氮氧化物、金屬鋁化物、矽酸鋯、鋁酸鋯及其組合等。此外,可使用諸如原子層沉積、化學氣相沉積等的沉積製程來沉積p型金屬功函數層,儘管可以使用任何合適的沉積製程。
一旦形成p型金屬功函數層,則沉積填充材料以填充開口的剩餘部分。在一實施例中,填充材料可為諸如鎢、Al、Cu、AlCu、W、Ti、TiAlN、TiAl、Pt、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni等材料,並且可以使用諸如電鍍、化學氣相沉積、原子層沉積、物理氣相沉積、上述製程的組合等的沉積製程而形成。然而,亦可以使用任何合適的材料。
一旦藉由去除虛設閘極電極213而留下的第二開口901被填充,閘極電極803及閘極介電質801的材料可經平坦化,以便去除閘極電極803及閘極介電質801在開口外部的材料。在特定實施例中,可以使用諸如化學機械研磨的平坦化製程來執行去除步驟,儘管可利用任何適當的平坦化及去除製程。
一旦形成,可執行可選的凹陷處理以將閘極電極803凹陷至第一層間介電層703的平坦化表面下方。可選的凹陷處理可使用諸如濕蝕刻、乾蝕刻、上述製程之組合等蝕刻製程來執行。一旦凹陷,可選的閘極蓋(未示出)可藉由初始在閘極電極803上沉積介電材料以填充及/或過度填充凹槽而在凹槽中形成。在一些實施例中,可選閘極蓋使用介電材料形成,諸如氮化矽(SiN)、氧化物(OX)、氧氮化矽(SiON)、碳氮氧化矽(SiOCN),氮碳化矽(SiCN)或類似物。根據一些實施例,可選閘極蓋使用諸如鋯(Zr)、鉿(Hf)、鋁(Al)等材料的金屬氧化物形成。此外,可選閘極蓋可使用諸如化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)等的適當沉積製程形成。然而,可以使用任何合適的材料及沉積製程。一旦沉積,可選的閘極蓋、上部間隔物219、接觸蝕刻終止層701及第一層間介電層703可以使用平坦化製程(諸如化學機械拋光製程)平坦化。
第11圖根據一些實施例圖示用於連接至多層源極/汲極區域401的源極/汲極觸點805的形成。一旦形成了閘極電極803,源極/汲極觸點805可以藉由初始在閘極電極803、上部間隔物219、接觸蝕刻終止層701及第一層間介電層703的平面上形成第二層間介電層903來形成。一旦形成了第二層間介電層903,則可以透過第二層間介電層903、第一層間介電層703及接觸蝕刻終止層701形成開口,以使得與多層源極/汲極區域401進行電連接。在一實施例中,源極/汲極觸點805可藉由初始形成穿過第二層間介電層903、第一層間介電層703及接觸蝕刻終止層701的開口來形成,以暴露多層源極/汲極區域401。可使用例如合適的光微影遮罩及蝕刻製程形成開口。
根據一些實施例,可使用諸如鈦、鎳、鈷或鉺的適當材料來執行可選的矽化製程,以減小源極/汲極觸點805的肖特基阻障高度。然而,其他金屬,例如鉑、鈀等,亦可用於可選的矽化製程。在一些實施例中,使用在多層源極/汲極區域401的開口中及暴露區域上方的適當金屬層的毯覆沉積來執行可選的矽化製程。毯覆沉積之後是退火步驟,退火步驟使金屬層與多層源極/汲極區域401的底層暴露材料(例如,矽)反應。隨後去除未反應的金屬,諸如採用選擇性蝕刻製程。根據一些實施例,可選矽化物觸點形成為約5 nm至約50 nm之間的厚度。然而,可以使用任何合適的厚度。
源極/汲極觸點805可藉由將導電材料(諸如W、Al、Cu、Co、Ti、Ta、Ru、TiN、TiAl、TiAlN、TaN、TaC、NiSi、CoSi、上述材料之組合,或類似項)沉積到開口中以及多層源極/汲極區域401的暴露區域上方或可選矽化物觸點(若存在)上方來形成。導電材料可使用諸如濺射、化學氣相沉積、電鍍、化學鍍等製程沉積,以填充及/或過度填充開口。填充或過度填充後,可使用平坦化製程(諸如化學機械拋光(CMP))去除沉積在開口外部的任何導電材料。然而,可以使用任何合適的沉積製程及平坦化製程。
可在閘極電極803及/或源極/汲極觸點805上形成進一步的層間介電層(未單獨圖示)及導電特徵(亦未單獨圖示),以提供到第一半導體裝置800的進一步外部連接。其他導電特徵的實例包括但不限於導電通孔、接觸插頭、再分佈層、接觸跡線、積體被動裝置、凸塊下金屬化層及外部觸點。然而,可以利用任何合適的介電層及/或導電特徵,並且所有這些特徵完全意圖包括在實施例的範圍內。
第12圖根據其他實施例,圖示在形成半導體裝置的第二多層源極/汲極區域1203的中間步驟中,沿第二源極/汲極阻障層1201的形成的剖面線A-A的橫截面圖。根據一些實施例,第二源極/汲極阻障層1201可形成為第二開口1205底部的介電層。
特定而言,第12圖根據一些實施例圖示作為形成第二多層源極/汲極區域1203的初始步驟的第二開口1205的形成。根據一些實施例,第二開口1205可藉由使用上部間隔物219作為遮罩並執行第二凹槽蝕刻製程(未示出)來形成,以在第二多層源極/汲極區域1203的期望位置選擇性地去除圖案化多層堆疊205及/或基板101的材料。因此,第二開口1205在虛擬閘極堆疊211下方的多層通道區域內將圖案化多層堆疊205劃分為一系列奈米結構堆疊311。奈米結構堆疊311包括第一層121(第12圖中重新標記的犧牲層312)及第二層123(第12圖中重新標記的奈米結構313)。
在一些實施例中,第二開口1205可從一系列奈米結構堆疊311的頂部延伸並進入基板101,延伸至約40 nm至約70 nm之間的第五深度D5。根據一些實施例,第二開口1205由具有淺凹圓形狀的第二凹槽輪廓1207形成。在一些實施例中,第二凹槽輪廓1207在基板101頂部具有第二寬度W2,並延伸進基板101中至第六深度D6。根據一些實施例,可使用具有電漿源(CF 4)及功率偏壓的各向異性乾蝕刻來形成第二凹槽輪廓1207的淺凹圓形狀。因此,第二凹槽輪廓1207形成為具有淺凹圓形狀,其中基板101頂部具有第二寬度W2,及介於約10 nm與約15 nm之間的第六深度D6。然而,任何合適的形狀、寬度及深度可用於第二凹槽輪廓1207。
在一些實施例中,第二源極/汲極阻障層1201可在第二自下而上沉積製程1250中磊晶生長,在第二開口1205的底部並沿第二開口1205的側壁形成。根據一些實施例,第二源極/汲極阻障層1201可以在第二開口1205的底部使用諸如矽氧化物(SiO x)、碳氮氧化矽(SiOCN)、氮化矽(SiN x)、上述材料之組合等形成為介電層。
在第二源極/汲極阻障層1201包括氧化矽的特定實施例中,第二源極/汲極阻障層1201可使用諸如二氯矽烷(SiH 2Cl 2)之矽(Si)的前驅物,該矽(Si)的前驅物在本文中亦可稱為DCS,矽烷(SiH 4)、二矽烷(Si 2H 6)等,以及氧化劑,諸如氧、臭氧等形成。在一些實施例中,矽前驅物可以約50 sccm至約150 sccm的流速流入反應腔室。此外,氧化前驅物可(同時或依序地)以約30 sccm至約50 sccm的流速流入反應腔室。然而,可以使用任何合適的流速。
根據一些實施例,用於形成第二源極/汲極阻障層1201的沉積製程可使用約700℃至約800℃之間的製程溫度來執行。此外,根據一些實施例,用於形成第二源極/汲極阻障層1201的沉積製程可使用約10托與約50托之間的製程壓力來執行。沉積製程可持續約200秒至約500秒之間的時間段。亦可使用其他沉積製程或製程參數。
根據一些實施例,繼續沉積製程,直到在第一開口301的底部將第二源極/汲極阻障層1201形成至約2 nm至約5 nm之間的第六厚度Th6。另外,可以控制沉積製程,以使得第二源極/汲極阻障層1201沿著第二開口1205的側壁形成至約2 nm至約5 nm之間的第七厚度Th7。根據一些實施例,第二源極/汲極阻障層1201可形成為具有約1:1至約1:10之間的第七厚度Th7對第六厚度Th6的第二厚度比。然而,可以使用任何合適的厚度及比率。在一些實施例中,第二源極/汲極阻障層1201沿著第二開口1205的側壁形成至距離犧牲層312中最底部一層的第六距離Dist6處的點。在所示實施例中,第二源極/汲極阻障層1201在第二開口1205的底部形成為彎月面狀結構。然而,第二多層源極/汲極區域1203可以形成為任何合適的形狀結構。
第13圖根據一些實施例圖示在第二開口1205內的第二源極/汲極阻障層1201上方的第二多層源極/汲極區域1203的剩餘層的形成。例如,第一磊晶生長層501可以形成在第二源極/汲極阻障層1201上,第二磊晶生長層601可以形成在第一磊晶生長層501上,第三磊晶生長層699可使用上述任一相應材料及製程在第二磊晶生長層601上形成,以在第一開口301內形成多層源極/汲極區域401的相應層。因此,第二多層源極/汲極區域1203形成在第二開口1205內。一旦形成了第二源極/汲極區域1203,可在第二多層源極/汲極區域1203上形成接觸蝕刻終止層701及第一層間介電層703,如上文關於多層源極/汲極區域401闡述。
藉由利用第一源極/汲極阻障層403或第二源極/汲極阻障層1201,可以減小裝置的總電阻。特定而言,由於裝置內的雜散電容(例如,接觸插頭與閘極電極(Cco)之間的電容、磊晶區域與閘極電極(Cof)之間的電容、通道與閘極電極(Cov)之間的重疊電容、及源極/汲極區域與底層基板(Cj)之間的接面電容)降低了整體效能,任何該等電容的減小都將減小總電容。因此,藉由插入第一源極/汲極阻障層403或第二源極/汲極阻障層1201,可以減小接面電容,從而提高裝置的整體效能。
第14圖圖示利用第二源極/汲極阻障層1201的電容減少及由此產生的改進。可以看出,藉由如上所述利用第二多層源極/汲極區域1203,具有第二源極/汲極阻障層1201的裝置的汲極誘導阻障降低曲線(由標記為1401的線表示)可以相對於沒有第二源極/汲極阻障層1201的裝置移動(由標記為1403的線表示)。因此,可以提高輸出電阻(output resistance, RO)效能。
根據實施例,一種方法包括:形成穿過多層堆疊並進入基板的開口;在開口的底部沉積阻障層,其中在沉積阻障層之後,阻障層的頂層位於多層堆疊的底部下方;藉由在阻障層上沉積第一半導體材料於阻障層上形成多層源極/汲極區域;以及藉由去除多層堆疊的犧牲層形成多個奈米結構的堆疊,此多層源極/汲極區域電耦合至這些奈米結構的堆疊。在一實施例中,方法進一步包括:沿開口側壁以自下而上製程沉積阻障層,頂層保持在多層堆疊底部下方至少2 nm。在一實施例中,沉積阻障層包括在開口的底部形成未摻雜矽材料。在一實施例中,形成未摻雜矽材料的步驟在開口的底部形成未摻雜矽材料至厚度至少10 nm。在一實施例中,沉積阻障層的步驟沉積介電材料。在一實施例中,沉積阻障層在開口的底部沉積介電材料至厚度不超過5 nm。在一實施例中,形成多層源極/汲極區域包括形成具有第一摻雜劑濃度的第一半導體材料及在第一半導體材料上沉積第二半導體材料,第二半導體材料被形成具有大於第一摻雜劑濃度的第二摻雜劑濃度。
根據另一實施例,一種方法包括:在基板上形成多層結構;在多層結構及基板中形成開口,其中在形成開口後,多層結構的第一層沿著開口的側壁暴露;使用矽前驅物沿著開口的底部形成阻障結構,其中在形成阻障結構期間,阻障結構保持在多層結構的底部下方;以及藉由使用矽前驅物及第一摻雜劑前驅物沉積第一半導體材料及第一摻雜劑,在阻障結構上形成源極/汲極區域的第一層,此源極/汲極區域的第一層與多層結構形成界面。在形成阻障結構的實施例中,阻障結構的頂部保持在多層結構的第一層下方至少2 nm。在形成開口後的實施例中,開口延伸進基板中至少20 nm。在一實施例中,使用矽前驅物形成阻障結構包括執行未摻雜矽材料的自下而上沉積。在一實施例中,執行自下而上沉積,直到在開口底部形成厚度至少10 nm的未摻雜矽材料。在一實施例中,執行自下而上沉積,直到在開口底部形成厚度至少2 nm的介電材料。在一實施例中,形成阻障結構包括使用矽前驅物及第二前驅物。
在又一實施例中,半導體裝置包括:基板上的多層通道;嵌入基板內的源極/汲極阻障結構,此源極/汲極阻障結構的頂部低於多層通道的位準至少2 nm;以及在源極/汲極阻障結構上並與之接觸的多層源極/汲極區域。在一實施例中,源極/汲極阻障結構包括未摻雜矽材料。在一實施例中,源極/汲極阻障結構底部的第一厚度大於源極/汲極阻障結構頂部的第二厚度。在一實施例中,源極/汲極阻障結構的第一厚度為至少10 nm。在一實施例中,源極/汲極阻障結構包括介電材料。在一實施例中,源極/汲極阻障結構的厚度在2 nm與5 nm的範圍內。
上文概述了幾個實施例的特徵,以便熟習該項技術者可以更好地理解本揭示之各個態樣。熟習該項技術者應當理解,他們可以容易地使用本揭示作為設計或修改其他製程及結構的基礎,以實現本文介紹的實施例的相同目的及/或實現其相同優點。熟習該項技術者還應認識到,此類等效構造不脫離本揭示之精神及範疇,並且其可在不脫離本揭示之精神及範疇的情況下對本文進行各種改變、替換及變更。
100:多層結構 101:基板 119:多層堆疊 121:第一層 123:第二層 200:中間結構 201:溝槽 203:隔離區域 205:圖案化的多層堆疊 209:虛設閘極介電質 211:虛設閘極堆疊 213:虛設閘極電極 219:上部間隔物 301:第一開口 303:內部間隔物 305:第一凹槽輪廓 307:第一通道輪廓 311:奈米結構堆疊 312:犧牲層 313:奈米結構 317:側壁輪廓 319:部分 350:第一凹槽蝕刻製程 401:多層源極/汲極區域 403:第一源極/汲極阻障層 501:第一磊晶生長層 601:第二磊晶生長層 699:第三磊晶生長層 699a:上部部分 701:接觸蝕刻終止層 703:第一層間介電層 800:第一半導體裝置 801:閘極介電質 803:閘極電極 805:源極/汲極觸點 901:第二開口 903:第二層間介電層 1201:第二源極/汲極阻障層 1203:第二多層源極/汲極區域 1205:第二開口 1207:第二凹槽輪廓 1250:第二由下而上沉積製程 1401:線 1403:線 A:點 D1:第一深度 D2:第二深度 D3:第三深度 D4:第四深度 D5:第五深度 D6:第六深度 Dist1:第一距離 Dist2:第二距離 Dist3:第三距離 Dist4:第四距離 Dist5:第五距離 Dist6:第六距離 H1:第一高度 H2:第二高度 H3:第三高度 H4:第四高度 Th1:第一厚度 Th2:第二厚度 Th3:第三厚度 Th4:第四厚度 Th5:第五厚度 Th7:第七厚度 W1:第一寬度 W2:第二寬度
當結合附圖閱讀時,根據以下詳細描述可最佳地理解本揭示案的態樣。應注意,根據工業標準實踐,各種特徵並未按比例繪製。事實上,為論述清楚起見,各特徵的尺寸可任意地增加或縮小。 第1圖根據一些實施例,以透視圖圖示用於形成積體電路裝置的多層結構。 第2圖根據一些實施例,圖示作為形成積體電路裝置的中間步驟,在多層結構中形成的圖案化多層堆疊上形成虛設閘極堆疊及上部間隔物的透視圖。 第3A圖及第3B圖根據一些實施例圖示在形成半導體裝置的中間步驟中使用的第一凹槽蝕刻製程的橫截面圖。 第4圖至第8圖根據一些實施例圖示在第一凹槽蝕刻製程中形成的開口內形成多層源極/汲極區域、接觸蝕刻終止層及第一層間介電層的中間步驟的橫截面圖。 第9圖根據一些實施例圖示在形成半導體裝置的閘極電極的初始步驟中的虛設閘極堆疊移除製程的橫截面圖。 第10圖根據一些實施例圖示在第一半導體裝置中形成閘極介電層及閘極電極的橫截面圖。 第11圖根據一些實施例圖示第一半導體裝置中形成第二層間介電層及源極/汲極電極的橫截面圖。 第12圖及第13圖根據一些其他實施例圖示形成半導體裝置的第二多層源極/汲極區域的中間步驟的橫截面圖。 第14圖根據進一步實施例圖示DIBL效應及RO效能的圖表。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
101:基板
219:上部間隔物
303:內部間隔物
311:奈米結構堆疊
313:奈米結構
401:多層源極/汲極區域
403:第一源極/汲極阻障層
501:第一磊晶生長層
601:第二磊晶生長層
701:接觸蝕刻終止層
703:第一層間介電層
800:第一半導體裝置
801:閘極介電質
803:閘極電極
805:源極/汲極觸點
903:第二層間介電層

Claims (20)

  1. 一種方法,包括: 形成穿過一多層堆疊並進入一基板的一開口; 在該開口的一底部沉積一阻障層,其中在沉積該阻障層之後,該阻障層的一頂層位於該多層堆疊的一底部下方; 藉由在該阻障層上沉積一第一半導體材料,在該阻障層上形成一多層源極/汲極區域;以及 藉由移除該多層堆疊的多個犧牲層來形成多個奈米結構的一堆疊,該多層源極/汲極區域電耦合至該些奈米結構的該堆疊。
  2. 如請求項1所述之方法,進一步包括沿該開口的多個側壁以一自下而上製程沉積該阻障層,該頂層保持在該多層堆疊底部下方至少2 nm處。
  3. 如請求項1所述之方法,其中沉積該阻障層包括:在該開口的該底部形成一未摻雜矽材料。
  4. 如請求項3所述之方法,其中形成該未摻雜矽材料在該開口的該底部形成一厚度至少為10 nm的該未摻雜矽材料。
  5. 如請求項1所述之方法,其中沉積該阻障層沉積一介電材料。
  6. 如請求項5所述之方法,其中沉積該阻障層在該開口的該底部將該介電材料沉積至不超過5 nm的一厚度。
  7. 如請求項1所述之方法,其中形成該多層源極/汲極區域包括:形成具有一第一摻雜劑濃度的該第一半導體材料並在該第一半導體材料上沉積一第二半導體材料,該第二半導體材料經形成具有一第二摻雜劑濃度,該第二摻雜劑濃度大於該第一摻雜劑濃度。
  8. 一種方法,包括: 在一基板上形成一多層結構; 在該多層結構及該基板中形成一開口,其中在形成該開口後,該多層結構的一第一層沿該開口的一側壁暴露; 使用一矽前驅物沿該開口的一底部形成一阻障結構,其中在形成該阻障結構期間,該阻障結構保持在該多層結構的一底部下方;以及 藉由使用該矽前驅物及一第一摻雜劑前驅物沉積一第一半導體材料及一第一摻雜劑,在該阻障結構上形成一源極/汲極區域的一第一層,該源極/汲極區域的該第一層與該多層結構形成一界面。
  9. 如請求項8所述之方法,其中在形成該阻障結構期間,該阻障結構的一頂部保持在該多層結構的該第一層之下至少2 nm處。
  10. 如請求項9所述之方法,其中在形成該開口後,該開口延伸進該基板中至少20 nm。
  11. 如請求項10所述之方法,其中使用該矽前驅物形成該阻障結構包括:執行一未摻雜矽材料的一自下而上沉積。
  12. 如請求項11所述之方法,其中執行該自下而上沉積,直到在該開口的一底部形成一厚度至少為10 nm的該未摻雜矽材料。
  13. 如請求項11所述之方法,其中執行該自下而上沉積,直到在該開口的一底部形成一厚度至少為2 nm的一介電材料。
  14. 如請求項9所述之方法,其中形成該阻障結構的步驟包括:使用該矽前驅物及一第二前驅物。
  15. 一種半導體裝置,包括: 一多層通道於一基板上; 一源極/汲極阻障結構嵌入該基板內,該源極/汲極阻障結構的一頂部低於該多層通道的一位準至少2 nm;以及 一多層源極/汲極區域,在該源極/汲極阻障結構上並與該源極/汲極阻障結構接觸。
  16. 如請求項15所述之半導體裝置,其中該源極/汲極阻障結構包括一未摻雜矽材料。
  17. 如請求項15所述之半導體裝置,其中在該源極/汲極阻障結構的一底部的一第一厚度大於在該源極/汲極阻障結構的一頂部的一第二厚度。
  18. 如請求項17所述之半導體裝置,其中該源極/汲極阻障結構的該第一厚度為至少10 nm。
  19. 如請求項15所述之半導體裝置,其中該源極/汲極阻障結構包括一介電材料。
  20. 如請求項19所述之半導體裝置,其中該源極/汲極阻障結構的一厚度在2 nm與5 nm的一範圍內。
TW110145516A 2021-08-30 2021-12-06 製造半導體裝置的方法 TW202310176A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/460,528 2021-08-30
US17/460,528 US20230064735A1 (en) 2021-08-30 2021-08-30 Semiconductor Devices and Methods of Manufacture

Publications (1)

Publication Number Publication Date
TW202310176A true TW202310176A (zh) 2023-03-01

Family

ID=84706543

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110145516A TW202310176A (zh) 2021-08-30 2021-12-06 製造半導體裝置的方法

Country Status (3)

Country Link
US (1) US20230064735A1 (zh)
CN (1) CN115527935A (zh)
TW (1) TW202310176A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901410B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256301B1 (en) * 2018-01-17 2019-04-09 International Business Machines Corporation Nanosheet isolated source/drain epitaxy by surface treatment and incubation delay
US10453824B1 (en) * 2018-05-08 2019-10-22 International Business Machines Corporation Structure and method to form nanosheet devices with bottom isolation
US11094800B2 (en) * 2019-03-20 2021-08-17 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same

Also Published As

Publication number Publication date
US20230064735A1 (en) 2023-03-02
CN115527935A (zh) 2022-12-27

Similar Documents

Publication Publication Date Title
US10943901B2 (en) Semiconductor device and method
US20230369512A1 (en) Nanosheet Field-Effect Transistor Device and Method of Forming
TWI794900B (zh) 形成半導體裝置的方法
US20220130730A1 (en) Semiconductor Device and Method
US20230326990A1 (en) Multi-Channel Devices and Methods of Manufacture
KR102277762B1 (ko) 반도체 디바이스 및 제조 방법
US20240170536A1 (en) Semiconductor device and method
US20240145543A1 (en) Semiconductor device and method of forming the same
TW202310176A (zh) 製造半導體裝置的方法
US11901410B2 (en) Semiconductor devices and methods of manufacture
US20220336677A1 (en) Semiconductor devices and methods of manufacture
US20230369452A1 (en) Semiconductor device structure and methods of forming the same
KR102610582B1 (ko) 알루미늄을 함유하지 않는 일함수 층을 갖는 nfet 및 이를 형성하는 방법
US12009391B2 (en) Nanosheet field-effect transistor device and method of forming
US20230029739A1 (en) Semiconductor Device With A Core-Shell Feature And Method For Forming The Same
US11855140B2 (en) Gate oxide of nanostructure transistor with increased corner thickness
US11855185B2 (en) Multilayer masking layer and method of forming same
US20220392998A1 (en) Semiconductor gates and methods of forming the same
US20230369428A1 (en) Under epitaxy isolation structure
US12009407B2 (en) Nanosheet field-effect transistor device and method of forming
US11948981B2 (en) Seam-filling of metal gates with Si-containing layers
US11658245B2 (en) Semiconductor device and method of manufacturing
US20230317859A1 (en) Transistor gate structures and methods of forming thereof
US20230420455A1 (en) Semiconductor device and manufacturing method thereof
US20230260836A1 (en) Contact features of semiconductor device and method of forming same