CN1342318A - 用于将逻辑集成电路的逻辑功能测试数据映射为物理表述的集成电路测试软件系统 - Google Patents

用于将逻辑集成电路的逻辑功能测试数据映射为物理表述的集成电路测试软件系统 Download PDF

Info

Publication number
CN1342318A
CN1342318A CN99815607A CN99815607A CN1342318A CN 1342318 A CN1342318 A CN 1342318A CN 99815607 A CN99815607 A CN 99815607A CN 99815607 A CN99815607 A CN 99815607A CN 1342318 A CN1342318 A CN 1342318A
Authority
CN
China
Prior art keywords
data
fault
time
possible defective
database
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99815607A
Other languages
English (en)
Other versions
CN1256733C (zh
Inventor
肖恩·史密斯
哈利·巴拉钱得兰
杰森·帕克
斯蒂芬妮·沃茨巴特勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ELECTE ROGGELES Co Ltd
Texas Instruments Inc
Original Assignee
ELECTE ROGGELES Co Ltd
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ELECTE ROGGELES Co Ltd, Texas Instruments Inc filed Critical ELECTE ROGGELES Co Ltd
Publication of CN1342318A publication Critical patent/CN1342318A/zh
Application granted granted Critical
Publication of CN1256733C publication Critical patent/CN1256733C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318307Generation of test inputs, e.g. test vectors, patterns or sequences computer-aided, e.g. automatic test program generator [ATPG], program translations, test program debugging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2832Specific tests of electronic circuits not provided for elsewhere
    • G01R31/2834Automated test systems [ATE]; using microprocessors or computers

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明总的来说,通过将经过模拟模型的数字逻辑芯片所具有的功能测试数据进行转换,利用如前所述的功能确定和显示与网络名相对应的X、Y坐标。这个模拟模型可以识别芯片的一个或多个缺陷网络。依据如前所述类型的数据库对缺陷网络进行处理,得到这些网络的X、Y坐标数据,允许将这些坐标在芯片布局上以物理轨迹的形式被记录下来。在典型的实施例中,通过获取功能测试器的输出,并将故障扫描链列表(124)转换(126)为可疑的网络列表节点(129)来实现这种映射。然后识别可疑的网络列表节点的X、Y坐标并将其存储在数据库中,给出了故障分析并向增长产量的工程师提供了起始点,起始点用于进行故障分析和迅速判断行中检测数据是否可以解释已知故障。然后,这些节点从电路设计交互映射到设计中复合光掩模层的每一层芯片布局中。详细的故障数据被收集并作为综合程序的一部分存储到晶片层而不是作为一项所需的基本程序存储到封装层。因此可以用完全自动的方式得到大量高质量的数据,而不是相反的以极度费力的方式得到相对较少的相对低质量的数据。

Description

用于将逻辑集成电路的逻辑功能测试数据映 射为物理表述的集成电路测试软件系统
本发明所属技术领域
本发明涉及集成电路(IC)芯片测试软件系统。
技术现状
数字半导体芯片分为两大类:存储芯片和逻辑芯片。微处理器就是逻辑芯片。数字半导体芯片是由熟练的芯片设计人员使用复杂的软件工具设计出来的。由于这种芯片的测试存在着复杂性,出现了一个名为“为了测试而设计”(DFT)的领域。为了测试而设计技术包括在芯片内设计一个或多个用于读写芯片特定区域的“扫描链”,如果没有这些“扫描链”,读写将无法实现。印刷线路板布局工具在硅晶上进行芯片设计的布局。所得到的芯片布局以网络列表的形式表示,也就是一组底层设计单元的列表和它们之间的相互关系。一旦设计完成,这部分将被“录出去”(就是将代表芯片的文件记录到磁带或磁盘上)。然后,掩膜室制造用于生产芯片的光掩模。
存储芯片和逻辑芯片都要求对生产的监控和测试。生产监控使用“行中”检测设备实现,而生产测试使用“行尾”检测设备实现。行中检测设备检测整个半导体晶片,每个晶片上面都有数百个芯片。行尾检测设备对半导体晶片进行“分类功能测试”,这些晶片中的芯片管脚交互接触,芯片“运行”。作为功能测试的结论,工件按照测试结果被“分类”(一般地说,按照电子记录中的不同类别进行放置)。
除了测试还有故障分析。故障分析力图使特定芯片的故障在通过生产测试或原型测试被检测出来后,用以确定芯片发生故障的原因。故障分析通常需要包括比分类更详细的故障信息。详细故障信息通常包括对有限个封装好的工件的重新测试。
由于存储芯片作为存储单元,具有规则排列的结构,使它们容易进行故障分析。存储芯片可以通过对芯片进行一系列的读写操作完成测试。读写测试中发现的错误作为可能存在的实际的缺陷被定位在芯片上易识别的位置处。另一种情况是,存储芯片的设计具有内置自检测(BIST)能力。在这两种情况下,功能测试结果都可以被“位映射”至存储芯片的故障位置。在存储器的位映射中,电子故障被定位于模板上相对较小的一块物理“轨迹”之内。
图1所示为与传统存储器位映射测试相应的方法流程。对晶片进行行中检测(右手栏)和行尾检测(左手栏)。行中检测使用诸如可由KLA-邓柯公司(KLA Tencor)处得到的KLA21xx系列光学检测设备。行中检测生成包含光学缺陷X、Y坐标位置信息的缺陷文件。此信息可以以任何传统的格式输出,例如当前受让人KnightsTechnology的Yield Manager工具中所使用的格式。行尾测试使用测试器,有时是指ATE(自动测试设备)。测试器识别故障存储器的位置。此信息被处理为可识别的X、Y缺陷位置。X、Y缺陷信息也被输出到Yield Manager工具中。由于行中测试和行尾测试在Yield Manager工具中的格式相同,得到一个相覆盖的缺陷区域,将其标识为“致命缺陷”(会导致部件功能丧失的缺陷)。特别地,如果一个缺陷的位置和一个故障的位置一致,这个缺陷被认为是致命缺陷,也就是导致故障的直接原因。在这种情况下,问题的解决被放在生产过程中而不是底层的部件设计中。
作为存储芯片更优良的测试性的结果,半导体制造厂家和实验室中增加产量的部门长期以来依赖存储芯片完善现有的技术。增加产量的工程师通常使用存储器生产线对生产过程进行检测并保证其他使用同样技术的逻辑芯片的生产线的产量。行中缺陷检测工具,结合行尾功能检测位映射结果,可用于提高存储芯片的产量。存储器位映射故障数据可以进一步被归为故障类别(例如,单位故障,列故障、行故障等)。
故障分析工程师可以根据给定的存储器故障坐标,使用许多“物理反求”方法确定故障的根本原因。在故障分类的基础上,工程师可以估计出故障在生产过程的何处产生(例如:多硅层沉积步骤,金属1层沉积步骤等)。
然而,近年来,逻辑产品在半导体工业中的市场份额大大地增加了,造成许多新的“仅限于逻辑芯片”的实验室上马。不幸的是,在缺少“存储器生产线监控器”的情况下,仅限于逻辑芯片的实验室不能充分利用这些年来工业中所发展的增长产量的技术。目前,仅限于逻辑芯片的增产工程师与生产存储芯片的同行相比遇到了严重的阻碍。迄今为止,还没有一种可以将逻辑芯片的区域进行“位映射”的方法。而且,逻辑芯片功能测试结果不能为故障模板中的故障处的物理坐标提供一个起始点。
最先进的逻辑芯片设计包括扫描测试。扫描测试将芯片中真实的逻辑状态打碎为许多分离的逻辑链,可以单独测试这些逻辑链的基本功能。扫描测试可以识别一连串的故障信号。然而,即使在得到已知模板的一连串故障信号之后,还是无法确定故障的物理位置,这是由于每个故障信号在其“逻辑锥区”中都可能包括数百个晶体管,而且经常出现复合故障信号。因此,传统逻辑芯片增长产量的技术主要依赖分类功能测试结果来预测和纠正半导体的方法问题。这种方法有几个弊病,包括:不能将一个特定类别的二进制失落与可疑的方法等级相联系、不能从已封装产品产量问题区分未封装产品产量问题以及不能在大量故障模板之间建立清晰的联系。
故障分析可以使用已知的电子诊断方法用以得到如图2所示的可疑故障网络的诊断列表。使用测试器测试具有内置自检测(扫描)能力的已封装设备。扫描故障数据被转换为可被ATPG(自动测试类型生成)工具使用的格式。例如,事先,自动测试类型生成工具用于产生测试器所使用的测试类型文件。然后,自动测试类型生成工具使用转换好的测试数据,结合测试类型文件、设置文件、一个或多个自动测试类型生成诊断模型和来自设计数据库的设计信息,用于识别可疑的故障信号,并以诊断列表的形式输出(图3)。
CAD导航工具也被开发用于辅助故障分析。CAD导航是指在电路布局图上指出并点击以此自动驱动诸如FIB(离子聚焦束)的设备,指向芯片的相应位置。CAD导航工具还允许指定网络名,显示相应的布局。受让人Knights Technology的Merlin FrameworkCAD导航工具就是一个这样的工具。此工具获取网络列表信息、布局信息和联系两者的交叉引用文件,可以产生一个具有有效索引结构的Knights专有格式的统一数据库。更详细的情况可以参见图4,详细地阐述了建立这样一个Knights数据库的过程。SPICE格式的网络列表被转换为适当的格式(如果需要的话)。SchematicVerification数据库的数据被转换用以得到交叉引用文件、交叉引用网络名和数字网络标识符(如果需要的话)。布局数据被转换为适当的多边形布局格式。M映射器程序按照下面所述的方式生成适于CAD导航的数据库。
发明概述
本发明总的来说,通过将经过模拟模型的数字逻辑芯片所具有的功能测试数据进行转换,利用如前所述的功能确定和显示与网络名相对应的X、Y坐标。这个模拟模型可以识别芯片的一个或多个缺陷网络。依据如前所述类型的数据库对缺陷网络进行处理,得到这些网络的X、Y坐标数据,允许将这些坐标在芯片布局上以物理轨迹的形式被记录下来。在典型的实施例中,通过获取功能测试器的输出,并将故障扫描链列表转换为可疑的网络列表节点来实现这种映射。然后识别可疑的网络列表节点的X、Y坐标并将其存储在数据库中,给出了故障分析并向增长产量的工程师提供了起始点,起始点用于进行故障分析和迅速判断行中检测数据是否可以解释已知故障。然后,这些节点从电路设计交互映射到设计中复合光掩模层的每一层芯片布局中。详细的故障数据被收集并作为综合程序的一部分存储到晶片层而不是作为一项所需的基本程序存储到封装层。因此可以以完全自动的方式得到大量高质量的数据,而不是相反的以极度费力的方式得到相对较少的相对低质量的数据。
附图简要描述
图1为一已知存储器位映射方法流程的方框图;
图2为一已知电子诊断方法流程的方框图;
图3为由电路分析工具得到的网络/节点列表的一个示例;
图4为阐述适合于CAD导航工具的已知数据库的生成方式的更详细的流程图;
图5为本发明相应的逻辑映射方法流程的方框图;
图6为逻辑映射方法流程的一般化表述;
图7为缺陷数据和逻辑映射故障数据相覆盖区域的放大视图;
图8为致命故障比率图的一个示例;
图9为缺陷强度堆栈映射的一个示例;
图10为逻辑映射方法流程的另一种表述;
图11为与本发明的一个实施例对应的逻辑映射系统;和
图12为帮助理解逻辑映射方法的一个示例的更详细的流程图;
优选实施例的详细描述
图5所示逻辑映射方法对应的方法流程。假定晶片具有扫描测试能力。与图1中所描述的相同的方式进行行中检测。由于晶片是逻辑产品而不是存储产品,行尾检测有显著区别。如下文中将要详细介绍的,行尾测试产生“自动测试设备数据记录”。有了自动测试设备数据记录和其他信息,接下来可以使用自动测试类型生成工具进行诊断步骤。诊断步骤产生一个可疑故障网络的诊断列表。这些信息与设计信息一起被处理,用以确定X、Y缺陷位置。X、Y缺陷信息也可以用诸如Yield Manager格式的其它所需格式输出。由于行中检测和行尾检测的格式相同,得到一个相覆盖的缺陷区域,可以方便地确定致命缺陷区域。
故障数据的逻辑-物理转换是通过这里所讲的逻辑映射方法实现的。逻辑映射可以生成故障网络列表节点的X、Y坐标。图6所示为逻辑映射系统的一般化表述。逻辑映射从产生功能测试输出文件(例如ASC II文件)的晶片级功能测试开始。一旦得到功能测试输出文件,可以使用已知的方法对功能测试结果进行后处理,并将其转换为适于输入至设计诊断模型中的故障信号列表。有各种商用电路分析工具用于设计诊断建模。Mentor Graphics的FastScan电路分析工具就是其中的一个。
转换后的功能测试输出文件被输入至电路分析工具中。电路分析工具用于产生可疑故障网络列表。图3所示为该表的一个示例。
可疑网络列表向量识别故障位置的精度取决于设计诊断模型或是模拟模型是否合适。建立一个准确有效的设计模型需要电路设计者的输入。有些情况下,必须修改电路模拟以便在可疑故障向量数目和电路分析所需时间之间达到一个适当的平衡。
在设计任务中,倾向于牺牲更多的计算机时间寻找最少数目的可疑故障网络列表节点。例如,芯片设计者将故障模板的模拟模型运行几分钟至一小时用来寻找一两个可疑的故障向量。这种操作方式与电路分析工具经常在电路设计和校验中的担当的任务相一致。为了使模拟模型与晶片生产实验室中功能测试数据的转换相适应,可疑网络列表节点的输出数据流比在电路设计和校验任务中要高的多。为了由逻辑映射提供实时信息,生产中的模拟模型应该能够在一分钟内诊断故障模板,即使这将意味着可疑故障节点列表会更多(例如,3到10个节点)。
此外,为了生产的用途,模拟模型可以在批处理模式或后台模式下运行。这样就实现了不干涉、测试数据的连续处理和结果在全企业范围内的实时分布。
当以批处理模式操作时,模拟模型的输出将连续地直接输出到一个用于转换为标准缺陷文件格式的转换器中。当前的受让人Knights Technology的Merlin CAD导航结构软件提供了一个合适的转换器。这个结构软件使用晶片的布局数据将可疑故障节点的输出数据流转换为物理位映射文件。这个结构软件还允许将物理位映射以布局轨迹的形式覆盖到设备布局上,如图7所示。布局轨迹可以用与光掩模同样的层数显示。较优的情况下,可疑故障节点中的每层光掩模轨迹输出到独立的文件中,以便与行中缺陷数据精确关联(也就是说,将金属缺陷与金属光掩模上的可疑节点故障轨迹相比较)。
物理位映射文件可以用诸如目前受让人的Yield Manager工具的各种产量管理工具导出。产量管理工具提供各种可视化选项,例如,缺陷晶片映射、位映射和图表。致命缺陷比率用来评估缺陷与逻辑位映射故障的匹配程度,图8为它的一个示例。位映射故障强度堆栈映射表示出布局中容易发生故障的区域,图9为它的一个示例。
如果需要的话,位映射数据可以将缺陷数据输出为各种标准的输出格,例如,KLA格式、ESDA格式等。用这种方式,可以将逻辑映射数据和行中测试数据处理为相同的格式,以便进一步的处理。
逻辑映射方法的另一种表述如图10所示。每个被测模板的数据记录被传至服务器(例如,一台运行诸如Fastscan、Sunrise等自动测试类型生成软件包的Unix服务器)。如果芯片发生了彻底的故障,所得到的该芯片的数据记录就不可用了。然而一般情况下,大部分数据记录是可用的。服务器将这些数据记录转换为适当的模拟格式。逻辑映射使用这些转换过的数据记录和设计数据生成故障网络节点的X、Y坐标。如下文将要进一步详述的,逻辑映射器使用的设计数据包括例如,来自GDS II布局文件的输入、网络节点数据和LVS(布局相对图示)数据。当得到X、Y坐标后,可以将其用于故障分析和产量分析。故障分析通常是个很长的过程,与之不同,产量分析有实时数据要求。逻辑映射将以下文所述满足这些实时数据要求。
图11所示为本发明的实施例对应的一个逻辑映射系统。与前面所述的图10相同,每个被测模板的数据记录被传至服务器(例如,一台运行诸如Fastscan、Sunrise等自动测试类型生成软件包的Unix服务器),在那里一部分数据记录被转换为适当的模拟格式。逻辑映射使用这些转换过的数据记录和设计数据生成故障网络节点的X、Y坐标。然后,这些信息被存储到诸如Knights Technology的Yield Mananger工具的产量管理工具数据库中。
逻辑映射转换器将进来的诊断列表转换为标准缺陷数据格式。所得到的诊断数据在Yield Mananger数据库中与行中检测数据合并。在一个典型的优选实施例中,Yield Mananger数据库用于将行中缺陷数据和逻辑映射数据相覆盖。一旦行中检测数据和逻辑映射数据在同一个数据库中是相同的格式,在高端(例如,Windows NT)PC上运行的诸如Yield Mananger的客户端软件,就可以用各种方式实现数据的可视化,例如,晶片映射、图表、布局等。另外,数据可以用各种格式导出以便加速数据共享。
如前所述,当故障网络列表轨迹转换为标准缺陷文件格式后,可以使用各种覆盖和可视化辅助手段。缺陷匹配可以基于一个用户定义的以缺陷(行中的)到逻辑映射轨迹上任一点的大致半径实现。产量管理工具用于预过滤缺陷数据,以达到消除匹配前的烦人的缺陷的目的。与产品相关的冲击造成的缺陷被导出并回到CAD导航结构中,例如,用于FIB(离子聚焦束)导航和反求。这些冲击缺陷可以归为一组进行有选择的分析,以确定哪类缺陷最致命并确定其它诸如缺陷尺寸或强度分布的重要特征。于是就产生了一个如何使用测试数据的新的典范。
例子
逻辑映射是Texas Instruments生产线上实现的。图12所示为典型实现的详细描述。图12的布局中,所执行的操作在中间栏中表示,数据(或程序)的输入在左侧栏和右侧栏中表示。图的上半部分与电子诊断流相关。注意,与传统电子诊断流(图2)相比较,图12中,被测试的是晶片而不是封装元件。修改测试程序用于得到详细的故障数据而不是传统生产线中简单的“执行/不执行”。针对每类设备开发了模板解码表和解码器,以确保与晶片上特定模板相关的测试数据被映射到Yield Manager数据库中正确的模板坐标上。
图的下半部分与逻辑映射方法流程相关。诊断数据被转换为多边形文件发生器可读的格式。多边形文件发生器读取转换后的诊断数据并根据此前得到的数据库(图4)对其进行处理,用以生成多边形文件。这些多边形文件被依次转换,得到所要求格式的标准缺陷文件。
这项发明可以围绕本发明的本质精神以其它的特定形式体现,这一点被本行业中具有普通技能的从业者大加赞赏。因此,这里所述的实施例在所有方面都是说明性的,而不是限制性的。发明的范围由附加的权利要求书而不是前面的叙述所指出,在其含义和范围内的所有变化和等价物都包含在本发明内。

Claims (9)

1.一种半导体集成电路测试中的自动检测缺陷定位的方法,包括以下步骤:
测试集成电路以得到大体的故障区域;
将大体的故障区域数据输入电路分析工具中;
从电路分析工具中得到第一次可能的缺陷数据;
执行集成芯片的行中检测得到第二次可能的缺陷数据;以及
并将第一次和第二次的数据关联起来定位可能的缺陷。
2.根据权利要求1所述的方法,其中,将大体的故障数据输入到电路分析工具中,并从电路分析中得到第一次被定位的可能的缺陷数据的过程,使用至少一台已编程的计算机连续完成。
3.根据权利要求1所述的方法,其中,将第一次和第二次被定位的可能的缺陷数据的关联起来定位可能的缺陷,包括生成第一次和第二次被定位的可能的缺陷数据的可视化覆盖。
4.根据权利要求1所述的方法,其中,集成电路是具有内置自检测能力的逻辑电路。
5.根据权利要求1所述的方法,其中,大体故障数据是通过使用集成电路的行尾测试得到的。
6.根据权利要求5所述的方法,其中,集成电路在晶片形式下被测试。
7.根据权利要求1所述的方法,其中,从电路分析得到的第一次被定位的可能缺陷包括:
建立数据库;并依据此数据库对逻辑缺陷数据进行处理得到物理缺陷数据;以及
用数据库处理逻辑缺陷数据以得到物理缺陷数据。
8.根据权利要求7所述的方法,其中,建立数据库包括将设计信息从第一格式翻译为第二格式。
9.一个测试半导体集成电路的系统,包括:
电路分析工具;以及
自动的方式包括:
将大体的缺陷数据应用于电路分析工具;
从电路分析工具得到被定位的可能的缺陷数据;
以标准格式表示被定位的可能的缺陷数据;以及
将被定位的可能的缺陷数据存储到多客户端可用的数据库服务器上。
CNB998156078A 1998-11-13 1999-11-12 用于将逻辑集成电路的逻辑功能测试数据映射为物理表述的集成电路测试软件系统 Expired - Fee Related CN1256733C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/192,164 1998-11-13
US09/192,164 US6185707B1 (en) 1998-11-13 1998-11-13 IC test software system for mapping logical functional test data of logic integrated circuits to physical representation

Publications (2)

Publication Number Publication Date
CN1342318A true CN1342318A (zh) 2002-03-27
CN1256733C CN1256733C (zh) 2006-05-17

Family

ID=22708519

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998156078A Expired - Fee Related CN1256733C (zh) 1998-11-13 1999-11-12 用于将逻辑集成电路的逻辑功能测试数据映射为物理表述的集成电路测试软件系统

Country Status (9)

Country Link
US (1) US6185707B1 (zh)
EP (1) EP1149385B1 (zh)
JP (1) JP2002530659A (zh)
KR (1) KR100527911B1 (zh)
CN (1) CN1256733C (zh)
AT (1) ATE291274T1 (zh)
DE (1) DE69924296T8 (zh)
TW (1) TW440859B (zh)
WO (1) WO2000030119A1 (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100410953C (zh) * 2005-07-12 2008-08-13 台湾积体电路制造股份有限公司 错误位置识别方法以及结合纯逻辑与物理布局信息的系统
CN100413018C (zh) * 2004-06-14 2008-08-20 中芯国际集成电路制造(上海)有限公司 用于处理半导体器件的同一性的方法和系统
CN100428401C (zh) * 2004-06-14 2008-10-22 中芯国际集成电路制造(上海)有限公司 用于半导体器件的成品率相似性的方法和系统
US7543198B2 (en) 2005-10-21 2009-06-02 International Business Machines Corporation Test data reporting and analyzing using data array and related data analysis
CN101169465B (zh) * 2006-10-25 2010-09-22 国际商业机器公司 基于模型化和非模型化错误的重复测试生成和诊断方法
CN1934831B (zh) * 2004-03-17 2010-09-29 皇家飞利浦电子股份有限公司 通信服务映射的集成电路和方法
CN101621016B (zh) * 2008-07-02 2011-10-05 中芯国际集成电路制造(上海)有限公司 在制造集成电路中用于缺陷检测的方法和系统
CN102576045A (zh) * 2009-07-01 2012-07-11 克拉-坦科股份有限公司 对时变的缺陷分类性能的监视
CN101828118B (zh) * 2007-04-25 2013-10-16 国际商业机器公司 将测试结构集成到集成电路中的系统及方法
CN105164647A (zh) * 2013-06-20 2015-12-16 惠普发展公司,有限责任合伙企业 生成表示应用对外部服务的故障的模拟的响应的指纹
CN105652232A (zh) * 2015-12-30 2016-06-08 国家电网公司 一种基于流处理的电能计量装置在线异常诊断方法及系统
CN108073674A (zh) * 2016-11-18 2018-05-25 格芯公司 集成电路芯片中的系统缺陷的故障标识数据库的早期开发
CN111125985A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 系统故障定位系统和方法
CN111208407A (zh) * 2018-11-21 2020-05-29 上海春尚电子科技有限公司 一种数字集成电路芯片辅助测试系统
CN112974289A (zh) * 2020-11-09 2021-06-18 重庆康佳光电技术研究院有限公司 分选方法、分选装置、计算机可读存储介质及电子设备
CN117054846A (zh) * 2023-06-30 2023-11-14 珠海妙存科技有限公司 一种芯片的可视化测试方法、系统、装置及存储介质

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3055516B2 (ja) * 1997-12-25 2000-06-26 日本電気株式会社 半導体集積回路の検査解析装置及びその方法並びにその制御プログラムを記録した記録媒体
US6618830B1 (en) * 1998-11-30 2003-09-09 Texas Instruments Incorporated System and method for pruning a bridging diagnostic list
US6487511B1 (en) * 1999-01-21 2002-11-26 Advanced Micro Devices, Inc. Method and apparatus for measuring cumulative defects
JP3267580B2 (ja) * 1999-04-14 2002-03-18 日本電気株式会社 データ処理方法および装置、情報記憶媒体
US6553329B2 (en) * 1999-12-13 2003-04-22 Texas Instruments Incorporated System for mapping logical functional test data of logical integrated circuits to physical representation using pruned diagnostic list
US6745370B1 (en) * 2000-07-14 2004-06-01 Heuristics Physics Laboratories, Inc. Method for selecting an optimal level of redundancy in the design of memories
US6601205B1 (en) * 2000-09-29 2003-07-29 Infineon Technologies Ag Method to descramble the data mapping in memory circuits
TW533422B (en) * 2000-11-28 2003-05-21 Advantest Corp Fail analysis device
US20020170028A1 (en) * 2001-05-11 2002-11-14 Liwei Chour Computer-aided layout design system with automatic defect-zooming function
US6775796B2 (en) 2001-08-31 2004-08-10 International Business Machines Corporation Creation of memory array bitmaps using logical to physical server
JP3904419B2 (ja) * 2001-09-13 2007-04-11 株式会社日立製作所 検査装置および検査システム
US7146584B2 (en) * 2001-10-30 2006-12-05 Teradyne, Inc. Scan diagnosis system and method
US7191374B2 (en) * 2002-05-14 2007-03-13 Logicvision, Inc. Method of and program product for performing gate-level diagnosis of failing vectors
US6842866B2 (en) * 2002-10-25 2005-01-11 Xin Song Method and system for analyzing bitmap test data
US7146586B2 (en) * 2002-11-19 2006-12-05 Prophicy Semiconductor , Inc. System and method for automated electronic device design
US7602510B2 (en) * 2003-03-31 2009-10-13 Eastman Kodak Company Post RIP image rendering in an electrographic printer to reduce toner consumption
US20050039089A1 (en) * 2003-08-11 2005-02-17 Elias Gedamu System and method for analysis of cache array test data
US7529988B1 (en) * 2003-09-02 2009-05-05 Advanced Micro Devices, Inc. Storage of descriptive information in user defined fields of failure bitmaps in integrated circuit technology development
US7114143B2 (en) * 2003-10-29 2006-09-26 Lsi Logic Corporation Process yield learning
US7653523B2 (en) * 2003-12-15 2010-01-26 Lsi Corporation Method for calculating high-resolution wafer parameter profiles
JP2007528553A (ja) * 2004-03-09 2007-10-11 セヤン ヤン 検証性能と検証效率性を高める動的検証−基盤方式の検証装置及びこれを用いた検証方法論
US7137085B1 (en) * 2004-06-01 2006-11-14 Advanced Micro Devices, Inc. Wafer level global bitmap characterization in integrated circuit technology development
US7013192B2 (en) * 2004-06-14 2006-03-14 Lsi Logic Corporation Substrate contact analysis
US20060143524A1 (en) * 2004-07-22 2006-06-29 Elias Gedamu Built-in self-test emulator
US20060031789A1 (en) * 2004-07-22 2006-02-09 Elias Gedamu Built-in self-test emulator
US20060020411A1 (en) * 2004-07-22 2006-01-26 Elias Gedamu Built-in self-test emulator
US20060020442A1 (en) * 2004-07-22 2006-01-26 Elias Gedamu Built-in self-test emulator
US7194706B2 (en) * 2004-07-27 2007-03-20 International Business Machines Corporation Designing scan chains with specific parameter sensitivities to identify process defects
US6998866B1 (en) * 2004-07-27 2006-02-14 International Business Machines Corporation Circuit and method for monitoring defects
US7089514B2 (en) * 2004-08-10 2006-08-08 International Business Machines Corporation Defect diagnosis for semiconductor integrated circuits
US7415378B2 (en) * 2005-01-31 2008-08-19 Texas Instruments Incorporated Methods for analyzing critical defects in analog integrated circuits
US7257784B2 (en) * 2005-03-24 2007-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrally checking chip and package substrate layouts for errors
US20070061637A1 (en) * 2005-09-12 2007-03-15 Lsi Logic Corporation Process for conducting high-speed bitmapping of memory cells during production
WO2007114930A2 (en) * 2006-03-31 2007-10-11 Teseda Corporation Secure test-for-yield chip diagnostics management system and method
KR100827440B1 (ko) 2006-09-29 2008-05-06 삼성전자주식회사 반도체 집적 회로 장치의 불량 분석 방법 및 시스템
US7765444B2 (en) 2006-11-06 2010-07-27 Nec Electronics Corporation Failure diagnosis for logic circuits
KR100809340B1 (ko) 2007-01-15 2008-03-07 삼성전자주식회사 반도체 집적 회로 장치의 불량 분석 방법 및 시스템
US20080319568A1 (en) * 2007-06-22 2008-12-25 International Business Machines Corporation Method and system for creating array defect paretos using electrical overlay of bitfail maps, photo limited yield, yield, and auto pattern recognition code data
US8064682B2 (en) * 2007-06-29 2011-11-22 Intel Corporation Defect analysis
US7761765B2 (en) * 2007-07-27 2010-07-20 Gm Global Technology Operations, Inc. Automated root cause identification of logic controller failure
US7512933B1 (en) 2008-01-27 2009-03-31 International Business Machines Corporation Method and system for associating logs and traces to test cases
US7890914B2 (en) * 2008-02-01 2011-02-15 Texas Instruments Incorporated Layout data reduction for use with electronic design automation tools
JP4759597B2 (ja) * 2008-07-28 2011-08-31 ルネサスエレクトロニクス株式会社 半導体集積回路の故障解析方法及び故障解析装置
JP2009081466A (ja) * 2008-12-26 2009-04-16 Nec Electronics Corp 複数の論理回路に対する故障診断方法
US20100174957A1 (en) * 2009-01-08 2010-07-08 International Business Machines Corporation Correlation and overlay of large design physical partitions and embedded macros to detect in-line defects
US8312413B2 (en) 2010-01-22 2012-11-13 International Business Machines Corporation Navigating analytical tools using layout software
US8261216B2 (en) * 2010-08-12 2012-09-04 International Business Machines Corporation Automated planning in physical synthesis
US8539389B2 (en) 2010-09-27 2013-09-17 Teseda Corporation Correlation of device manufacturing defect data with device electrical test data
US8930782B2 (en) * 2011-05-16 2015-01-06 Mentor Graphics Corporation Root cause distribution determination based on layout aware scan diagnosis results
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US8412991B2 (en) 2011-09-02 2013-04-02 Teseda Corporation Scan chain fault diagnosis
TWI455223B (zh) * 2011-09-22 2014-10-01 Orise Technology Co Ltd 面板驅動積體電路之嵌入式記憶體的測試裝置與方法
US9329235B2 (en) * 2013-03-13 2016-05-03 Synopsys, Inc. Localizing fault flop in circuit by using modified test pattern
US9411014B2 (en) 2013-03-22 2016-08-09 Synopsys, Inc. Reordering or removal of test patterns for detecting faults in integrated circuit
US9239897B2 (en) 2013-04-03 2016-01-19 Synopsys, Inc. Hierarchical testing architecture using core circuit with pseudo-interfaces
US9417287B2 (en) 2013-04-17 2016-08-16 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US9588179B2 (en) 2013-06-12 2017-03-07 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US10067187B2 (en) 2013-07-19 2018-09-04 Synopsys, Inc. Handling of undesirable distribution of unknown values in testing of circuit using automated test equipment
US9230050B1 (en) 2014-09-11 2016-01-05 The United States Of America, As Represented By The Secretary Of The Air Force System and method for identifying electrical properties of integrate circuits
CN105093092B (zh) * 2015-07-09 2018-01-05 无锡中微腾芯电子有限公司 利用Excel实现晶圆测试Summary标准化的方法
US10247777B1 (en) 2016-11-10 2019-04-02 Teseda Corporation Detecting and locating shoot-through timing failures in a semiconductor integrated circuit
CN107480561B (zh) * 2017-07-21 2023-08-04 天津大学 基于少态节点遍历的硬件木马检测方法
US10776543B2 (en) 2018-06-25 2020-09-15 International Business Machines Corporation Automated region based optimization of chip manufacture
US11302412B2 (en) * 2019-06-03 2022-04-12 Advantest Corporation Systems and methods for simulated device testing using a memory-based communication protocol
CN115562617B (zh) * 2022-11-30 2023-03-03 苏州浪潮智能科技有限公司 一种fifo存储器的深度设置方法、系统及电子设备

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3906163A (en) * 1973-09-14 1975-09-16 Gte Automatic Electric Lab Inc Peripheral control unit for a communication switching system
US4242751A (en) * 1978-08-28 1980-12-30 Genrad, Inc. Automatic fault-probing method and apparatus for checking electrical circuits and the like
US4222514A (en) * 1978-11-30 1980-09-16 Sperry Corporation Digital tester
DE3110140A1 (de) * 1981-03-16 1982-09-23 Siemens AG, 1000 Berlin und 8000 München Vorrichtung und verfahren fuer eine rasche interne logikpruefung an integrierten schaltungen
US4733176A (en) * 1984-09-13 1988-03-22 Siemens Aktiengesellschaft Method and apparatus for locating defects in an electrical circuit with a light beam
US4801869A (en) * 1987-04-27 1989-01-31 International Business Machines Corporation Semiconductor defect monitor for diagnosing processing-induced defects
JPH0244269A (ja) * 1988-08-04 1990-02-14 Mitsubishi Electric Corp Lsiの故障解析方式
US4967337A (en) * 1988-10-11 1990-10-30 Texas Instruments Incorporated Automated diagnostic system
US5219765A (en) * 1990-09-12 1993-06-15 Hitachi, Ltd. Method for manufacturing a semiconductor device including wafer aging, probe inspection, and feeding back the results of the inspection to the device fabrication process
US5475694A (en) * 1993-01-19 1995-12-12 The University Of British Columbia Fuzzy multiple signature compaction scheme for built-in self-testing of large scale digital integrated circuits
US5475695A (en) * 1993-03-19 1995-12-12 Semiconductor Diagnosis & Test Corporation Automatic failure analysis system
US5596587A (en) * 1993-03-29 1997-01-21 Teradyne, Inc. Method and apparatus for preparing in-circuit test vectors
US5469443A (en) * 1993-10-01 1995-11-21 Hal Computer Systems, Inc. Method and apparatus for testing random access memory
US5963881A (en) * 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
US5668745A (en) * 1995-10-20 1997-09-16 Lsi Logic Corporation Method and apparatus for testing of semiconductor devices
US5720031A (en) * 1995-12-04 1998-02-17 Micron Technology, Inc. Method and apparatus for testing memory devices and displaying results of such tests
US6542830B1 (en) * 1996-03-19 2003-04-01 Hitachi, Ltd. Process control system
US6091846A (en) * 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
JP3699215B2 (ja) * 1996-09-20 2005-09-28 株式会社アドバンテスト Lsi用不良解析装置
JP3808575B2 (ja) * 1997-01-14 2006-08-16 株式会社東芝 歩留まり解析方法及びその装置
US5771243A (en) * 1997-02-07 1998-06-23 Etron Technology, Inc. Method of identifying redundant test patterns

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934831B (zh) * 2004-03-17 2010-09-29 皇家飞利浦电子股份有限公司 通信服务映射的集成电路和方法
CN100413018C (zh) * 2004-06-14 2008-08-20 中芯国际集成电路制造(上海)有限公司 用于处理半导体器件的同一性的方法和系统
CN100428401C (zh) * 2004-06-14 2008-10-22 中芯国际集成电路制造(上海)有限公司 用于半导体器件的成品率相似性的方法和系统
CN100410953C (zh) * 2005-07-12 2008-08-13 台湾积体电路制造股份有限公司 错误位置识别方法以及结合纯逻辑与物理布局信息的系统
US7543198B2 (en) 2005-10-21 2009-06-02 International Business Machines Corporation Test data reporting and analyzing using data array and related data analysis
CN1953102B (zh) * 2005-10-21 2011-01-19 国际商业机器公司 测试数据报告和分析方法及系统
CN101169465B (zh) * 2006-10-25 2010-09-22 国际商业机器公司 基于模型化和非模型化错误的重复测试生成和诊断方法
CN101828118B (zh) * 2007-04-25 2013-10-16 国际商业机器公司 将测试结构集成到集成电路中的系统及方法
CN101621016B (zh) * 2008-07-02 2011-10-05 中芯国际集成电路制造(上海)有限公司 在制造集成电路中用于缺陷检测的方法和系统
CN102576045A (zh) * 2009-07-01 2012-07-11 克拉-坦科股份有限公司 对时变的缺陷分类性能的监视
CN105164647A (zh) * 2013-06-20 2015-12-16 惠普发展公司,有限责任合伙企业 生成表示应用对外部服务的故障的模拟的响应的指纹
CN105652232A (zh) * 2015-12-30 2016-06-08 国家电网公司 一种基于流处理的电能计量装置在线异常诊断方法及系统
CN105652232B (zh) * 2015-12-30 2018-04-06 国家电网公司 一种基于流处理的电能计量装置在线异常诊断方法及系统
CN108073674A (zh) * 2016-11-18 2018-05-25 格芯公司 集成电路芯片中的系统缺陷的故障标识数据库的早期开发
CN108073674B (zh) * 2016-11-18 2021-10-26 台湾积体电路制造股份有限公司 集成电路芯片中的系统缺陷的故障标识数据库的早期开发
CN111125985A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 系统故障定位系统和方法
CN111125985B (zh) * 2018-10-31 2023-09-26 台湾积体电路制造股份有限公司 系统故障定位系统和方法
CN111208407A (zh) * 2018-11-21 2020-05-29 上海春尚电子科技有限公司 一种数字集成电路芯片辅助测试系统
CN111208407B (zh) * 2018-11-21 2022-05-31 上海春尚电子科技有限公司 一种数字集成电路芯片辅助测试系统
CN112974289A (zh) * 2020-11-09 2021-06-18 重庆康佳光电技术研究院有限公司 分选方法、分选装置、计算机可读存储介质及电子设备
CN112974289B (zh) * 2020-11-09 2023-04-28 重庆康佳光电技术研究院有限公司 分选方法、分选装置、计算机可读存储介质及电子设备
CN117054846A (zh) * 2023-06-30 2023-11-14 珠海妙存科技有限公司 一种芯片的可视化测试方法、系统、装置及存储介质

Also Published As

Publication number Publication date
EP1149385B1 (en) 2005-03-16
US6185707B1 (en) 2001-02-06
EP1149385A4 (en) 2002-01-30
DE69924296T2 (de) 2006-02-02
TW440859B (en) 2001-06-16
EP1149385A1 (en) 2001-10-31
WO2000030119A1 (en) 2000-05-25
DE69924296D1 (de) 2005-04-21
CN1256733C (zh) 2006-05-17
KR20020008108A (ko) 2002-01-29
KR100527911B1 (ko) 2005-11-09
JP2002530659A (ja) 2002-09-17
DE69924296T8 (de) 2006-04-27
ATE291274T1 (de) 2005-04-15

Similar Documents

Publication Publication Date Title
CN1256733C (zh) 用于将逻辑集成电路的逻辑功能测试数据映射为物理表述的集成电路测试软件系统
US6553329B2 (en) System for mapping logical functional test data of logical integrated circuits to physical representation using pruned diagnostic list
US6950771B1 (en) Correlation of electrical test data with physical defect data
US6775817B2 (en) Inspection system and semiconductor device manufacturing method
US6826735B2 (en) Inspection data analysis program, defect inspection apparatus, defect inspection system and method for semiconductor device
US7320115B2 (en) Method for identifying a physical failure location on an integrated circuit
Keim et al. A rapid yield learning flow based on production integrated layout-aware diagnosis
US8626460B2 (en) Secure test-for-yield chip diagnostics management system and method
US20040049722A1 (en) Failure analysis system, failure analysis method, a computer program product and a manufacturing method for a semiconductor device
US20080091981A1 (en) Process for improving design-limited yield by localizing potential faults from production test data
JP2009010405A (ja) 局所的外れ値の検出のための方法および装置
US7093216B2 (en) Apparatus connectable to a computer network for circuit design verification, computer implemented method for circuit design verification, and computer program product for controlling a computer system so as to verify circuit designs
US6775796B2 (en) Creation of memory array bitmaps using logical to physical server
US20170084016A1 (en) Method and system for diagnosing a semiconductor wafer
JPH11111796A (ja) 不良解析方法及びその装置
JP4190748B2 (ja) 半導体不良解析用のcadツール及び半導体不良解析方法
US7356787B2 (en) Alternative methodology for defect simulation and system
Nelson et al. Extracting defect density and size distributions from product ICs
CN111782695B (zh) Mpw多产品联测的数据处理方法、存储介质及计算机设备
US20050055612A1 (en) Design supporting apparatus
Stanojevic et al. Computer-aided fault to defect mapping (CAFDM) for defect diagnosis
JP4209156B2 (ja) 検査条件データ管理方法及びシステム並びにプログラム、コンピュータ読取り可能な記録媒体
US20050114058A1 (en) Method for analyzing inspected data, apparatus and its program
JPH11176899A (ja) 欠陥警告方法及び欠陥警告システム
Stanojevic et al. Defect localization using physical design and electrical test information

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee