CN1224234A - 腐蚀硅层的方法 - Google Patents

腐蚀硅层的方法 Download PDF

Info

Publication number
CN1224234A
CN1224234A CN99100182A CN99100182A CN1224234A CN 1224234 A CN1224234 A CN 1224234A CN 99100182 A CN99100182 A CN 99100182A CN 99100182 A CN99100182 A CN 99100182A CN 1224234 A CN1224234 A CN 1224234A
Authority
CN
China
Prior art keywords
silicon layer
corrosion
layer
film
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99100182A
Other languages
English (en)
Other versions
CN1110843C (zh
Inventor
满生彰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1224234A publication Critical patent/CN1224234A/zh
Application granted granted Critical
Publication of CN1110843C publication Critical patent/CN1110843C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

在硅衬底上形成栅氧化膜和多晶硅层,并在多晶硅层上形成光刻胶图形。用光刻胶作掩模,利用如CF4、CHF3、CH2F2、和C4F8等CF型气体或包括CF类气体的混合气体腐蚀硅层的一半。在腐蚀孔的侧壁上留下了碳氟化合物型淀积物。然后,利用Cl2、HBr、SF6、和O2中的一种气体腐蚀其余的硅膜。可以在腐蚀后提供具有倾斜侧壁的构形。

Description

腐蚀硅层的方法
本发明涉及腐蚀硅层的方法,通过腐蚀硅层可以提供具有倾斜侧壁的构形。
在腐蚀用作晶体管的栅的多晶硅硅化物膜之前,如快速存储器等具有浮栅的器件结构必须具有如图1所示的层叠结构。即,作为最上层的WSi层31,该层之下是第二多晶硅30,具有由氧化膜、氮化膜和氧化膜构成的三层结构的ONO层29,第一多晶硅层28,氧化膜层27和硅衬底26。在该结构中,如剖面图所示,预先垂直地腐蚀用作浮栅的第一多晶硅层28。场氧化膜32局部地形成在第一多晶硅层28被腐蚀的位置。如图1所示,腐蚀第一多晶硅层28形成的孔的侧壁是倾斜的,以使孔的上部较宽。这种层叠结构是利用多晶硅的腐蚀装置腐蚀的。
虽然在正常的腐蚀条件下腐蚀上两层即ONO层29和第二多晶硅层30没有任何问题,但因为使用的是腐蚀多晶硅的装置,无法象用专用于氧化膜的正常的腐蚀装置那样以高腐蚀率腐蚀ONO层29中的氧化膜。因此ONO层29最好是具有容易腐蚀的结构。
然而,如图2所示,在按具有垂直侧壁的构形腐蚀第一多晶硅层28时,其上的ONO层29将垂直形成。如果相对于衬底垂直腐蚀ONO层29的氧化膜层,则作为要腐蚀的有效厚度的氧化膜层的厚度等于第一多晶硅膜28的厚度。因而很难去掉ONO层29,并且可能残留ONO层33,如图3所示。
因此,为了容易腐蚀ONO层29,第一多晶硅层28必须具有倾斜的锥形构形,以使其上部较宽,从而代替垂直构形。
然而,常规腐蚀方法腐蚀后无法有效地提供具有如上所述倾斜侧壁的构形。例如,日本专利申请公开8-274078公开了一种通过两步骤腐蚀形成具有不同腐蚀容易程度的侧壁保护膜来防止栅极成锥形的方法。然而,该方法没有提供锥形的腐蚀构形。
本发明的目的是提供一种腐蚀硅层的方法,可以获得具有倾斜侧壁的腐蚀构形。
根据本发明的第一方案,提供一种腐蚀硅层的方法,包括以下步骤:在硅层上形成掩模图形;利用CF型气体或包括CF型气体的混合气体腐蚀硅层的一半;利用Cl2、HBr、SF6、和O2中的至少一种气体腐蚀其余的所说硅层。
按该腐蚀方法,CF型气体至少可以是一种选自CF4、CHF3、CH2F2、和C4F8中的气体,并且可以在硅层下设置厚为6-10nm的薄氧化膜。
根据本发明,首先利用CF型气体或包括CF型气体的混合气体腐蚀硅层的一半。于是在腐蚀的孔的侧壁上留下了碳氟化合物型淀积物。然后,利用至少一种选自Cl2、HBr、SF6、和O2中的气体腐蚀其余的硅层。结果,以淀积物作为掩模,使得硅层被腐蚀成正向呈锥形的构形,而不被垂直腐蚀。
本发明的第一方案最好只腐蚀硅层,而不腐蚀硅层下的各层。然而,本发明的第二方案可用于将腐蚀延伸到各底层。
根据本发明的第二方案,提供一种腐蚀硅层的方法,包括以下步骤:在硅层上形成掩模图形,并利用CF型气体或包括CF型气体的混合气体腐蚀硅层。
按该腐蚀方法,CF型气体可以是至少一种从CF4、CHF3、CH2F2、和C4F8中选择的气体,并且可以在硅层下提供厚为0.3-0.5微米的场氧化膜。
本发明的第二方案的有益之处还在于,利用CF型气体的腐蚀在硅层的腐蚀孔的侧壁上留下了碳氟化合物淀积物,提供了与本发明第一方案所得到的类似的倾斜腐蚀侧壁,并且在处理过程中可以不变换腐蚀气体。
关于本发明,术语“硅层”不仅表示形成于衬底上的硅膜,而且还表示硅衬底。显然,一般的硅层是多晶硅层。
图1是具有浮栅的快速存储器之类器件的结构剖面图;
图2是展示同一结构的改形的剖面图;
图3是展示腐蚀同一结构获得的构形的剖面图;
图4A-4C是按方法步骤顺序展示本发明第一实施例的方法的剖面图;
图5是展示本发明第一实施例方法所用的RIE腐蚀装置的示意图;
图6A和6B是按方法步骤顺序展示本发明第二实施例的方法的剖面图;
图7A和7B是展示本发明第二实施例方法所用的RIE腐蚀装置的示意图;
图8A和8B是按方法步骤顺序展示本发明第三实施例的方法的剖面图;
图9A和9B是按方法步骤顺序展示本发明第四实施例的方法的剖面图。
下面结合附图具体说明本发明的优选实施例。
图4A至4C是按该方法步骤顺序展示本发明第一实施例腐蚀硅层的方法的剖面图。图5是按本实施例使用双频RIE方法腐蚀多晶硅层的干法腐蚀装置的示意图。如图5所示,该干法腐蚀装置的处理室6具有材料气的引入口7a和排出口7b。上电极11悬于处理室6中,下电极9设置于处理室底部的工作台8上。要被腐蚀的晶片10放置于工作台8上。上电极11作为将通过材料气引入口7a引入的材料气喷入处理室的喷头,其通过高频电缆12与设置于处理室外的用于上电极的高频电源14连接。下电极9通过高频电缆12与下电极的高频电源13连接。相控调制器15接在高频电源13和14之间。
在具有这种结构的腐蚀装置中,高频电源加到面对面的上下电极11和9,从而产生等离子体,它们之间的相位差由接在高频电源13和14之间的相控调制器15控制,从而利用RIE(反应离子腐蚀)腐蚀硅晶片10上的硅层。这种腐蚀装置能够产生等离子体密度为1×1010-1×1011cm-2的等离子体。
利用这种腐蚀装置腐蚀硅层。即,如图4A所示,在一种器件结构上形成光刻胶图形4,所说器件结构是由多晶硅3和形成于硅衬底1上的氧化膜2构成的叠层结构。光刻胶4用作只腐蚀多晶硅层3的掩模。
关于第一步骤,如图4B所示,利用如CF4、CHF3、CH2F2、和C4F8等CF型气体或包括CF型气体的混合气体腐蚀多晶硅层3的一半。如图4B所示,于是在腐蚀图形的侧壁上留下了碳氟化合物型淀积物5,淀积物的厚度随壁的深度增大而增大。
然后,第二步利用Cl2、HBr、SF6、或O2中的气体腐蚀其余的多晶硅层3,如图4C所示。此时,第一步形成的淀积物5作为掩模,将多晶硅层腐蚀成向前呈锥形的构形,该构形的上部较宽,如图4C所示,而不被垂直腐蚀。
下面说明图4A-4C所示的该腐蚀方法的处理条件的实例。形成于硅衬底1上的热氧化膜2作为MOS晶体管的绝缘膜,其厚度为6-10nm,作为导电膜的多品硅层3的厚度为100-150nm。
腐蚀条件如下。第一步,利用50sccm的CF4,在压力为20mT、上电极的高频功率为0W、下电极的高频功率为600W的条件下,进行腐蚀,形成碳氟化合物型淀积膜。尽管腐蚀时间取决于腐蚀要求的构形,但腐蚀时间的范围为约10秒到探测到多晶硅层3的端部所花的时间。此时多晶硅层腐蚀的速率为100-200nm。氧化膜的腐蚀速率基本上相同。因此,如果在露出底层氧化膜2后,在相同的条件下进行过腐蚀,则会腐蚀掉底层氧化膜2。因此,必需改变腐蚀条件,提供对于氧化膜的较高选择率。如果腐蚀多晶硅3的一半,则腐蚀的结果是留下图4B所示的构形。在腐蚀的多晶硅层3的侧壁上形成了作为腐蚀淀积物的碳氟化合物型淀积物5。
在第二步,在Cl2为50-200sccm,HBr为100-200sccm,压力为20mT-100mT,上电极的高频功率为300-500W,下电极的高频功率为300-600W,上下高频功率间的相差为135度的条件下,腐蚀去掉第一步腐蚀后留下的其余多晶硅层3。此时多晶硅的腐蚀率为150-250nm,氧化膜的腐蚀率为3-10nm。
进行该腐蚀去掉了第一步腐蚀后留下的其余多晶硅层3。淀积物膜5用作将多晶硅层3腐蚀成图4C所示呈正向锥形构形的掩模。
图6A和6B是按方法步骤顺序展示本发明第二实施例的方法的剖面图。图6A和6B中与图4A-4C相同的各部分用相同的参考数字表示,这里不再进行具体说明。在本实施例中,如图6A所示,在多晶硅层3下在要被腐蚀的栅氧化膜2的区域之下,形成厚0.3-0.5微米的厚场氧化膜16。
这种情况下,第一步,如图6B所示,利用如CF4、CHF3、CH2F2、和C4F8等CF型气体或包括CF型气体的混合气体腐蚀整个多晶硅层3。这也在所腐蚀图形的侧壁上留下了碳氟类淀积物,并且淀积物的厚度随壁深度增大而增大。这样腐蚀后形成倾斜的侧壁。由于场氧化膜16足够厚,使得对该膜腐蚀的深度可在0.1微米。
尽管一般用CF4作CF型气体,但利用具有较强形成淀积物趋势的如CHF3、CH2F2、和C4F8等气体也可以实现本发明的效果。
在压力增大到20mT以上时,随着时间的延长,淀积的趋势增强,这样可以提供正向具有较大角度的锥形构形。
根据本实施例在腐蚀时附加如He、Ar等稀释气体可以调节在图形侧壁上淀积物的量,由此控制锥度。
另外,可以用图7A所示的RIE装置、图7B所示的ICP装置、或图7C所示的ECR装置代替图5所示的RIE腐蚀装置。
下面结合图8A和8B说明一个实施例,其中利用本发明腐蚀硅沟槽。如图8A所示,在硅衬底17上形成厚10-20nm的氧化硅膜18和厚100-150nm的氮化硅膜19,然后,在其上形成光刻胶图形20。
对图8A所示结构进行本发明的腐蚀方法,腐蚀硅衬底17。腐蚀条件与上述相同。
于是在硅衬底17中得到图8B所示具有倾斜侧壁的腐蚀孔。由于在硅中这样得到了呈正向锥形的腐蚀构形,所以最好可以在随后生长氧化膜期间将该孔掩埋。
下面结合图9A和9B说明一个实施例,在该实施例中利用本发明腐蚀电容元件。如图9A所示,腐蚀前该器件具有这样的结构,氧化膜22形成在硅衬底21上;接触孔23形成在氧化膜22中;然后形成以后将用作电容元件的多晶硅膜24;在多晶硅膜24上与接触孔23对准形成光刻胶图形25。
接着,如图9B所示,利用光刻胶25作掩模,利用本发明的腐蚀方法腐蚀多晶硅膜24,由于多晶硅膜24以后将用作电容元件,所以其必须具有大表面积。利用本发明的腐蚀方法将在腐蚀后提供具有相对于衬底21表面倾斜的侧壁的多晶硅膜24,从而提供所谓的正向呈锥形的构形。这便可以增大电容元件的表面积。如上所述,根据本发明,利用CF型气体在腐蚀的图形的侧壁上留下了碳氟化合物型淀积物,提供了腐蚀后具有倾斜侧壁构形的硅层(包括硅衬底)。本发明对半导体制造工艺有显著优点,包括可以改善掩埋于腐蚀孔中的上层的台阶覆盖等。

Claims (6)

1.一种腐蚀硅层的方法,包括以下步骤:
在硅层上形成掩模图形;
利用CF型气体或包括CF型气体的混合气体腐蚀所说硅层的一半;
利用Cl2、HBr、SF6、和O2中的至少一种气体腐蚀其余的所说硅层。
2.如权利要求1的腐蚀硅层的方法,其中所说CF型气体至少是从CF4、CHF3、CH2F2、和C4F8中选择的一种气体。
3.如权利要求1的腐蚀硅层的方法,其中在所说硅层下形成厚为6-10nm的氧化膜。
4.一种腐蚀硅层的方法,包括以下步骤:
在硅层上形成掩模图形;
用CF型气体或包括CF型气体的混合气体腐蚀所说硅层。
5.如权利要求4的腐蚀硅层的方法,其中CF型气体至少是从CF4、CHF3、CH2F2、和C4F8中选择的一种气体。
6.如权利要求4的腐蚀硅层的方法,其中在所说硅层下形成厚为0.3-0.5微米的场氧化膜。
CN99100182A 1998-01-16 1999-01-15 腐蚀硅层的方法 Expired - Fee Related CN1110843C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP007082/1998 1998-01-16
JP00708298A JP3252780B2 (ja) 1998-01-16 1998-01-16 シリコン層のエッチング方法

Publications (2)

Publication Number Publication Date
CN1224234A true CN1224234A (zh) 1999-07-28
CN1110843C CN1110843C (zh) 2003-06-04

Family

ID=11656179

Family Applications (1)

Application Number Title Priority Date Filing Date
CN99100182A Expired - Fee Related CN1110843C (zh) 1998-01-16 1999-01-15 腐蚀硅层的方法

Country Status (6)

Country Link
US (1) US6376383B2 (zh)
JP (1) JP3252780B2 (zh)
KR (1) KR100278464B1 (zh)
CN (1) CN1110843C (zh)
GB (1) GB2333267B (zh)
TW (1) TW419740B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7314792B2 (en) 2005-04-29 2008-01-01 Hynix Semiconductor Inc. Method for fabricating transistor of semiconductor device
CN101174563B (zh) * 2006-10-30 2010-06-02 海力士半导体有限公司 制造具有凹陷栅极的半导体器件的方法
US7858476B2 (en) 2006-10-30 2010-12-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
CN103903978A (zh) * 2012-12-27 2014-07-02 南亚科技股份有限公司 蚀刻方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19910886B4 (de) * 1999-03-11 2008-08-14 Infineon Technologies Ag Verfahren zur Herstellung einer flachen Grabenisolation für elektrisch aktive Bauelemente
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
KR100415088B1 (ko) * 2001-10-15 2004-01-13 주식회사 하이닉스반도체 반도체장치의 제조방법
KR100842902B1 (ko) * 2002-06-29 2008-07-02 주식회사 하이닉스반도체 반도체 소자의 분리 방법
KR100457046B1 (ko) * 2002-08-07 2004-11-10 삼성전자주식회사 반도체 장치의 제조에서 콘택 형성 방법
KR100486660B1 (ko) * 2002-09-05 2005-05-03 동부아남반도체 주식회사 반도체 소자의 연마 방법
CN1309867C (zh) * 2004-07-19 2007-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 减小微沟道效应的多晶硅刻蚀工艺
JP4312143B2 (ja) 2004-10-29 2009-08-12 富士通株式会社 ルール発見プログラム、ルール発見方法およびルール発見装置
WO2006081426A2 (en) * 2005-01-28 2006-08-03 Applera Corporation Compositions and methods for terminating a sequencing reaction at a specific location in a target dna template
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
WO2008039461A2 (en) * 2006-09-27 2008-04-03 Thinsilicon Corp. Back contact device for photovoltaic cells and method of manufacturing a back contact
WO2008150769A2 (en) * 2007-05-31 2008-12-11 Thinsilicon Corporation Photovoltaic device and method of manufacturing photovoltaic devices
CN102165604A (zh) * 2008-09-29 2011-08-24 薄膜硅公司 单片集成太阳能电池组件
JP2010113270A (ja) * 2008-11-10 2010-05-20 Toppan Printing Co Ltd 微小立体構造の製造方法及びそれに用いる露光用マスク
US8444766B2 (en) * 2008-12-10 2013-05-21 Thinsilicon Corporation System and method for recycling a gas used to deposit a semiconductor layer
WO2010129163A2 (en) * 2009-05-06 2010-11-11 Thinsilicon Corporation Photovoltaic cells and methods to enhance light trapping in semiconductor layer stacks
KR102223145B1 (ko) 2014-07-04 2021-03-05 삼성디스플레이 주식회사 박막 트랜지스터 기판, 이를 갖는 액정 표시 패널 및 이의 제조방법
CN112289676B (zh) * 2020-03-11 2023-06-13 深圳方正微电子有限公司 一种去除半导体器件制造中的多晶硅残留的方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5690525A (en) * 1979-11-28 1981-07-22 Fujitsu Ltd Manufacture of semiconductor device
JPS57170535A (en) 1981-04-15 1982-10-20 Toshiba Corp Etching method for thin silicon film
US4389294A (en) * 1981-06-30 1983-06-21 International Business Machines Corporation Method for avoiding residue on a vertical walled mesa
JPS5817619A (ja) 1981-07-23 1983-02-01 Toshiba Corp パタ−ン形成方法
US4656497A (en) * 1984-11-01 1987-04-07 Ncr Corporation Trench isolation structures
US5338398A (en) * 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
JP3024317B2 (ja) * 1991-10-25 2000-03-21 日本電気株式会社 半導体装置の製造方法
JP2758771B2 (ja) 1992-03-11 1998-05-28 シャープ株式会社 素子分離領域の形成方法
JP3161040B2 (ja) * 1992-06-16 2001-04-25 ソニー株式会社 半導体装置の製造方法
JP3124204B2 (ja) * 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
JPH08262489A (ja) 1995-03-24 1996-10-11 Sony Corp 半導体装置及び半導体装置の製造方法
JPH08274078A (ja) 1995-03-31 1996-10-18 Ricoh Co Ltd エッチング方法
US5767018A (en) * 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
JPH09266197A (ja) 1996-03-28 1997-10-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100230981B1 (ko) * 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5706164A (en) * 1996-07-17 1998-01-06 Vangaurd International Semiconductor Corporation Method of fabricating high density integrated circuits, containing stacked capacitor DRAM devices, using elevated trench isolation and isolation spacers
JP2956602B2 (ja) * 1996-08-26 1999-10-04 日本電気株式会社 ドライエッチング方法
JPH10177992A (ja) * 1996-12-16 1998-06-30 Sharp Corp 微細コンタクトホールのテーパエッチング方法
US5933759A (en) * 1996-12-31 1999-08-03 Intel Corporation Method of controlling etch bias with a fixed lithography pattern for sub-micron critical dimension shallow trench applications
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
EP0871213A3 (en) * 1997-03-27 1999-03-03 Siemens Aktiengesellschaft Method for producing vias having variable sidewall profile
US5856239A (en) * 1997-05-02 1999-01-05 National Semiconductor Corporaton Tungsten silicide/ tungsten polycide anisotropic dry etch process
US5801083A (en) * 1997-10-20 1998-09-01 Chartered Semiconductor Manufacturing, Ltd. Use of polymer spacers for the fabrication of shallow trench isolation regions with rounded top corners
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7314792B2 (en) 2005-04-29 2008-01-01 Hynix Semiconductor Inc. Method for fabricating transistor of semiconductor device
CN100407407C (zh) * 2005-04-29 2008-07-30 海力士半导体有限公司 用于制造半导体装置的晶体管的方法
CN101174563B (zh) * 2006-10-30 2010-06-02 海力士半导体有限公司 制造具有凹陷栅极的半导体器件的方法
US7858476B2 (en) 2006-10-30 2010-12-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
CN103903978A (zh) * 2012-12-27 2014-07-02 南亚科技股份有限公司 蚀刻方法
CN103903978B (zh) * 2012-12-27 2016-12-28 南亚科技股份有限公司 蚀刻方法

Also Published As

Publication number Publication date
KR19990067937A (ko) 1999-08-25
US6376383B2 (en) 2002-04-23
JP3252780B2 (ja) 2002-02-04
CN1110843C (zh) 2003-06-04
TW419740B (en) 2001-01-21
KR100278464B1 (ko) 2001-01-15
GB2333267B (en) 2000-04-26
GB2333267A (en) 1999-07-21
JPH11204504A (ja) 1999-07-30

Similar Documents

Publication Publication Date Title
CN1110843C (zh) 腐蚀硅层的方法
US6087265A (en) Method for removing redeposited veils from etched platinum
US4528066A (en) Selective anisotropic reactive ion etching process for polysilicide composite structures
US7618548B2 (en) Silicon-containing structure with deep etched features, and method of manufacture
US6037265A (en) Etchant gas and a method for etching transistor gates
US6777342B2 (en) Method of plasma etching platinum
US5453156A (en) Anisotropic polysilicon plasma etch using fluorine gases
US5899749A (en) In situ etch process for insulating and conductive materials
KR20030022361A (ko) 티타늄 질화물 에칭방법
US7351664B2 (en) Methods for minimizing mask undercuts and notches for plasma processing system
WO2002065539A1 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6491835B1 (en) Metal mask etching of silicon
JP2884970B2 (ja) 半導体のドライエッチング方法
CN1185029A (zh) 一种复合膜的干刻蚀方法
EP1299904A2 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6008131A (en) Bottom rounding in shallow trench etching using a highly isotropic etching step
JP3088178B2 (ja) ポリシリコン膜のエッチング方法
KR100503814B1 (ko) 반도체 소자의 게이트 형성 방법
US5767017A (en) Selective removal of vertical portions of a film
CN1077725C (zh) 一种在半导体器件中形成精细接触孔的方法
CN1022526C (zh) 硅的深槽刻蚀方法
CN1218279A (zh) 从氧化硅膜选择蚀刻氮化硅膜的方法
US6139647A (en) Selective removal of vertical portions of a film
CN1261999C (zh) 存储器元件的制造方法
KR20010080994A (ko) 알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: TOKYO, JAPAN TO: KANAGAWA, JAPAN

TR01 Transfer of patent right

Effective date of registration: 20100901

Address after: Kanagawa, Japan

Patentee after: NEC Corp.

Address before: Tokyo, Japan

Patentee before: NEC Corp.

ASS Succession or assignment of patent right

Owner name: RENESAS ELECTRONICS CO., LTD.

Free format text: FORMER OWNER: NEC CORP.

Effective date: 20101117

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20101117

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: NEC Corp.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030604

Termination date: 20140115