KR20010080994A - 알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭방법 - Google Patents

알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭방법 Download PDF

Info

Publication number
KR20010080994A
KR20010080994A KR1020017005956A KR20017005956A KR20010080994A KR 20010080994 A KR20010080994 A KR 20010080994A KR 1020017005956 A KR1020017005956 A KR 1020017005956A KR 20017005956 A KR20017005956 A KR 20017005956A KR 20010080994 A KR20010080994 A KR 20010080994A
Authority
KR
South Korea
Prior art keywords
etching
plasma
aluminum
gas
etch
Prior art date
Application number
KR1020017005956A
Other languages
English (en)
Inventor
티모시 알. 웹
사비타 난잔구드
마린 리
제프리 스토크스
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010080994A publication Critical patent/KR20010080994A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명은 염소 함유 가스와 탄화수소 함유 가스를 포함하는 공급 가스로부터 생성된 플라즈마를 사용하여 알루미늄 또는 알루미늄 합금의 기본적으로 잔류물 없는 이방성 에칭을 위한 방법이다. 에칭은 플라즈마 생성원과 기판 바이어스 수단의 분리된 전력 제어를 제공하는 처리 장치 내에서 발생한다. 에칭은 고밀도 플라즈마(1011e-/㎤ 이상)와 낮은 기판 바이어스(약 200V 이하)를 사용하여 수행된다. 본 발명에 따른 방법은 마스크 층의 수명을 연장하면서, 수용할 수 있는 에칭 속도와 양호한 에칭 프로파일을 제공한다. 본 발명에 따른 방법은 높은 합금 함유량(즉, 0.5% 이상)을 갖는 알루미늄 합금의 에칭에 특히 유용하다. 본 발명에 따른 방법은 또한 큰 개방 영역(즉, 개방 영역은 웨이퍼 표면적의 65% 이상을 포함)을 갖는 기판 상에 증착된 알루미늄 또는 알루미늄 합금 층의 기본적으로 잔류물 없는 에칭에 특히 유용하다.

Description

알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭 방법 {METHOD FOR RESIDUE-FREE ANISOTROPIC ETCHING OF ALUMINUM AND ITS ALLOYS}
알루미늄 및 알루미늄 합금의 다양한 에칭 방법이 본 발명의 기술 분야에서 개시된다. 이러한 다양한 방법이 후술된다.
나와타(Nawata) 등에게 1986년 10월 21일 허여된 미국 특허 제 4,618,398호에는 건식 에칭 방법이 개시되는데, 삼염화 보론, 염소의 가스 혼합물인 에칭 공급원과 탄화수소가 알루미늄 및 알루미늄 합금을 에칭하기 위해 플라즈마로 전환된다. 본 발명에 의해 저 플라즈마 RF 밀도에서 고속으로 알루미늄 또는 알루미늄 합금의 이방성 에칭을 수행할 수 있다.
프랭크(Frank)에게 1994년 1월 11일 허여된 미국 특허 제 5,277,750호에는 에칭 마스크를 사용하여 반도체 집적 회로 내의 알루미늄 또는 알루미늄 합금을 포함하는 금속층을 이방성 건식 에칭하는 방법이 개시된다. 에칭은 일반적인 조건에서 휘발성인 요오드 화합물을 함유하는 에칭 가스 혼합물을 엄격하게 이방성으로 에칭하여 수행되며, 여기서 정확히 수직으로 형성된 형태의 전도성 라인이 형성된다.
하야사카(Hayasaka) 등에게 1994년 3월 29일 허여된 미국 특허 제 5,298,112호에는 반도체 장치를 제조하는데 사용하는 재료를 함유하여 반응 챔버 내에서 할로겐 원소를 포함하는 가스와 수소 원자를 포함하는 가스를 사용하거나 불소를 함유하는 가스, 산소를 함유하는 가스, 및 염소를 함유하는 가스를 사용하여 처리될 복합 재료를 제거하는 방법 및 장치가 개시된다.
마(Ma) 등에게 1998년 7월 14일 허여된 미국 특허 제 5,779,926호에는 기판 상에 에칭 잔류물을 형성함이 없이 기판 상의 알루미늄 합금의 다중 부재를 에칭하는 방법이 개시된다. 상기 방법에서, 기판은 플라즈마 생성기와 플라즈마 전극을 포함하는 처리 챔버 내에 장착된다. (ⅰ) 해리된 Cl플라즈마 이온과 해리되지 않은 Cl2 플라즈마 이온을 형성하도록 이온화될 수 있는 염소 함유 가스와 (ⅱ) 염소 함유 가스의 해리도를 향상시킬 수 있는 불활성 가스의 부피 유동 비(Vr)를 갖는 처리 가스는 처리 챔버 내로 유입된다. 처리 가스는 (ⅰ) 제 1 전력 수준에서 RF 전류를 플라즈마 생성기에 가하는 단계와 (ⅱ) 제 1 전력 수준에서 RF 전류를 플라즈마 전극에 가하는 단계에 의해 기판 상에 에너지적으로 충돌하는 플라즈마 이온을 형성하도록 이온화된다. 처리 가스의 부피 유동 비(Vr)와 제 1 전력 수준 대 제 2 전력 수준의 전력 비(Pr)의 조합이 선택되어 염소 함유 에칭 가스는 약 0.6 : 1 이상의 비로 해리된 Cl플라즈마 이온과 해리되지 않은 Cl2 플라즈마 이온을 형성하도록 이온화한다. 해리되지 않은 Cl2 이온에 비해 해리된 Cl이온의 양의 증가는, 기판 상에 에칭 잔류물을 형성함이 없이, 기판 상의 다성분 합금을 약 500 nm/분 이상의 에칭 속도로 에칭한다.
알루미늄 또는 알루미늄 합금을 에칭할 때 수용할 수 있는 에칭 프로파일을 얻기 위해, 마스크를 통해 미세구조물의 수직 에칭 계속 중에 부수적인 반응 종에 의한 또다른 에칭으로부터 에칭된 미세구조물의 벽을 보호하기 위해 에칭된 측벽을 패시베이트하는 것이 중요하다는 것은 본 발명의 기술분야에서 공지되어 있다. 패시베이팅 박막은 측벽이 형성될 때 에칭된 미세구조물의 측벽 상에 보호 박막을 형성하도록 반응하는 가스 화합물(즉, 측벽 패시베이팅 화학제)에 의해 형성된다. 질소(N2) 가스는 측벽 패시베이팅 화학제로 공통적으로 사용된다. 질소 패시베이션이 수용할 수 있는 프로파일을 제공하지만, 질소 가스의 사용으로 제거하기 어려운 규소 질화물 또는 구리 질화물(알루미늄-구리 합금을 에칭할 때)과 같은 바람직하지 않은 잔류물을 생성하게 된다. 남겨진다면, 이러한 잔류물은 금속 상호 연결 라인을 교락(bridge)할 수 있고, 이는 장치 내의 전기적 문제(예를 들어, 단락)를 야기한다.
탄화수소물(CH4와 같은)은 측벽 패시베이팅 화학물로 사용되어 왔다. 그러나, 일반적인 플라즈마 에칭 챔버 내에서, 패시베이팅 화학물로서 탄화수소의 사용은 바람직하지 않은 정도의 알루미늄 에칭 속도를 나타낸다(즉, 약 5,000 Å/분 이하). 전기 용량적으로 결합되거나 유도적으로 결합된 에칭 챔버 내에서 알루미늄의 에칭 속도를 개선하기 위해, 에칭 중에 높은 전력 밀도(즉, 약 5 ㎽/㎠, 또는 약 1 ㎃/㎠ 이상)가 사용될 때, 기판 표면의 이온 침식을 발생하여, 알루미늄층 뿐만 아니라 마스크층을 신속하게 에칭한다. 마스크층의 두께가 보상하도록 증가된다면, 소정의 에칭된 미세구조물의 프로파일을 달성하는 것은 어렵다.
기본적으로 잔류물이 없는 에칭된 표면을 제공하면서, 마스크층 상에 알루미늄에 대한 수용가능한 선택도, 소정의 에칭 프로파일, 및 수용가능한 알루미늄 에칭 속도를 제공하는 알루미늄 및 알루미늄 합금의 에칭 방법을 제공하는 것이 바람직하다.
본 발명은 알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭에 관한 것이다.
도 1은 본 발명에 유용한 에칭 처리 장치의 실시예인 어플라이드 머티어리얼스(Applied Materials)사의 센튜라(CENTURA, 등록 상표) 에칭 시스템의 개략적 횡단면도이다.
도 2a는 도 1에 도시된 어플라이드 머티어리얼스사의 센츄라 에칭 시스템 내에 사용된 형태의 개개 금속 에칭의 분리 플라즈마 공급(decoupled plasma source, DPS) 챔버의 상세 개략도이다.
도 2b는 미국 특허 제 5,779,926호에서 발췌한 개개 금속 에칭의 DPS 챔버의 개략적인 수직 횡단면도이다.
도 3은 기판(310) 상에 증착된 알루미늄 층(308) 내에서 에칭된 미세구조물(306)의 설명도이다. 이러한 설명도는 소정의 수직 벽(90°)으로부터 변하는 협각을 참조하여, 알루미늄 라인 측벽의 에칭 프로파일이 측정되는 방법을 도시하기 위해 제공된다.
도 4는 알루미늄 에칭 최종 시간(즉, 최종 시간이 짧으면, 에칭 속도는 더 빠름)에 대한 공급 가스의 유동 속도(402), Cl2: CH4의 비(404), 처리 챔버의 압력(406), 및 공급원 전력(408)의 증가 효과를 도시하는 그래프이다.
도 5는 알루미늄 라인 측벽의 에칭 프로파일 각도에 대한 공급 가스의 유동 속도(502), Cl2: CH4의 비(504), 처리 챔버의 압력(506), 및 공급원 전력(508)의 증가 효과를 도시하는 그래프이다.
도 6은 에칭 프로파일 미세로딩(microloading)(△°, 즉 조밀하게 정렬된 라인의 평균 에칭 프로파일 각과 동일한 기판 상에서 고립된 라인의 평균 에칭 프로파일 각 사이의 차이)에 대한 공급 가스의 유동 속도(602), Cl2: H4의 비(604), 처리 챔버의 압력(606), 및 공급원 전력(608)의 증가 효과를 도시하는 그래프이다.
도 7은 에칭 후 잔류하는 잔류물의 량에 대한 공급 가스의 유동 속도(702),Cl2: CH4의 비(704), 처리 챔버의 압력(706), 및 공급원 전력(708)의 증가 효과를 도시하는 그래프이다. 에칭 후 잔류하는 잔류물의 량은 20 k 배율로 찍은 주사 전자 현미경의 비교 평가 후에 할당된 임의 단위로 도시되며, 소정의 표면적 상에 존재하는 증착물의 수는 1 내지 10 범위로 비교되고 평가된다.
도 8은 에칭의 완성 후에 웨이퍼의 중앙과 에지에 잔류하는 포토레지스트의 총량에 대해 1800W의 일정한 공급 전력을 유지하면서, 바이어스 전력 증가의 효과를 도시하는 그래프이다.
도 9는 알루미늄 합금의 에칭 속도 지표인 알루미늄 합금의 에칭 최종 시간에 대해 Cl2의 유동 속도(902), BCl3의 유동 속도(904), Ar의 유동 속도(906), CH4의 유동 속도(908)의 변화 효과를 도시하는 그래프이다.
도 10은 에칭 후에 잔류하는 잔류물의 양에 대해 Cl2의 유동 속도(1002), BCl3의 유동 속도(1004), Ar의 유동 속도(1006), CH4의 유동 속도(1008)의 변화 효과를 도시하는 그래프이다. 잔류물은 20k 배율로 찍은 SEM 상에 도시된 것처럼 약 2 ㎛2의 영역에서 관찰된 증착물의 수를 계산함으로써 양이 정해진다.
도 11은 포토레지스트 에칭 속도에 대해 Cl2의 유동 속도(1102), BCl3의 유동 속도(1104), Ar의 유동 속도(1106), CH4의 유동 속도(1108)의 변화 효과를 도시하는 그래프이다.
일반적인 플라즈마 처리 장치에서, 플라즈마 생성원 전력은 기판 바이어싱 수단용 전력과 공통 제어하에 있다. 예를 들어, 평행 판 플라즈마 챔버 내에서, 플라즈마 공급원의 전력 증가는 자동적으로 기판을 바이어스하는 기판 받침대로의 전력을 증가시킨다.
기판 장치를 손상시키지 않으면서 에칭 공정 중에 주변의 에칭 마스크 재료 상의 알루미늄에 대한 증가된 선택도를 제공하기 위해, 기판 바이어싱 장치에 대한 전력 제어와 분리된 플라즈마 공급 전력을 제어하는 플라즈마 생성 장치를 사용한다. 또한, 알루미늄에 대한 만족스런 에칭 속도를 유지하면서, 상술된 분리된 전력 제어의 사용으로 탄화수소를 함유하는 재료를 에칭 공정에 부가할 수 있다.
플라즈마 공급 가스 또는 기판이 에칭되는 처리 챔버(또는 모두)에 탄화수소의 부가와 함께, 플라즈마 생성원과 기판을 바이어스하는데 사용되는 장치를 위한 분리된 전력 제어 장치의 사용으로 인접 마스크 재료 상에 알루미늄에 대한 에칭 선택도를 동시에 개선할 수 있으며, 에칭된 알루미늄 미세구조물의 측벽(즉, 측벽 패시베이션을 제공함)을 보호한다. 탄화수소를 함유하는 재료의 존재 결과로서 에칭된 미세구조물의 측벽 상에 형성된 폴리머는 이방성 에칭 조건 하에서 에칭된 알루미늄 측벽을 보호하는데 적합하다.
플라즈마 생성원에 가해지는 전력을 증가시킴으로써, 미세구조물 표면 상에 존재하는 반응 종의 수가 상당히 증가될 수 있다. 기판 바이어스를 분리되게 제어함으로써, 이러한 바이어스는 모든 수평 미세구조물의 표면에 심한 이온 침식을 야기하지 않고 이방성 에칭 조건을 형성하도록 설정될 수 있다. 결과적으로, 에칭 종의 조성(이온 침식보다 오히려)은 인접한 마스킹 재료 상에 알루미늄에 대한 선택도를 제어하며, 이방성 에칭 조건을 형성하는 충분한 기판 바이어스가 달성된다. 미세구조물 표면에 있는 플라즈마 종에 탄화수소를 동시에 부가함으로써, 폴리머 박막이 미세구조물의 측벽과 바닥에 증착된다. 미세구조물의 측벽은 이방성 에칭 중에 에칭액 종에 노출되지 않기 때문에, 폴리머의 박막은 측벽의 표면이 에칭되는 것을 보호하며 미세구조물의 바닥에 있는 폴리머 층은 제거된다(수평면, 즉, 미세구조물의 필드 표면 상과 바닥에 증착된 폴리머의 박막은 이방성 에칭중에 제거됨).
본 발명에 따른 방법은 염소 함유 가스와 탄화수소 함유 가스를 포함하는 플라즈마 공급원 가스로부터 형성된 플라즈마를 사용하여 알루미늄 또는 알루미늄 합금을 이방성 에칭하는 단계를 포함한다. 에칭 단계는 플라즈마 형성 공급원에 대해 분리된 전력 조절과 기판 바이어싱 수단을 갖는 처리 장치 내에서 발생한다.
바람직하게, 염소 함유 가스는 Cl2, HCl, BCl3, CCl4, SiCl4, CHCl3, CCl2F2, CHCl2F, 이들의 조합물로 구성된 그룹으로부터 선택된다. 보다 구체적으로, 염소 함유 가스는 불소를 포함하지 않는다. 가장 바람직하게, 염소 함유 가스는 Cl2이다.
탄화수소 함유 가스는 바람직하게 화학시 CxHy를 가지며, x가 약 1 내지 약 5 범위일 때, y는 약 1 내지 약 12 범위이다. 보다 바람직하게, x는 1 내지 3 범위이며, y는 1 내지 6 범위이다. 가장 바람직하게, 탄화수소 함유 가스는 CH4이다.
플라즈마 공급원 가스 내의 염소 대 탄소의 원자비는 바람직하게 약 5 :1 내지 약 20 : 1 범위이며, 가장 바람직하게, 약 10 : 1 내지 약 20 : 1 범위이다. 탄화수소 내의 수소 : 탄소의 원자비는 바람직하게 약 1 :1 내지 약 4 : 1 범위이다.
플라즈마 공급원 가스는 에칭 프로파일 제어를 돕는 첨가 가스를 포함한다. 첨가 가스는 바람직하게 BCl3, N2, CF4, C2F6, C4F8, CHF3, CH2F2, CHCl3, CHCl2F, CCl2F2, C2Cl2F4, CBrF3, CBr2F2, O2, 및 이들의 조합물로 구성된 그룹으로부터 선택된다. 그러나, 다른 유사한 첨가 가스가 프로파일 제어를 위해 사용될 수도 있다.보다 구체적으로, 첨가 가스는 산소를 포함하지 않는다. 가장 바람직하게, 첨가 가스는 BCl3이다.
플라즈마 공급원 가스는 일반적으로 아르곤, 헬륨, 크세논, 크립톤, 및 이들의 조합물로 구성된 그룹으로부터 선택된 비반응성 희석 가스를 포함하며, 아르곤이 저가이므로 바람직하다.
플라즈마의 전자 밀도는 바람직하게 1011e-/㎤ 이상이며, 가장 바람직하게, 약 1012e-/㎤이다. 기판 바이어스는 바람직하게 약 -200V 이하이다. 가장 바람직하게, 기판 바이어스는 약 -50V 내지 약 -150V 범위이다.
본 발명에 따른 방법은 순수 알루미늄보다 바람직하지 않은 잔류물을 형성하는 경향이 있는 알루미늄-구리 및 알루미늄-구리-규소 합금과 같은 알루미늄 합금을 에칭하는데 특히 유용하다.
본 발명에 따른 방법은 기본적으로 큰 개방 면적(즉, 개방 면적은 웨이퍼 표면적의 약 65% 이상을 포함)을 갖는 기판 상에 증착된 알루미늄 또는 알루미늄 합금의 잔류물 없는 에칭에 특히 유용하다.
상호연결 구조물과 콘택트를 포함하는 반도체 장치 내에서 기본적으로 알루미늄과 알루미늄 합금의 잔류물 없는 에칭을 위한 개선된 방법을 발견했다. 상기 방법 자체와 본 발명에 따른 방법을 수행하는 바람직한 처리 변수가 상세히 후술된다.
Ⅰ. 정의
상세한 설명의 서두로서, 본 발명의 명세서와 청구범위에서 사용된 것처럼, 단수 형태 "a", "an" 및 "the"는, 문장에서 그렇지 않다고 명확히 나타내지 않는 한, 복수형태도 포함한다고 이해해야 한다.
본 발명의 상세한 설명에서 특히 중요한 특정 용어가 후술된다.
"알루미늄 합금"이란 용어는 반도체 산업에서 일반적으로 사용되는 종류의 알루미늄 합금을 포함한다. 이러한 합금은, 제한은 아니지만, 예를 들어, 알루미늄-구리 합금, 및 알루미늄-구리-규소 합금을 포함한다. 일반적으로, 상기 합금의 알루미늄 함유량은 90% 이상이다.
"이방성 에칭"이란 용어는 모든 방향에 대해 동일한 속도로 진행하지 않는 에칭을 지칭한다. 에칭이 배타적으로 한 방향으로 진행한다면(예를 들어, 단지 수직으로), 에칭 공정은 완전 이방성이라고 지칭된다.
"종횡비"라는 용어는 특정 미세구조물의 높이 치수 대 폭 치수의 비를 지칭한다. 미세구조물이 하나 이상의 폭을 가지는 상황에서, 최소 폭이 종횡비를 계산하는데 사용된다.
"바이어스 전력"이란 용어는 기판 표면 상에 음의 전압을 형성하도록 기판지지 플레이튼에 가해진 전력을 지칭한다. 일반적으로, 음의 전압은 이온의 침식 에너지와 기판을 향한 이온의 방향성을 제어하는데 사용된다.
여기서 사용된 "염소"란 용어는 반응성 에칭종을 생성할 수 있는 다른 염소 함유 화합물 뿐만 아니라 Cl2를 포함하도록 의도된다.
"분리된 플라즈마 공급원"이란 용어는 플라즈마 공급 생성기와 기판 바이어스 장치로 전력 입력의 분리 조절을 갖는 플라즈마 생성 장치를 지칭한다. 일반적으로, 플라즈마 공급 전력 제어기는 플라즈마를 생성하는데 사용되는 유도 결합된 RF 전력 공급원을 제어하며 플라즈마 밀도를 결정하며 바이어스 전력 제어기는 반도체 기판 표면 상에서 DC 바이어스 전압을 형성하는데 사용되는 RF 전력의 공급을 제어한다. 바이어스 전압은 기판 표면 상에서 이온의 침식 에너지에 영향을 준다. 이러한 분리된 플라즈마 공급원은 일반적으로 측정치를 결합하여 공급원과 바이어스의 서로에 대한 영향을 분리시킨다. 분리된 플라즈마 공급 전력과 바이어스 전력 제어를 포함하는 캘리포니아, 산타클라라 소재의 어플라이드 머티어리얼스(Applied Materials)사로부터 제조되어 이용되고 있는 엔듀라(ENDURA, 등록 상표) 금속 증착 시스템과 센츄라(CENTURA, 등록 상표) 금속 에칭 시스템은 "DPS"로 지칭된다. 다른 제조사로부터 제조되어 이용되고 있는 유사한 설비가 다른 명칭으로 지칭될 수도 있다.
"에칭 프로파일"이란 용어는 일반적으로, 제한은 아니지만, 에칭된 알루미늄 라인 측벽의 단면 프로파일을 지칭한다. 많은 경우에, 에칭 프로파인은 측벽과 하부 기판 사이의 각도로 설명된다. 각도가 90°일 때, 측벽은 기판에 수직하다. 이것이 일반적으로 바람직하다. 각도가 90°이상(양)일 때, 라인 측벽은 테이퍼졌다고 한다(즉, 라인은 기판과 접촉하는 기저에서 더 넓음). 각도가 90°이하(음)일 때, 라인 측벽은 후퇴(retrograde) 또는 언더컷(undercut)이라고 한다(즉, 라인은 상부 표면에서보다 기저에서 더 좁음). 도 3은 양 및 음의 각도의 라인 측벽 프로파일을 도시한다.
"에칭 프로파일 미세로딩"이란 용어는 동일한 기판 상에서 조밀하게 정렬된 라인의 평균 에칭 프로파일 각도와 고립된 라인의 평균 에칭 프로파일 각도 사이의 차이를 언급한다. 예를 들어, 조밀하게 정렬된 라인의 평균 에칭 프로파일 각도는 90°이고, 동일한 기판상에 고립된 라인의 평균 에칭 프로파일 각도가 85°이면, 에칭 프로파일 미세로딩은 5°(즉, 90°- 85° = 5°)이다.
"미세구조물"이란 용어는, 제한은 아니지만, 기판 표면의 형태를 이루는 상호연결부, 콘택트, 바이어스, 트렌치, 및 다른 구조물을 지칭한다.
"최소 배선폭"이란 용어는 일반적으로 미세구조물의 가장 작은 치수를 지칭한다.
"고밀도 플라즈마"란 용어는, 제한은 아니지만, 1011e-/㎤ 이상의 전자 밀도를 갖는 플라즈마를 지칭한다.
"탄화수소"란 용어는 제한은 아니지만, 일반식 CxHy를 갖는 수소 및 탄소 함유 화합물을 지칭하며, x는 바람직하게 약 1 내지 약 5 범위이며, y는 바람직하게약 1 내지 약 12 범위이다.
"이온 침식"이란 용어는, 제한은 아니지만, 이온(및 이온 내에 존재하는 원자의 다른 여기 종)에 의한 기판 표면의 물리적 침식을 지칭한다. 이온 침식은 기판 표면으로부터 원자를 제거하는데 종종 사용되며, 물리적 운동량 전달은 원자 제거를 달성하는데 사용된다.
"개방 면적"이란 용어는 개구가 기판 내에 형성된 면적을 지칭한다(예를 들어, 기판은 콘택트 바이어스, 트렌치, 등을 형성하도록 패턴화되고 에칭됨). 큰 개방 면적을 갖는 기판은 개구가 기판 표면의 높은 %(즉, 약 65% 이상)로 형성된 기판이다.
"산화물 손실"이란 용어는 일반적으로 기판과 확산 배리어층 사이에 샌드위치된 규소 산화물 층의 소멸을 지칭한다.
"플라즈마"란 용어는 소정의 다른 비이온화된 가스 입자 뿐만 아니라 기본적으로 동일한 수의 양 및 음 차지를 포함하는 부분적으로 이온화된 가스를 지칭한다.
"측벽 패시베이션"이란 용어는 마스크를 통해 미세구조물의 계속된 수직 에칭 중에 입사 반응 종에 의한 또다른 에칭으로부터 에칭된 미세구조물의 측벽을 보호하는 것을 지칭한다.
"공급 전력"이란 용어는, 초단파 플라즈마 생성기의 경우처럼, 에칭 챔버 내에서 직접 작용하든 원격작동이든 간에, 플라즈마 이온과 중성자를 생성하는데 사용되는 전력을 지칭한다.
Ⅱ. 본 발명을 수행하기 위한 장치
플라즈마 생성원과 기판 바이어싱 수단으로 전력의 분리된 제어를 갖는 장치가 제 11회 플라즈마 처리에 관한 국제 심포지엄(1995 5월 7일)의 회보에서 얀 예(Yan Ye) 등에 의해 개시되고 전기화학 협회의 회보(1996년 96-12호 222 내지 233쪽)에 공개되었다.
도 1은 본 발명의 실행에 사용될 수 있는 에칭 처리 장치인 어플라이드 머티어리얼스사의 센츄라(등록 상표) 에칭 시스템(캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스사)의 개략적 횡단면도이다. 센츄라(등록 상표) 에칭 시스템은 완전 자동화된 반도체 제조 시스템이며, 200 ㎜ 웨이퍼를 수용하는 단일 웨이퍼식, 다중 챔버 디자인을 사용한다. 도 1에 도시된 것처럼, 센츄라(등록 상표) 에칭 시스템은 분리된 플라즈마 공급(DPS) 챔버(102), 향상된 스트립 및 패시베이션(ASP) 챔버(104), 웨이퍼 방향 챔버(106), 쿨다운 챔버(108), 독립 작동식 로드락 챔버(110)를 포함한다. 아래의 실시예 1 및 2에서 설명된 실시예는 시스템 2982 센츄라(등록 상표) 에칭 시스템을 사용하여 수행되었다. 시스템 2982는 시스템 2982가 단지 하나의 ASP 챔버를 포함한다는 점에서 도 1에 도시된 일반적인 센츄라(등록 상표) 에칭 시스템과 상이하다.
도 2a는 센츄라(등록 상표) 에칭 시스템에서 사용된 형태의 개개 금속 에칭 DSP 챔버(102)의 상세한 개략도이다. 금속 에칭 DSP 챔버(102)는 세라믹 돔(202), 표준 단극성 정전기적 척(ESC)(204), 및 1.0 인치 포커스 링(202)을 포함한다. 돔(202)은 처리 중에 입자의 형성을 제어하기 위해 등온에서 유지된다. 가스는 균일한 가스 분포를 위해 4 개의 세라믹 가스 분사 노즐(208)을 통해 챔버 내로 유입된다. 챔버의 압력은 독특한 플런지 형의 스로틀 밸브(212)를 갖는 밀폐 루프식 압력 제어 시스템(210)에 의해 제어된다.
DSP 에칭 챔버(102)는 고밀도 플라즈마(즉, 1011e-/㎤ 이상의 전자 밀도를 가짐)를 생성하고 유지하기 위해, 유도 플라즈마 공급원, 약 2 ㎒에 맞추어진 주파수를 사용한다. 웨이퍼는 13.56 ㎒의 RF 전력 공급원으로 바이어스된다. 플라즈마 공급원의 분리된 특성은 이온 에너지와 이온 밀도의 독립적인 제어를 허용하여, 공급 및 바이어스 전력, 압력, 및 금속 에칭 가스 화학물의 변화에 대해 넓은 처리 창을 갖는 매우 균일한 플라즈마(5% 이하의 변화)를 제공한다.
도 2b는 개개 금속 에칭 DSP 챔버(102)의 개략적 수직 횡단면도이다. 에칭 공정에서, 기판(225)은 처리 챔버 내에 장착되고 정전기적 척(273)에 의해 적절하게 유지된다. 정전기적 척(273)은 독립적으로 제어된 플라즈마 전극(RF) 전력 공급원(270)에 연결된 캐소드 플라즈마 전극(257)을 덮는다. 챔버의 벽(263)은 애노드 플라즈마 전극(258)을 형성하도록 전기적으로 접지된다. 플라즈마 공급 가스는 기판(225) 상의 주변에 위치된 가스 분배기(265)에 의해 처리 챔버(102)로 유입되어 분포된다. 플라즈마 이온은 독립적으로 제어된 플라즈마 생성기(RF) 전력 공급원(268)에 연결된 유도 코일 플라즈마 생성기(255)에 RF 전류를 가함으로써 플라즈마 공급 가스로부터 형성된다. 캐소드 전극(257)은 RF 전압을 전력 공급원(270)을 통해 캐소드 전극(257)에 가함으로써 애노드 전극(258)에 관해 전기적으로 바이어스되어, 챔버(102) 내에 형성된 플라즈마 이온이 기판(225)으로 끌려, 에너지적으로 충돌하며, 기판(225)을 에칭한다. 소모된 처리 가스와 에칭 부산물은 배출 시스템(274)을 통해 처리 챔버(102)로부터 배출된다. 스로틀 밸브(276)는 챔버(102) 내의 압력을 제어하기 위해 배출 시스템 내에 제공된다. 마(Ma) 등에게 1998년 7월 14일에 허여된 미국 특허 제 5,779,926호에는 금속 에칭 DPS 챔버(102)의 보다 상세한 설명이 개시되며, 본원에 참조되었다.
아래의 3, 4, 및 5 실시예에 수행된 실행은 어플라이드 머티어리얼스사의 5084 시범 에칭 처리 시스템을 사용하여 수행되었다. 시스템 5084는 시스템 2982 센츄라(등록 상표) 에칭 시스템과 매우 유사한 단일 웨이퍼식 다중 챔버 설계를 사용한 완전 자동화된 반도체 제조 시스템이다. 시스템 5084는 중앙 로드락 챔버에 부착된 세 개의 처리 챔버(두 개의 기본 DPS 챔버와 하나의 ASP 챔버)를 지지한다. 시스템 5084 장치는 어플라이드 머티어리얼스사의 시스템 2982 장치와 유사한 방식으로 동일한 기능을 수행할 수 있다.
Ⅲ. 알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭 방법
본 발명에 따른 방법은 독립적으로 제어된 플라즈마 생성원과 기판 바이어싱 수단과 함께, 반응성, 염소 함유 종과 탄화수소 함유 가스를 포함하는 플라즈마 공급 가스로부터 생성된 플라즈마를 사용하여 알루미늄 또는 알루미늄 합금을 이방성 에칭하는 단계를 포함한다. 에칭 처리 챔버는 플라즈마 이온 함유량을 증가시키기 위해 RF 연결된 내측 코일의 존재 또는 부존재 하에 외측 또는 국부적으로 생성된 플라즈마 공급원을 사용한다.
염소 함유 종은 일반적으로 Cl2, HCl, BCl3, CCl4, SiCl4, CHCl3, CCl2F2, CHCl2F, 이들의 조합물로 구성된 그룹으로부터 바람직하게 선택된 가스로부터 생성된다. 보다 바람직하게, 염소 함유 종은 불소를 포함하지 않는 가스로부터 생성된다. 가장 바람직하게, 염소 함유 종은 Cl2로부터 생성된다.
에칭된 알루미늄 미세구조물 표면에 대해 측벽 패시베이션을 제공하기 위해 사용되는 탄화수소 함유 가스는 일반적으로 플라즈마 공급 가스를 구성하는 다른 가스에 첨가된다. 탄화 수소 함유 가스는 바람직하게 화학식 CxHy를 가지며, x는 일반적으로 약 1 내지 약 5 범위이며, y는 약 1 내지 약 12 범위이다. 보다 바람직하게, x는 1 내지 3 범위이며, y는 1 내지 6 범위이다. 가장 바람직하게, 탄화수소 함유 가스는 CH4이다.
여기서 사용된 것처럼, "측벽 패시베이션"이란 용어는 마스크를 통해 미세구조물의 연속된 수직 에칭 중에 입사 반응 종에 의한 또다른 에칭으로부터 에칭된 미세구조물의 측벽을 보호하는 것을 지칭한다. 게다가, 플라즈마 공급 가스 내의 염소, 탄소, 및 수소의 관계비를 조절함으로써, 에칭의 완성 후에 미세구조물의 전체 표면을 패시베이트하는 부가적인 잇점을 얻을 수 있으며, 이에 의해 연속 처리 및 장치의 사용 중에 개선된 부식 저항성을 제공한다. 염소 또는 염소 함유 가스와 탄화수소 함유 가스는 바람직하게 플라즈마 공급 가스 내에 염소 : 탄소의 원자비가 약 5 : 1 내지 약 200 : 1 , 보다 바람직하게 약 10 : 1 내지 약 10 : 1 범위내의 관계량으로 제공된다. 탄화수소 내의 수소 : 탄소의 원자비는 바람직하게 약 1 : 1 내지 약 4 : 1 범위이다.
플라즈마 공급 가스는 또한 프로파일 제어를 돕는 첨가 가스를 포함하는데, 상기 첨가 가스는 바람직하게 BCl3, N2, CF4, C2F6, C4F8, CHF3, CH2F2, CHCl3, CHCl2F, CCl2F2, C2Cl2F4, CBrF3, CBr2F2, O2, 및 이들의 조합물로 구성된 그룹으로부터 선택된다. 그러나, 다른 유사한 첨가 가스가 프로파일 제어를 위해 사용될 수도 있다. 보다 구체적으로, 첨가 가스는 산소를 포함하지 않는다. 플라즈마 공급 가스 내의 산소의 존재는 포토레지스트 마스크 재료에 관해서 알루미늄의 우선적인 선택을 위해 플라즈마 처리 가스의 선택도를 낮출수 있으며, 포토레지스트 마스크 층에 대해 원치 않는 에칭 속도를 나타낸다. 가장 바람직한 첨가 가스는 BCl3이다.
플라즈마 공급 가스는 일반적으로 비반응성 희석 가스를 포함하며, 비반응성 희석 가스는 아르곤, 헬륨, 크세논, 크립톤, 및 이들의 조합물로 구성된 그룹으로부터 선택되며, 아르곤이 저가이므로 바람직하다.
에칭은 플라즈마 공급 전력이 기판 바이어스 전력으로부터 분리되어 제어되는 처리 장치를 사용하여 수행된다. 일반적인 설명이 섹션 Ⅱ에 제공된다. 플라즈마의 전자 밀도는 바람직하게 1011e-/㎤이며, 보다 바람직하게 약 1012e-/㎤ 이상이다.
에칭 마스크 층의 표면 상에 이온 침식의 양을 감소시키면서, 이방성 에칭을 제공하기 위해, 기판 바이어스 전력은 주의 깊게 제어된다. 여기서 설명된 장치에서, 바이어스 전력은 바람직하게 약 300 W 이하이며, 보다 바람직하게, 약 200 W 이하이며, 가장 바람직하게, 약 100 W 이하이다.
아래의 표 1은 도 1과 도 2에 도시되고, 섹션 Ⅱ에서 설명된 어플라이드 머티어리얼스사의 시스템 2982 센츄라(등록 상표) 에칭 시스템을 사용하여, 본 발명의 방법에 따라 기본적으로 잔류물 없는 알루미늄 및/또는 알루미늄 합금의 에칭을 위한 바람직한 공정 조건을 제공한다.
알루미늄 및 알루미늄 합금의 에칭을 위한 바람직한 공정 조건
공정 변수 바람직한 공정 변수 보다 바람직한공정 변수 공지된 최적의공정 변수
플라즈마 공급 전력(W) 300 - 2000 800 - 1600 800 - 1200
기판 바이어스 전력(W) 50 - 300 50 - 200 50 - 100
Cl2의 유동 속도(sccm) 50 - 200 50 - 100 50 - 90
CH4의 유동 속도(sccm) 1 - 100 1 - 50 1 - 20
BCl3의 유동 속도(sccm) 0 - 200 0 - 100 0 - 50
Ar의 유동 속도(sccm) 0 - 200 20 - 200 20 - 100
일반적인 총 가스 유동 (sccm) 50 - 350 50 - 200 80 - 180
처리 챔버 압력(mT) 5 - 50 5 - 25 8 - 12
받침대 온도(℃)* 10 - 80 20 - 60 30 - 50
처리 챔버의 벽 온도(℃) 20 - 100 40 - 100 80
* 기판의 온도는 일반적으로 받침대 온도보다 약 40 - 50 ℃ 높다. 예를 들어, 약 50 ℃의 받침대 온도는 일반적으로 약 90 - 100 ℃의 기판 온도를 나타낸다.
실시예 1
실시예는, 질소와 메탄이 변화량으로 플라즈마 공급 가스에 공급되면서, 일정하게 유지되는 Cl2와 BCl3의 에칭 종 혼합물에 대한 알루미늄 합금의 에칭 속도를 비교하기 위해 수행되었다.
공정 작업은 도 1과 도 2에 도시되고 섹션 Ⅱ에 설명된 어플라이드 머티어리얼스사의 시스템 2982 에칭 처리 장치 내에서 수행되었다.
다음의 상부로부터 바닥으로의 박막 적층이 상기 과제를 위해 사용되었다. 규소 웨이퍼 기판 상에, 1.8 ㎛ i-라인 포토레지스트(캘리포니아, 프레몬트의 TFI에 의해 공급됨), 450 Å TiN ARC(반사 방지 코팅), 11,500Å Al- 0.5% Cu, 700Å Ti 배리어 층, 및 1.12㎛ 규소 산화물.
모든 기판은 라인과 공간 패턴을 갖는 i-라인 포토레지스트 마스크를 사용하여 패턴화되었고, 약 0.4 ㎛의 최소 배선폭과 약 2.5 : 1의 종횡비를 갖는다. TiN ARC는 상업적으로 사용되고 있는 i-라인 스테퍼를 사용하여 패턴화되었다.
알루미늄 합금과 티타늄 배리어 층은 다음의 공정 변수, 즉, 100 sccm의 Cl2, 40 sccm의 BCl3, 5 또는 10 sccm의 N2또는 CH4, 1200W의 공급 전력, 150 W의 바이어스 전력, 10 내지 20 mT의 처리 챔버의 압력, 기판 웨이퍼의 배면 상에 7 T의 헬륨 배압, 45℃의 기판 온도, 및 80 ℃의 처리 챔버 벽 및 돔의 온도를 사용하여 에칭되었다.
에칭 라인(즉, 에칭 깊이)의 높이는 약 1 ㎛이다. 이러한 에칭 깊이에 도달하는데 요구되는 최종 시간이 기록되었다. 상이한 플라즈마 공급 가스, 처리 챔버의 압력, 및 유동 속도를 사용한 에칭에 대한 최종 시간은 아래의 표 2에 기록된다.
질소 함유 및 메탄 함유 플라즈마 공급 가스에 대한 알루미늄 합금의 에칭 속도의 비교
압력(mT) N2유동(sccm) CH4유동(sccm) 최종 시간(초) 에칭 속도(Å/분)
10 5 -- 34 14,100
10 -- 5 45 10,680
10 10 -- 34 14,100
10 -- 10 53 9,000
20 5 -- 32 15,000
20 -- 5 42 11,400
20 10 -- 31 15,480
20 -- 10 48 10,020
에칭 플라즈마가 질소를 함유할 때보다 에칭 플라즈마가 메탄을 함유할 때 알루미늄 합금의 에칭 속도가 상당히 늦지만, 메탄을 함유하는 공급 가스를 사용하여 얻어진 에칭 속도는 각각의 상이한 처리 챔버의 압력과 공급 가스의 유동 속도에서 최소 허용 가능한 에칭 속도인 5,000Å/분 이상이었다.
실시예 2
실시예가 각각 플라즈마 공급 가스의 조성(즉, Cl2: CH4의 비가 변함), 총 가스의 유동 속도, 처리 챔버의 압력, 및 공급 전력의 함수로서, 알루미늄 합금의 에칭 속도, 에칭 프로파일, 에칭 프로파일 미세로딩, 에칭 후에 잔류하는 포토레지스트 마스크 층의 두께, 및 알루미늄 합금 라인과 공간의 에칭 후에 잔류하는 잔류물의 양을 측정하기 위해 수행되었다.
처리 작업은 도 1과 도 2에 도시되고 섹션 Ⅱ에 설명된 어플라이드 머티어리얼스사의 시스템 2982 에칭 처리 장치 내에서 수행되었다.
다음의 상부로부터 바닥으로의 박막 적층이 상기 과제를 위해 사용되었다.규소 웨이퍼 기판 상에, 1.8 ㎛ i-라인 포토레지스트(캘리포니아, 프레몬트의 TFI에 의해 공급됨), 450 Å TiN ARC, 11,500Å Al- 0.5% Cu, 700Å Ti 배리어 층, 및 1.12㎛ 규소 산화물.
모든 기판은 라인과 공간 패턴을 갖는 i-라인 포토레지스트 마스크를 사용하여 패턴화되었고, 약 0.4 ㎛의 최소 배선폭과 약 2.5 : 1의 종횡비를 갖는다. TiN ARC는 상업적으로 사용되고 있는 i-라인 스테퍼를 사용하여 패턴화되었다.
알루미늄 합금과 티타늄 배리어 층은 다음의 공정 변수, 즉, 800 내지 1600W의 공급 전력, 150 W의 바이어스 전력, 8 내지 16 mT의 처리 챔버의 압력, 기판 웨이퍼의 배면 상에 7 T의 헬륨 배압, 45℃의 기판 온도, 및 80 ℃의 처리 챔버 벽 및 돔의 온도를 사용하여 에칭되었다. Cl2/CH4의 비는 6 : 1 내지 33 : 1로 변한다. 이러한 세트의 실시예에서 첨가 가스(BCl3와 같은)는 사용되지 않았다.
에칭 라인(즉, 에칭 깊이)의 높이는 약 1 ㎛이다. 이러한 에칭 깊이에 도달하는데 요구되는 최종 시간이 기록되었다. 하부 기판에 관해, 에칭된 알루미늄 라인의 벽에 대한 에칭 프로파일의 각도는 도(수직, 즉 90°일 때, 에칭 프로파일은 이상적임)로 측정되었다. 에칭 프로파일의 미세로딩(△°)은 조밀한 라인 배열의 평균 에칭 프로파일 각도와 동일한 기판 상에 고립된 라인의 평균 에칭 프로파일 각도를 비교함으로써 측정되었다.
에칭의 완성시에 에칭의 최종 시간, 에칭 프로파일 각도, 에칭 프로파일 미세로딩, 및 포토레지스트 두께는 다음의 처리 변수, 즉, 플라즈마 공급 가스의 Cl2,: CH4의 비, 플라즈마 공급 가스의 총 유동 속도, 처리 챔버의 압력, 및 공급 전력(바이어스 전력은 150 W에서 일정하게 유지됨)의 함수로서 아래의 표 3에 제공된다.
여기서 후술될 도 3을 참조하여 가장 잘 설명된다. 도 3은 기판(306) 상의 라인(302)과 공간(304)의 패턴의 개략적 횡단면도를 도시한다. 일반적으로 에칭 프로파일은 알루미늄 라인 측면(308)의 횡단면 프로파일을 지칭한다. 에칭 프로파일 각도 α는 라인 측벽(308)과 하부 기판(306)의 표면(310) 사이의 각도이다. 각도 α는 라인(302) 내측의 기판 표면(310)으로부터 라인 측벽(308)을 향해 측정된다. 예를 들어, α1은 약 85°이며 "테이퍼진" 라인 측벽의 프로파일을 나타내며,라인은 기저(기판 표면(310)에 인접함)에서 더 넓다. 90°인 α2와 비교하면, 라인 측벽은 기판 표면(310)과 수직 교차를 이룬다. 또한, α3은 105°이며 후퇴(retrograde) 또는 언더컷(undercut) 라인 측벽 프로파일을 나타내며, 라인은 상부에서보다 기저에서 보다 좁다.
에칭 최종 시간, 에칭 프로파일 각도, 에칭 후에 잔류하는 잔류물의 양, 및 에칭 프로파일 미세로딩을 포함하여, 플라즈마 공급 가스인 Cl2: CH4의 비, 공급 가스의 유동 속도, 처리 챔버의 압력, 및 에칭 수행 변수에의 공급 전력의 처리 변수의 변화 효과가 각각 도 4 내지 도 8에 도시된다. 알루미늄 에칭 속도, 에칭 프로파일 각도, 에칭 프로파일 미세로딩, 및 에칭 후에 잔류하는 잔류물의 양에 대해 처리 변수 각각의 증가 효과가 아래의 표 4에 요약된다.
알루미늄 합금의 에칭 결과에 대한 다양한 처리 변수의 일반적인 증가 효과
처리 변수 알루미늄 합금의 에칭 속도 에칭 프로파일각도 에칭 프로파일미세로딩 에칭 후에 잔류하는 잔류물
총 유동
Cl2/CH4의 비 ↑↑ ↑↑ ↓↓ ↑↑
압력 ↑↑
공급 전력 ↑↑
↑ = 증가, ↑↑= 많이 증가, ↓= 감소, ↓↓= 많이 감소, →= 기본적으로 변화 없음.
평균 에칭 최종 시간이 알루미늄 합금의 에칭 속도의 지표로서 사용되었다.최종 시간이 짧으면 짧을수록, 에칭 속도는 더 빠르다. 도 4는 에칭 최종 시간에서 공급 가스의 유동 속도(402), Cl2: CH4의 비(404), 처리 챔버의 압력(406), 및 공급 전력(408)의 증가 효과를 도시한다. 도 4에 도시된 것처럼, Cl2: CH4의 비(404)의 증가는 알루미늄 합금의 에칭 속도(에칭 최종 시간의 감소로 나타남)에 급격한 증가를 야기한다. 처리 챔버의 압력(406) 증가는 알루미늄 합금의 에칭 속도의 상당한 증가를 야기한다. 공급 가스의 유동 속도(402)와 공급 전력(408)의 증가는 알루미늄 합금의 에칭 속도의 보다 완만한 증가를 야기하다.
도 5는 알루미늄 라인 측벽의 에칭 프로파일 각도에 대한 공급 가스의 유동 속도(502), Cl2: CH4의 비(504), 처리 챔버의 압력(506), 및 공급 전력(508)의 증가 효과를 도시한다. 도 5에 도시된 것처럼, 공급 전력(508)의 증가는 에칭 프로파일 각도의 급격한 증가를 야기한다(즉, 에칭 프로파일 각도를 90°에 근접하게 함). Cl2: CH4의 비(504)의 증가는 에칭 프로파일 각도의 상당한 증가를 야기한다. 공급 가스의 유동 속도(502)의 증가는 에칭 프로파일 각도의 보다 완만한 증가를 야기하며, 처리 챔버의 압력(506)의 증가는 에칭 프로파일 각도를 감소시킨다.
도 6은 에칭 프로파일 미세로딩에 대한 공급 가스의 유동 속도(602), Cl2: CH4의 비(604), 처리 챔버의 압력(606), 및 공급 전력(608)의 증가 효과를 도시한다. 도 6에 도시된 것처럼, Cl2: CH4의 비(604)의 증가는 에칭 프로파일 미세로딩의 급격한 증가를 야기한다. 공급 전력(608)의 증가는 에칭 프로파일 미세로딩의 완만한 감소를 야기한다. 공급 가스의 유동 속도(602)의 증가는 에칭 프로파일 미세로딩에 상당한 영향을 주진 않는다.
처리 챔버의 압력(606)의 증가는 에칭 프로파일 미세로딩을 완만하게 증가시킨다. 이것은 더 높은 챔버의 압력이 사용될 때 염소 가스의 증가된 잔류 시간 때문이라고 생각된다. 염소 가스는 알루미늄 라인 측벽을 에칭시키며, 증가된 잔류 시간은 증가된 프로파일 각도를 야기한다. 이러한 효과는 조밀한 정렬 내에 위치된 라인에서 보다 고립된 라인에서 보다 넓은 범위에서 관찰되며, 이에 의해 에칭 프로파일 미세로딩을 증가시킨다.
도 7은 에칭 후에 잔류하는 잔류물의 양에 대한 공급 가스의 유동 속도(702), Cl2: CH4의 비(704), 처리 챔버의 압력(706), 및 공급 전력(708)의 증가 효과를 도시한다. 에칭 후에 잔류하는 잔류물의 양은 20k 배율로 찍은 주사 전자 현미경(SEM)의 비교 평가 후에 할당된 임의 단위로 도시되며, 소정의 표면적 상에 존재하는 증착물의 수는 비교되며 1 내지 10 범위의 크기로 계산된다. 알루미늄 합금의 에칭 후에 잔류하는 잔류물은 일반적으로 알루미늄 또는 구리의 화합물이다. 도 7에 도시된 것처럼, Cl2: CH4의 비(704)와 처리 챔버의 압력(706)의 증가는 에칭 후에 잔류하는 잔류물의 양의 상당한 증가를 야기한다. 공급 가스의 유동 속도(702)와 공급 전력(678)의 증가는 에칭 후에 잔류하는 잔류물의 양의 완만한 증가를 야기한다.
실시예 3
실시예는 알루미늄 합금(0.5%의 구리)의 미세구조물의 에칭에 대한 CH4의 유동 속도, 공급 전력, 바이어스 전력, 및 처리 챔버의 압력의 변화 효과를 측정하기 위해 수행된다.
이러한 처리 작업은 어플라이드 머티어리얼스사의 시스템 5084 기본형 에칭 처리 장치 내에서 수행된다. 시스템 5084는 도 1과 도 2에 도시된 어플라이드 머티어리얼스사의 시스템 2982 에칭 처리 시스템과 동일한 특징을 갖는다. 에칭 챔버는 150㎜ 웨이퍼 처리를 위한 기계적 클램프를 갖는 금속의 분리된 플라즈마 공급원(DPS)을 포함한다.
다음의 상부로부터 바닥으로의 박막 적층이 상기 과제를 위해 사용되었다. 규소 웨이퍼 기판 상에, 1.4 ㎛ i-라인 포토레지스트(캘리포니아, 프레몬트의 TFI에 의해 공급됨), 250 Å TiN ARC, 8,000Å Al- 0.5% Cu, 1000Å TiN 배리어 층, 및 약 1㎛ 규소 산화물.
모든 기판은 라인과 공간 패턴을 갖는 i-라인 포토레지스트 마스크를 사용하여 패턴화되었고, 약 0.6 ㎛의 최소 배선폭과 약 2.5 : 1의 종횡비를 갖는다. TiN ARC는 상업적으로 사용되고 있는 i-라인 스테퍼를 사용하여 패턴화되었다.
포토레지스트 마스크의 패턴화 후에, 기판은 대류 오븐(convection oven) 내에서 에칭 전에 1시간 이상동안 110 ℃로 베이킹된다.
알루미늄 합금과 티타늄 질화물 배리어 층은 다음의 처리 변수, 90sccm의Cl2, 0 내지 25sccm의 CH4, 75sccm의 Ar, 1200 내지 1800W의 전력 공급, 100 내지 160W의 바이어스 전력, 10 내지 15mT의 처리 챔버 압력, 기판 배면 상에 8T의 헬륨 배압, 60℃의 기판 온도 및 40 내지 65℃의 처리 챔버 벽의 온도를 사용하여 에칭된다. 에칭의 최종 시간이 기록된다.
에칭(포토레지스트 스트립핑을 갖지 않음) 후에, 웨이퍼는 대류 오븐 내에서 잔류 염소의 휘발을 위해 8시간 이상동안 110℃로 베이킹된다. 웨이퍼의 절반은 상업적으로 이용되고 있는 플라즈마 애셔 내에서 포토레지스트가 벗겨지고 폴리머의 제거를 위해 상업적으로 이용되고 있는 용매 내에 20분동안 65℃로 담긴다.
벗겨지지 않은 웨이퍼는 에칭 후에 잔류하는 포토레지스트의 양으로 평가된다. 벗겨진 웨이퍼는 산화물 손실(개방 영역과 조밀한 배열 내에서), 측벽 표면의 거칠기(즉, 피팅), 측벽 프로파일 각도, 및 에칭후에 잔류하는 잔류물의 양으로 평가된다. 상기 각각의 표준에 대해 CH4의 유동 속도, 공급 전력, 바이어스 전력, 및 처리 챔버의 압력의 변화 효과가 분석된다.
아래의 도 8과 표 5는, 에칭 중에 고정된 공급 전력(1800W)을 유지하면서, 에칭의 완성 수에 웨이퍼의 중앙(802)과 에지(804)에 잔류하는 포토레지스트 마스크 재료의 총량에 대한 바이어스 전력(100, 130, 160W)의 증가 효과를 도시한다. 각각의 작동을 위한 처리 변수는 40℃(이미 수행된 실시예는 처리 챔버의 온도 변화는 알루미늄 에칭 결과에 영향을 거의 미치지 않는다는 것을 나타냄)의 벽 온도를 갖는 100W의 바이어스 전력 작동을 제외하고, 90sccm의 Cl2, 0 내지 25sccm의BCl3, 20sccm의 CH4, 75sccm의 Ar, 10mT의 처리 챔버 압력, 기판 배면 상에 8T의 헬륨 배압, 60℃의 기판 온도, 및 65℃의 처리 챔버 벽의 온도이다.
총 잔류 포토레지스에 대해 바이어스 전력의 증가 효과
공급 전력(W) 1800 1800 1800
바이어스 전력(W) 100 130 160
총 잔류 포토레지스트중앙(Å) 8700 7700 6300
총 잔류 포토레지스트에지(Å) 7700 6800 6000
상기 도 8과 표 5에 도시된 것처럼, 1800W의 고정된 공급 전력에서 작은 량(30W의 증분)에 의한 바이어스 전력의 증가는 웨이퍼의 중앙(802)과 에지(804)에 잔류하는 총 포토레지스트의 양의 급격한 감소를 야기한다. 도 8과 표 5에 존재하는 데이타의 외삽법에 의해, 플라즈마 생성원과 기판 바이어싱 수단으로의 전력이 공통 제어하에 있는 처리 장치에서, 만족스런 알루미늄 에칭 속도를 얻는 적당한 공급 전력에서의 작동은 포토레지스트 마스크 층의 급속한 제거를 야기한다.
바이어스 전력의 증가는 산화물 손실을 증가시키고, 측벽 표면의 거칠기는 상대적으로 영향을 받지 않는다. 공급 전력의 증가는 측벽 표면의 거칠기에 최소한의 영향을 주지만, 명백히 증가된 반응성 종의 밀도 때문에, 미세구조물(즉, 90° 이상의 측벽 프로파일 각도)의 바닥에서 알루미늄 합금의 과에칭을 야기한다.
소정의 표본 상에서 잔류물은 관찰되지 않았다.
에칭 공정의 발전 중에, CH4의 유동 속도 변화 효과는 측벽 패시베이션의 성능, 알루미늄 합금의 에칭 속도, 잔류물의 생성, 및 포토레지스트 상의 알루미늄 합금의 에칭 선택도에 의해 평가된다. 초기 결과는 CH4가 이방성 에칭 공정에서 알루미늄 라인의 측벽에 충분한 패시베이션을 제공할 수 있다는 것을 입증한다. 그러나, 에칭의 최종 시간은 약 23 내지 35%(CH4가 사용되지 않을 때 54초로부터 20sccm의 CH4가 사용될 때 75 내지 90초까지) 증가된다. 10 내지 15mT로 처리 챔버의 압력 증가는 에칭 최종 시간을 약간(20sccm의 CH4가 사용될 때 72초) 감소시킨다.
실시예 4
실시예는 알루미늄 합금(1% 구리)의 미세구조물의 에칭에 대해 플라즈마 공급 가스의 조성, 처리 챔버의 압력, 및 바이어스 전력의 증가 효과를 측정하기 위해 수행된다. 알루미늄 합금(0.5% 구리)의 에칭을 위한 실시예 3에 설명된 동일한 일반적인 공정이 사용된다.
다음의 상부로부터 바닥으로의 박막 적층이 상기 과제를 위해 사용되었다. 규소 웨이퍼 기판 상에, 1.4 ㎛ i-라인 포토레지스트(캘리포니아, 프레몬트의 TFI에 의해 공급됨), 250 Å TiN ARC, 8,000Å Al- 1% Cu, 1000Å TiN 배리어 층, 및 약 1㎛ 규소 산화물.
모든 기판은 라인과 공간 패턴을 갖는 i-라인 포토레지스트 마스크를 사용하여 패턴화되었고, 약 0.4 ㎛의 최소 배선폭과 약 2.5 : 1의 종횡비를 갖는다. TiN ARC는 상업적으로 사용되고 있는 i-라인 스테퍼를 사용하여 패턴화되었다.
에칭은 상기 실시예 3에 설명된 어플라이드 머티어리얼스사의 시스템 5084 기본형 에칭 처리 장치를 사용하여 수행된다. 알루미늄 합금과 티타늄 질화물 배리어 층은 다음의 처리 변수, 90 내지 100sccm의 Cl2, 25sccm의 BCl3, 10 내지 20sccm의 CH4, 0 내지 75sccm의 Ar, 1500W의 공급 전력, 75 내지 100W의 바이어스 전력, 10 내지 15mT의 처리 챔버 압력, 기판 배면 상에 8T의 헬륨 배압, 60℃의 기판 온도 및 65℃의 처리 챔버 벽의 온도를 사용하여 에칭된다. 에칭의 최종 시간이 기록된다.
에칭 최종 시간에 대해 플라즈마 공급 가스의 조성, 처리 챔버의 압력, 및 바이어스 전력의 변화 효과와 에칭 후에 잔류하는 잔류물의 양이 분석된다(에칭 후에 0.5% 구리의 미세구조물 상에 잔류물은 관찰되지 않음). 결과는 표 6에 나타나며 후술된다.
잔류물 제어와 에칭 최종 시간에 대해 알루미늄 합금의 에칭 처리 변수의 변화 효과
Cl2(sccm) 90 90 90 100
BCl3(sccm) 25 25 25 25
CH4(sccm) 20 20 20 10
Ar (sccm) 75 0 75 40
압력 (mT) 10 10 15 10
바이어스 전력(W) 100 100 100 75
에칭 최종시간(s) 100 82 78 65
잔류물 - 중앙 없음 있음(개방 표면) 있음(개방 표면) 있음(모든 면)
잔류물 - 에지 없음 있음(개방 표면) 있음(개방 표면) 있음(모든 면)
알루미늄 에칭 속도에 대해 10 내지 15 mT로의 처리 챔버의 압력 증가 효과가 조사된다. 최종 시간은 18 내지 22% 감소하지만, 소정의 잔류물이 웨이퍼의 개방 표면적에서 관찰된다.
알루미늄의 에칭 속도와 잔류물 제에에 대한 아르곤의 기여도가 조사된다. 아르곤이 플라즈마 공급 가스의 조성으로부터 방출될 때, 알루미늄 에칭 속도가 증가되지만, 소정의 잔류물이 웨이퍼의 개방 표면적 내에 관찰된다. 아르곤의 존재로 인해 증가된 표면 침식에 여기된 아르곤 종을 제공하여, 표면 세정 작용을 제공한다.
동시에 Cl2의 유동 속도(90sccm으로부터 100sccm까지)의 증가, CH4(20sccm으로부터 10sccm까지)와 아르곤(75sccm으로부터 40sccm까지)의 유동 속도의 감소, 및 바이어스 전력(100W로부터 75W까지)의 감소는 최종 시간의 35% 감소를 야기하지만, 잔류물은 표면의 모든 영역에서 관찰된다.
요약하면, 상기 실시예 3과 실시예 4에 설명된 실시예의 결과는 CH4가 감소되지만, 여전히 수용될 수 있는 알루미늄 합금의 에칭 속도를 희생하고 에칭된 알루미늄 합금의 미세구조물의 측벽을 패시베이트하는데 사용될 수 있다는 것을 나타낸다. 증가된 플라즈마 공급 전력(1200 →1800W)은 미세구조물의 바닥(즉, 측벽 프로파일 각도 >90°)에서 알루미늄의 과에칭을 야기한다. 더 높은 바이어스 전력(100→160W)은 포토레지스트 마스크 층의 수명을 상당히 단축시키지만, 에칭 속도 미세로딩을 증가시킨다. 더 높은 처리 챔버의 압력(10→15mT)은 일반적으로 기판 에칭 속도를 증가시킨다. 더 높은 처리 챔버의 압력은 또한 알루미늄 합금(1% 구리)의 미세구조물 표면 상에 증착된 증가된 잔류물(일반적으로 구리 화합물)을 야기한다. 플라즈마 공급 가스 내에 아르곤의 존재는 에칭된 알루미늄 합금의 미세구조물 표면으로부터 잔류물의 제거를 돕는다는 것이 입증된다.
실시예 6
CH4, Cl2, BCl3, 및 Ar의 유동 속도를 변화시키는 a ten designed 실시예(1/2 replicate of four factors in eight experiments, plus two centerpoint runs)가 알루미늄 합금(1% 구리)의 미세구조물의 에칭에 대해 가스 유동에 대한 주효과를 조사하기 위해 수행된다. 각각의 가스의 유동 속도를 변화시킴으로써 야기되는 알루미늄 합금에 대한 주효과는 분명하지만, 다양한 가스 사이의 상호작용은 불분명하다.
두 개의 중앙 작동이 총 10개의 웨이퍼 작동에 포함된다. 중앙 처리법은 상기 실시예 3에 설명된 알루미늄 합금(0.5% 구리)의 미세구조물에 대한 1차원적 실시예에 기초해서 선택된다. 이러한 실시예에서 사용된 요소와 정도는 아래의 표 7에 설정된다.
알루미늄 합금(1% 구리)의 미세구조물에 대해 두 실시예의 요소와 정도
요소 Cl2유동(sccm) BCl3유동(sccm) Ar 유동(sccm) CH4유동(sccm)
70 15 40 10
중앙 90 30 70 15
110 45 100 20
다음의 상부로부터 바닥으로의 박막 적층이 상기 과제를 위해 사용되었다. 규소 웨이퍼 기판 상에, 1.4 ㎛ i-라인 포토레지스트(캘리포니아, 프레몬트의 TFI에 의해 공급됨), 250 Å TiN ARC(반사 방지 코팅), 8000Å Al- 1% Cu, 1000Å TiN 배리어 층, 및 1㎛ 규소 산화물.
모든 기판은 라인과 공간 패턴을 갖는 i-라인 포토레지스트 마스크를 사용하여 패턴화되었고, 약 0.4 ㎛의 최소 배선폭과 약 2.5 : 1의 종횡비를 갖는다. TiN ARC는 상업적으로 사용되고 있는 i-라인 스테퍼를 사용하여 패턴화되었다.
에칭은 상기 실시예 3에 설명된 어플라이드 머티어리얼스사의 시스템 5084 기본형 에칭 처리 장치를 사용하여 수행된다. 알루미늄 합금과 티타늄 질화물 배리어 층은 다음의 처리 변수, 12mT의 처리 챔버 압력, 1500W의 공급 전력, 100W의 바이어스 전력, 기판 웨이퍼의 배면 상에 8T의 헬륨 배압, 60℃의 캐소드 온도, 및65℃의 처리 챔버 벽의 온도를 사용하여 에칭된다.
알루미늄 합금의 에칭 최종 시간, 에칭 후에 잔류하는 잔류물의 양, 및 포토레지스트의 에칭 속도에 대해 CH4, Cl2, BCl3, 및 Ar의 유동 속도의 변화 효과가 도 9 내지 도 11 각각의 곡선에 도시된다. 에칭 결과에 대해 각각의 가스의 유동 속도 증가의 주효과는 아래의 표 8에 요약된다.
알루미늄 합금의 에칭 결과에 대해 다양한 에칭 가스의 유동 속도 증가의 주효과
가스 알루미늄 합금의에칭 속도 에칭 후에잔류하는 잔류물 포토레지스트에칭 속도
Cl2 ↑↑ ↑↑ ↑↑
BCl3
Ar
CH4 ↓↓ ↓↓
↑= 증가, ↑ ↑= 상당히 증가, ↓= 감소, ↓↓= 상당히 감소.
도 9는 알루미늄 합금의 에칭 최종 시간에 대해 Cl2의 유동 속도(902), BCl3의 유동 속도(904), Ar의 유동 속도(906), 및 CH4의 유동 속도(908)의 변화 효과를 도시한다. 도 9에 도시된 것처럼, Cl2의 유동 속도(참조번호(902)로 표시됨) 증가는 알루미늄 합금의 에칭 속도(에칭 최종 시간의 감소로 나타남)의 급격한 증가를 야기한다. CH4의 유동 속도(908)의 증가는 알루미늄 합금의 에칭 속도의 상당한 감소를 야기한다. Ar의 유동 속도(906)의 증가는 알루미늄 합금의 에칭 속도의 완만한 감소를 야기한다. BCl3의 유동 속도(904)의 증가는 알루미늄 합금의 에칭 속도의 완만한 감소를 야기한다.
도 10은 에칭 후에 잔류하는 잔류물의 양에 대해 Cl2의 유동 속도(1002), BCl3의 유동 속도(1004), Ar의 유동 속도(1006), 및 CH4의 유동 속도(1008)의 변화 효과를 도시한다. 잔류물은 20k 배율로 찍은 SEM에 도시된 것처럼 약 2 ㎛2의 영역에서 관찰된 증착물의 수를 계산함으로써 정해진다. 도 10에 도시된 것처럼, Cl2의 유동 속도(1002) 증가는 에칭 후에 잔류하는 잔류물의 양을 급격히 증가시킨다. 이것은 더 높은 Cl2의 유동과 함께 증가된 알루미늄의 에칭 속도에 기인한다고 생각된다. 염소-알루미늄 생산 화합물은 염소-구리 에칭 생산 화합물보다 휘발성이기 때문에, 알루미늄 합금의 에칭 속도가 특히 높다면, 증가된 양의 구리 함유 잔류물이 알루미늄 합금의 미세구조물이 완전히 에칭된 후에 잔류한다.
CH4의 유동 속도(1008)와 BCl3의 유동 속도(1004)의 증가는 에칭 후에 잔류하는 잔류물의 양의 상당한 감소를 야기한다. Ar의 유동 속도(1006) 증가는 에칭 후에 잔류하는 잔류물의 양의 완만한 감소를 야기하며, SEM 사진은 잔류물을 제어하는데 Ar의 중요성을 시각적으로 입증한다. (아르곤 첨가의 필요성은 이미 상기 실시예 4에 설명된 실시예에서 입증되었다). 소정의 작동내에서 웨이퍼의 에지에서 잔류물은 관찰되지 않았다.
도 11은 포토레지스트 에칭 속도에 대해 Cl2의 유동 속도(1102), BCl3의 유동 속도(1104), Ar의 유동 속도(1106), 및 CH4의 유동 속도(1108)의 변화 효과를 도시한다. 도 11에 도시된 것처럼, Cl2의 유동 속도(1102) 증가는 포토레지스트 에칭 속도의 급격한 증가를 야기한다. CH4의 유동 속도(1108)의 증가는 포토레지스트 에칭 속도의 급격한 감소를 야기한다. Ar의 유동 속도(1106)의 증가는 포토레지스트의 에칭 속도의 상당한 감소를 야기한다. BCl3의 유동 속도(1104)의 증가는 포토레지스트의 에칭 속도의 완만한 감소를 야기한다. CH4, Ar, 및 BCl3의 증가된 유동 속도로 관찰된 포토레지스트 에칭 속도의 감소는 가스 혼합물 내에 있는 염소의 희석으로 인한 것같다.
일반적으로, 측벽의 거칠기는 증가된 CH4의 유동으로 개선된다(즉, 측벽 표면이 덜 피트됨). 플라즈마 내에 증가된 염소로 인해 더 큰 정도의 측벽 침식이 일어나기 때문에, 측벽 패시베이션을 위한 CH4의 효율은 고 Cl2의 유동 공정 중에 보다 명백하다.
이러한 실시예에서 프로파일 경향은 관찰되지 않았다.
결론적으로, CH4는 에칭된 미세구조물의 표면 상에 잔류물을 형성함이 없이 피팅을 방지하기 위해 측벽 패시베이션을 제공한다. CH4의 첨가는 알루미늄의 에칭 최종 시간을 약 23 내지 35% 감소시키지만, 8,000Å/분 이상의 수용할 수 있는 알루미늄 에칭 속도가 얻어질 수 있다. 바이어스 전력의 증가는 증가된 포토레지스트 에칭 속도를 야기하지만, 약 200 V 이하(바람직하게 약 -50V 내지 약 -150V 범위)의 바이어스 전력은 수용할 수 있다고 공지되어 있다. 보다 큰 플라즈마 공급 전력은 일반적으로 미세구조물의 바닥에서 약간 큰 알루미늄의 과에칭을 야기한다(90°이상의 언더컷 또는 후퇴 에칭 프로파일 각도로 지칭됨). 수용할 수 있는 플라즈마 공급 전력은 약 300W 내지 약 2000W의 범위, 바람직하게 약 800W 내지 약 1600W의 범위, 가장 바람직하게, 약 800W 내지 약 1200W 범위이다. 더 낮은 처리 챔버의 압력(5 내지 50mT, 바람직하게, 5 내지 25mT, 가장 바람직하게, 8 내지 12mT)이 잔류물 제어에 요구된다. 또한 아르곤은 잔류물 제어에 필요하다. 플라즈마 공급 가스의 바람직한 아르곤 함유량은 약 20 내지 약 200sccm 범위이다. Cl2의 유동 속도 증가는 알루미늄 에칭 속도, 포토레지스트 에칭 속도, 및 에칭 후에 잔류하는 잔류물의 양의 상당한 증가를 야기한다. 플라즈마 공급 가스의 바람직한 Cl2의 함유량은 약 50sccm 내지 약 200sccm 범위이다. 플라즈마 공급 가스의 바람직한 전체 유동 속도는 약 50sccm 내지 약 350sccm 범위이다.
결국, 에칭 중에 처리 챔버에 탄화수소의 첨가에 의해 에칭된 합금 표면의 피팅을 방지하는 잔류물 없는 에칭 공정이 알루미늄 합금의 에칭에 대해 개발된다.
본 발명은 기본적으로 잔류물 없는 에칭된 표면을 제공하면서, 마스크 층의 제거 없이 수용할 수 있는 에칭 속도와 양호한 에칭 프로파일 각도를 제공하는 알루미늄 및 알루미늄 합금의 이방성 에칭 방법을 제공한다.
본 발명에 따른 방법은 높은 합금 함유량(즉, 약 0.5% 이상)을 갖는 알루미늄 합금의 에칭에 특히 유용하다.
본 발명에 따른 방법은 기본적으로 큰 개방 영역(즉, 개방 영역은 웨이퍼 표면적의 65% 이상을 포함)을 갖는 기판 상에 증착된 알루미늄 또는 알루미늄 합금 층의 잔류물 없는 에칭에 특히 유용하다.
본 발명의 개시 내용의 관점에서 당업자는 아래에 청구된 본 발명의 요지에 대응하는 실시예로 확장할 수 있기 때문에, 상술된 바람직한 실시예는 본 발명의 범위를 제한하는 것으로 의도된 것이 아니다.

Claims (18)

  1. 알루미늄 또는 알루미늄 합금의 기본적으로 잔류물 없는 이방성 에칭 방법으로서,
    염소 함유 가스와 탄화수소 함유 가스를 포함하는 플라즈마 공급 가스로부터 생성된 플라즈마를 사용하여 상기 알루미늄 또는 상기 알루미늄 합금을 에칭하는 단계를 포함하며,
    상기 에칭은 플라즈마 생성원과 기판 바이어스 수단의 분리된 전력 제어를 제공하는 처리 장치 내에서 발생하는 방법.
  2. 제 1 항에 있어서,
    상기 염소 함유 가스는 Cl2, HCl, BCl3, CCl4, SiCl4, CHCl3, CCl2F2, CHCl2F, 및 이들의 조합물로 구성된 그룹으로부터 선택된 방법.
  3. 제 2 항에 있어서,
    상기 염소 함유 가스에는 불소가 제외되는 방법.
  4. 제 3 항에 있어서,
    상기 염소 함유 가스가 Cl2인 방법.
  5. 제 1 항에 있어서,
    상기 탄화수소 함유 가스가 화학식 CxHy를 가지며, x는 약 1 내지 약 5의 범위이며, y는 약 1 내지 약 12 범위인 방법.
  6. 제 5 항에 있어서,
    x는 1 내지 3 범위이며, y는 1 내지 6 범위인 방법.
  7. 제 6 항에 있어서,
    상기 탄화수소는 CH4인 방법.
  8. 제 1 항에 있어서,
    상기 플라즈마 공급 가스 내의 염소 : 탄소의 원자비가 약 5 : 1 내지 약 200 : 1 범위인 방법.
  9. 제 8 항에 있어서,
    상기 플라즈마 공급 가스 내의 염소 : 탄소의 원자비가 약 10 : 1 내지 약 20 : 1 범위이며, 상기 탄화수소 내의 수소 : 탄소의 원자비가 약 1 : 1 내지 약 4 : 1 범위인 방법.
  10. 제 1 항에 있어서,
    상기 플라즈마 공급 가스가 BCl3, N2, CF4, C2F6, C4F8, CHF3, CH2F2, CHCl3, CHCl2F, CCl2F2, C2Cl2F4, CBrF3, CBr2F2, O2, 및 이들의 조합물로 구성된 그룹으로부터 선택된 첨가 가스를 더 포함하는 방법.
  11. 제 10 항에 있어서,
    상기 첨가 가스에는 산소가 제외되는 방법.
  12. 제 11 항에 있어서,
    상기 첨가 가스가 BCl3인 방법.
  13. 제 1 항에 있어서,
    상기 플라즈마 공급 가스가 아르곤, 헬륨, 크세논, 크립톤, 및 이들의 조합물로 구성된 그룹으로부터 선택된 비반응성 희석 가스를 더 포함하는 방법.
  14. 제 13 항에 있어서,
    상기 비반응성 희석 가스가 아르곤인 방법.
  15. 제 1 항에 있어서,
    상기 플라즈마의 전자 밀도가 1011e-/㎤ 이상인 방법.
  16. 제 15 항에 있어서,
    상기 플라즈마의 전자 밀도가 약 1011e-/㎤ 내지 약 1012e-/㎤ 범위인 방법.
  17. 제 1 항에 있어서,
    상기 기판 바이어스가 약 -200V 이하인 방법.
  18. 제 17 항에 있어서,
    상기 기판 바이어스가 약 -50V 내지 약 -150V인 방법.
KR1020017005956A 1998-11-12 1999-11-11 알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭방법 KR20010080994A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19043598A 1998-11-12 1998-11-12
US09/190,435 1998-11-12
PCT/US1999/026267 WO2000029640A1 (en) 1998-11-12 1999-11-11 Method for residue-free anisotropic etching of aluminum and its alloys

Publications (1)

Publication Number Publication Date
KR20010080994A true KR20010080994A (ko) 2001-08-25

Family

ID=22701346

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017005956A KR20010080994A (ko) 1998-11-12 1999-11-11 알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭방법

Country Status (4)

Country Link
JP (1) JP2002530844A (ko)
KR (1) KR20010080994A (ko)
TW (1) TW571001B (ko)
WO (1) WO2000029640A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458591B1 (ko) * 2002-04-19 2004-12-03 아남반도체 주식회사 반도체 소자의 폴리머 제거방법

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4554479B2 (ja) * 2005-09-13 2010-09-29 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP5374077B2 (ja) 2008-06-16 2013-12-25 ローム株式会社 Memsセンサ
JP2010098518A (ja) * 2008-10-16 2010-04-30 Rohm Co Ltd Memsセンサの製造方法およびmemsセンサ

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
US4505782A (en) * 1983-03-25 1985-03-19 Lfe Corporation Plasma reactive ion etching of aluminum and aluminum alloys
JPS60169140A (ja) * 1984-02-13 1985-09-02 Hitachi Ltd ドライエツチング方法
JP2603217B2 (ja) * 1985-07-12 1997-04-23 株式会社日立製作所 表面処理方法及び表面処理装置
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
JPH04288828A (ja) * 1991-03-18 1992-10-13 Sony Corp ドライエッチング方法
DE4317722C2 (de) * 1993-05-27 1996-12-05 Siemens Ag Verfahren zum anisotropen Ätzen einer aluminiumhaltigen Schicht und Verwendung einer hierzu geeigneten Ätzgasmischung
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US6156663A (en) * 1995-10-03 2000-12-05 Hitachi, Ltd. Method and apparatus for plasma processing
US6090717A (en) * 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458591B1 (ko) * 2002-04-19 2004-12-03 아남반도체 주식회사 반도체 소자의 폴리머 제거방법

Also Published As

Publication number Publication date
WO2000029640A9 (en) 2000-11-09
WO2000029640A1 (en) 2000-05-25
JP2002530844A (ja) 2002-09-17
TW571001B (en) 2004-01-11

Similar Documents

Publication Publication Date Title
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US5843847A (en) Method for etching dielectric layers with high selectivity and low microloading
US6489247B1 (en) Copper etch using HCl and HBR chemistry
KR100309617B1 (ko) 염화수소,염소함유에칭액,및질소를이용하여알루미늄및알루미늄합금을에칭시키는방법
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6670278B2 (en) Method of plasma etching of silicon carbide
US6013582A (en) Method for etching silicon oxynitride and inorganic antireflection coatings
US5354417A (en) Etching MoSi2 using SF6, HBr and O2
JP3574680B2 (ja) キセノンを用いたプラズマエッチング
US6291356B1 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US6547978B2 (en) Method of heating a semiconductor substrate
EP0814500B1 (en) Method for etching polycide structures
EP1350265A1 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
JPH08172077A (ja) ビアのプラズマエッチング改良方法
US5453156A (en) Anisotropic polysilicon plasma etch using fluorine gases
KR20040017805A (ko) 유기 반사방지 코팅(arc)층의 에칭 방법
KR20030022361A (ko) 티타늄 질화물 에칭방법
KR100538839B1 (ko) 하드 마스크 사용을 통한 임계치수 성장 억제방법
EP0814501A2 (en) Method for etching metal silicide with high selectivity to polysilicon
EP1498940A2 (en) Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
EP0820093A1 (en) Etching organic antireflective coating from a substrate
KR100881472B1 (ko) 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
KR20010080994A (ko) 알루미늄 및 알루미늄 합금의 잔류물 없는 이방성 에칭방법
TW200401946A (en) Process for etching photomasks

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid