CN113903697A - 真空处理装置和真空处理装置的控制方法 - Google Patents

真空处理装置和真空处理装置的控制方法 Download PDF

Info

Publication number
CN113903697A
CN113903697A CN202110728639.1A CN202110728639A CN113903697A CN 113903697 A CN113903697 A CN 113903697A CN 202110728639 A CN202110728639 A CN 202110728639A CN 113903697 A CN113903697 A CN 113903697A
Authority
CN
China
Prior art keywords
mounting table
base member
processing container
processing apparatus
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110728639.1A
Other languages
English (en)
Inventor
森淳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN113903697A publication Critical patent/CN113903697A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J21/00Chambers provided with manipulation devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供真空处理装置和真空处理装置的控制方法。改善由处理容器的变形引起的载置台的位置和倾斜度的偏离。真空处理装置具有:处理容器,其能够将其内部维持为真空气氛;载置台,其设于处理容器内,用于载置基板;支承构件,其贯穿处理容器的底部的孔而自下方支承载置台;基体构件,其与支承构件的位于处理容器的外部的端部卡合,该基体构件能够与载置台一体地移动;以及多个致动器,它们在处理容器的底部与基体构件之间互相排列地设置,该多个致动器使基体构件相对于处理容器的底部相对地移动,从而调整载置台的位置和倾斜度。

Description

真空处理装置和真空处理装置的控制方法
技术领域
本公开涉及真空处理装置和真空处理装置的控制方法。
背景技术
在专利文献1中,公开有一种如下这样的构造:在处理容器的底部的下方配置用于调整载置基板的载置台的倾斜度的调整板,利用螺栓将处理容器的底部与调整板紧固。
现有技术文献
专利文献
专利文献1:日本特开2001-230307号公报
发明内容
发明要解决的问题
本公开提供一种能够改善由处理容器的变形引起的载置台的位置和倾斜度的偏离的技术。
用于解决问题的方案
本公开的一技术方案的真空处理装置具有:处理容器,其能够将其内部维持为真空气氛;载置台,其设于所述处理容器内,用于载置基板;支承构件,其贯穿所述处理容器的底部的孔而自下方支承所述载置台;基体构件,其与所述支承构件的位于所述处理容器的外部的端部卡合,该基体构件能够与所述载置台一体地移动;以及多个致动器,它们在所述处理容器的底部与所述基体构件之间互相排列地设置,该多个致动器使所述基体构件相对于所述处理容器的底部相对地移动,从而调整所述载置台的位置和倾斜度。
发明的效果
根据本公开,起到能够改善由处理容器的变形引起的载置台的位置和倾斜度的偏离的效果。
附图说明
图1是表示实施方式的真空处理系统的结构的一个例子的概略俯视图。
图2是表示实施方式的真空处理装置的结构的一个例子的分解立体图。
图3是概略地表示实施方式的真空处理装置的内部结构的俯视图。
图4是表示实施方式的真空处理装置的结构的一个例子的概略剖视图。
图5是表示实施方式的旋转驱动机构和调整机构的结构的一个例子的图。
图6是表示图5所示的吸收机构的结构的一个例子的图。
图7是表示实施方式的真空处理装置的控制方法的流程的例1的流程图。
图8是表示实施方式的真空处理装置的控制方法的流程的例2的流程图。
图9是表示实施方式的真空处理装置的控制方法的流程的例3的流程图。
图10是表示实施方式的真空处理装置的控制方法的流程的例4的流程图。
图11是表示实施方式的真空处理装置的控制方法的流程的例5的流程图。
具体实施方式
以下,参照附图详细地说明本申请所公开的真空处理装置和真空处理装置的控制方法的实施方式。此外,所公开的真空处理装置和真空处理装置的控制方法并不被以下的实施方式限定。
在将真空处理装置的处理容器的内部的压力从大气状态切换到了真空状态的情况下,真空处理装置的处理容器会因压力差而变形。另外,处理容器也会因温度变化而变形。当处理容器变形时,存在如下情况:由处理容器的变形产生的应力向载置台传递,而使载置台的位置和倾斜度偏离期望的位置和倾斜度。例如,如专利文献1所示,对于在处理容器的底部的下方配置调整板的构造而言,通过使用螺栓使调整板可动,从而能够改善由处理容器的变形导致的载置台的倾斜度的偏离,但是,难以改善载置台的位置的偏离。于是,期待一种改善由处理容器的变形引起的载置台的位置和倾斜度的偏离的技术。
(实施方式)
[真空处理系统的结构]
图1是表示实施方式的真空处理系统的结构的一个例子的概略俯视图。真空处理系统1具有送入送出端口11、送入送出模块12、真空输送模块13以及真空处理装置2。在图1中,将X方向设为左右方向,将Y方向设为前后方向,将Z方向设为上下方向(高度方向),将送入送出端口11设为前后方向的近前侧,来进行说明。在送入送出模块12的近前侧互相朝向前后方向地连接有送入送出端口11,在送入送出模块12的进深侧互相朝向前后方向地连接有真空输送模块13。
在送入送出端口11载置有用于收容作为处理对象的基板的输送容器即载体C。基板是作为直径例如为300mm的圆形基板的晶圆W。送入送出模块12是用于在载体C与真空输送模块13之间进行晶圆W的送入送出的模块。送入送出模块12具有:常压输送室121,该常压输送室121利用输送机构120在常压气氛中与载体C之间进行晶圆W的交接;以及加载互锁室122,该加载互锁室122将晶圆W所放置的气氛在常压气氛与真空气氛之间切换。
真空输送模块13具有形成有真空气氛的真空输送室14。在真空输送室14的内部配置有基板输送机构15。真空输送室14例如在俯视时形成为在沿着前后方向的方向上具有长边的长方形。在真空输送室14的4个侧壁中的位于长方形的互相相对的长边的侧壁,各自连接有多个(例如3个)真空处理装置2。另外,在真空输送室14的4个侧壁中的位于近前侧的短边的侧壁,连接有设于送入送出模块12内的加载互锁室122。在常压输送室121与加载互锁室122之间、加载互锁室122与真空输送模块13之间、真空输送模块13与真空处理装置2之间配置有闸阀G。闸阀G用于打开、关闭分别在互相连接的模块设置的晶圆W的送入送出口。
基板输送机构15在真空气氛中在送入送出模块12与真空处理装置2之间进行晶圆W的输送。基板输送机构15包括多关节臂,该基板输送机构15具有用于保持晶圆W的基板保持部16。真空处理装置2在真空气氛中对多张(例如4张)晶圆W成批地进行使用了处理气体的基板处理。因此,基板输送机构15的基板保持部16例如构成为能够保持4张晶圆W,以向真空处理装置2成批地交接4张晶圆W。
具体而言,基板输送机构15例如具有基台151、水平延伸的第1臂152、水平延伸的第2臂153以及基板保持部16。第1臂152的基部侧设于基台151上,该第1臂152绕基台151上的垂直的旋转轴线转动。第2臂153的基部侧设于第1臂152的顶端部上,该第2臂153绕第1臂152的顶端部上的垂直的旋转轴线转动。基板保持部16具有第1基板保持部161、第2基板保持部162以及连接部163。第1基板保持部161和第2基板保持部162构成为互相并排地水平延伸的两个细长的刮刀状。连接部163以与第1基板保持部161和第2基板保持部162的延伸方向正交的方式在水平方向上延伸,该连接部163将第1基板保持部161的基端和第2基板保持部162的基端互相连接。连接部163的长度方向上的中央部设于第2臂153的顶端部上,该连接部163绕第2臂153的顶端部上的垂直的旋转轴线转动。随后叙述第1基板保持部161、第2基板保持部162。
真空处理系统1具有控制部8。控制部8例如为包括处理器、存储部、输入装置、显示装置等的计算机。控制部8控制真空处理系统1的各部分。控制部8能够使用输入装置进行命令的输入操作等,以供操作者对真空处理系统1进行管理。另外,控制部8能够利用显示装置可视化地显示真空处理系统1的运行状况。此外,在控制部8的存储部存储有用于利用处理器控制真空处理系统1所执行的各种处理的控制程序以及制程数据等。控制部8的处理器执行控制程序,按照制程数据控制真空处理系统1的各部分,从而由真空处理系统1执行期望的基板处理。
[真空处理装置的结构]
接着,参照图2~图4,说明将真空处理装置2应用于例如对晶圆W进行等离子体CVD(Chemical Vapor Deposition:化学气相沉积)处理的成膜装置的例子。图2是表示实施方式的真空处理装置2的结构的一个例子的分解立体图。图3是概略地表示实施方式的真空处理装置2的内部结构的俯视图。
6个真空处理装置2互相同样地构成,在真空处理装置2之间能够互相并行地进行晶圆W的处理。真空处理装置2包括俯视时呈矩形的处理容器(真空容器)20。处理容器20构成为能够将其内部维持为真空气氛。处理容器20构成为利用顶部构件201将在上表面设有凹状的开放部的容器主体202的开放部封闭。处理容器20例如具有围绕在处理容器20的周围的侧壁部203。在4个侧壁部203中的与真空输送室14连接的侧壁部203,以沿前后方向(图2中的Y′方向)排列的方式形成有两个送入送出口21。送入送出口21利用闸阀G开闭。
如图2和图3所示,在处理容器20的内部,自各送入送出口21沿水平方向延伸设置并用于进行晶圆W的输送的第1输送空间T1和第2输送空间T2设于互相相邻的位置。另外,在处理容器20内,在第1输送空间T1与第2输送空间T2之间,沿着延伸设置方向(图2中的X′方向)设有中间壁部3。在第1输送空间T1沿着延伸设置方向配置有两个处理空间S1、S2,在第2输送空间T2沿着延伸设置方向配置有两个处理空间S3、S4。因而,在处理容器20内,在从上表面侧观察时,以2×2的矩阵状配置有合计4个处理空间S1~S4。在此所说的水平方向还包含以下情况:在制造时的公差等的影响下,在不造成设备彼此在晶圆W的送入送出动作时相接触等的影响的范围内相对于延伸设置方向略微倾斜。
图4是表示实施方式的真空处理装置2的结构的一个例子的概略剖视图。图4的剖面相当于图3所示的真空处理装置2的A-A线处的剖面。4个处理空间S1~S4互相同样地构成,分别形成于载置晶圆W的载置台22和与载置台22相对地配置的气体供给部4之间。换言之,在处理容器20内,针对4个处理空间S1~S4分别设有载置台22和气体供给部4。图4中示出第1输送空间T1的处理空间S1和第2输送空间T2的处理空间S4。以下,以处理空间S1为例进行说明。
载置台22兼用作下部电极,例如形成为由金属或埋入有金属网电极的氮化铝(AlN)构成的扁平的圆柱状。载置台22被支承构件23自下方支承。支承构件23形成为圆筒状,向铅垂下方延伸并贯穿处理容器20的底部27。支承构件23的下端部位于处理容器20的外部,并连接于旋转驱动机构600。支承构件23利用旋转驱动机构600旋转。载置台22构成为能够随着支承构件23的旋转而进行旋转。另外,在支承构件23的下端部设有用于调整载置台22的位置和倾斜度的调整机构700。载置台22构成为能够利用调整机构700借助支承构件23在处理位置与交接位置之间升降。图4中由实线描绘位于处理位置的载置台22,由虚线分别表示位于交接位置的载置台22。处理位置是指执行基板处理(例如成膜处理)时的位置,交接位置是指在与基板输送机构15之间进行晶圆W的交接的位置。随后叙述旋转驱动机构600和调整机构700。
在载置台22埋设有加热器24。加热器24将载置于载置台22的各晶圆W加热到例如60℃~600℃左右。另外,载置台22连接于接地电位。
另外,在载置台22设有多个(例如3个)销用贯通孔26a,在这些销用贯通孔26a的内部分别配置有升降销26。销用贯通孔26a以自载置台22的载置面(上表面)贯通到相对于载置面而言的背面(下表面)的方式设置。升降销26以能够在销用贯通孔26a滑动的方式插入于该销用贯通孔26a。升降销26的上端悬吊于销用贯通孔26a的载置面侧。即,升降销26的上端具有大于销用贯通孔26a的直径,在销用贯通孔26a的上端形成有凹部,该凹部的直径和厚度大于升降销26的上端的直径和厚度并且该凹部能够收容升降销26的上端。由此,升降销26的上端卡定于载置台22并悬吊于销用贯通孔26a的载置面侧。另外,升降销26的下端自载置台22的背面向处理容器20的底部27侧突出。
如图4所示,在使载置台22上升到了处理位置的状态下,升降销26的上端收纳于销用贯通孔26a的载置侧的凹部。在从该状态使载置台22向交接位置下降并且利用未图示的升降机构使升降销26上升时,升降销26的上端自载置台22的载置面突出。
在此,对第1基板保持部161和第2基板保持部162进行说明。第1基板保持部161构成为,在使该第1基板保持部161进入到了第1输送空间T1时,在与第1输送空间T1内的处理空间S1、S2的各配置位置对应的位置保持晶圆W。与第1输送空间T1内的处理空间S1、S2的各配置位置对应的位置是指以向在第1输送空间T1的处理空间S1、S2设置的两个载置台22交接晶圆W的方式设定的位置。另外,第2基板保持部162构成为,在使该第2基板保持部162进入到了第2输送空间T2时,在与第2输送空间T2内的处理空间S3、S4的各配置位置对应的位置保持晶圆W。与第2输送空间T2内的处理空间S3、S4的各配置位置对应的位置是指以向在第2输送空间T2的处理空间S3、S4设置的两个载置台22交接晶圆W的方式设定的位置。
例如,第1基板保持部161和第2基板保持部162各自的宽度形成得小于晶圆W的直径,第1基板保持部161和第2基板保持部162各自在顶端侧和基端侧互相空开间隔地支承晶圆W的背面。对于在第1基板保持部161和第2基板保持部162的顶端侧支承的晶圆W,例如其中央部被第1基板保持部161和第2基板保持部162的顶端支承。
如此,在基板输送机构15、升降销26、载置台22的协同作用下,构成为在基板输送机构15与各载置台22之间成批地同时进行例如4张晶圆W的交接。
气体供给部4借助由绝缘构件构成的引导构件34设于处理容器20的顶部构件201的位于载置台22的上方的位置。气体供给部4具有作为上部电极的功能。气体供给部4具有:盖体42;喷淋板43,其以与载置台22的载置面相对的方式设置,构成相对面;以及气体的流通室44,其形成于盖体42与喷淋板43之间。在盖体42连接有气体供给管51,并且在喷淋板43例如纵横地排列有在厚度方向上贯通的气体喷出孔45,气体呈喷淋状地朝向载置台22喷出。
各气体供给部4经由气体供给管51连接于气体供给系统50。气体供给系统50包括例如作为处理气体的反应气体(成膜气体)、吹扫气体、清洁气体的供给源、配管、阀V、流量调整部M等。
在喷淋板43经由匹配器40连接有高频电源41。喷淋板43具有作为与载置台22相对的上部电极的功能。在对作为上部电极的喷淋板43与作为下部电极的载置台22之间施加高频电力时,能够利用电容耦合使自喷淋板43供给到处理空间S1的气体(本例子中的反应气体)等离子体化。
接着,对形成于中间壁部3的排气路径和合流排气路径进行说明。如图3和图4所示,在中间壁部3形成有针对4个处理空间S1~S4分别设置的排气路径31和这些排气路径31合流而成的合流排气路径32。合流排气路径32在中间壁部3内沿上下方向延伸设置。中间壁部3包括设于容器主体202侧的壁部主体311和设于顶部构件201侧的排气路径形成构件312。在排气路径形成构件312的内部设有排气路径31。
另外,在中间壁部3的位于处理空间S1~S4的外方侧的壁面分别针对每个处理空间S1~S4形成有排气口33。各排气路径31以连接排气口33和合流排气路径32的方式形成于中间壁部3。各排气路径31例如在中间壁部3内沿水平方向延伸后,向下方向弯曲而沿上下方向延伸,而与合流排气路径32连接。例如,排气路径31的剖面形成为圆形状(参照图3),在合流排气路径32的上游端连接有各排气路径31的下游端,各排气路径31的上游侧作为排气口33在各处理空间S1~S4的外侧开口。
在各处理空间S1~S4的周围以分别包围各处理空间S1~S4的方式设有排气用的引导构件34。引导构件34例如为在位于处理位置的载置台22的周围的区域以与该载置台22空开间隔地包围该载置台22的方式设置的环状体。引导构件34构成为在内部形成俯视时呈环状的流通路径35,该流通路径35例如纵剖面呈矩形形状。在图3中,概略地示出了处理空间S1~S4、引导构件34、排气路径31以及合流排气路径32。
如图4所示,引导构件34例如纵剖面形状形成为U字状,且U字的开口部分朝向下方侧地配置。引导构件34嵌入于在容器主体202的中间壁部3、侧壁部203侧形成的凹部204内,该引导构件34在其与构成该中间壁部3和该侧壁部203的构件之间形成流通路径35。
嵌入于凹部204内的引导构件34形成朝向处理空间S1~S4开口的狭缝状的狭缝排气口36。如此,在各个处理空间S1~S4的侧周部沿着周向形成有狭缝排气口36。在流通路径35连接有排气口33,使自狭缝排气口36排出了的处理气体朝向排气口33流通。
着眼于沿着第1输送空间T1的延伸设置方向配置的两个处理空间S1、S2的组和沿着第2输送空间T2的延伸设置方向配置的两个处理空间S3、S4的组。如图3所示,从上表面侧观察时,处理空间S1-S2的组、处理空间S3-S4的组围绕合流排气路径32以180°旋转对称的方式配置。
由此,处理气体的自各处理空间S1~S4经由狭缝排气口36、引导构件34的流通路径35、排气口33、排气路径31到达合流排气路径32的流通路径围绕合流排气路径32以180°旋转对称的方式形成。此外,在舍去与第1输送空间T1、第2输送空间T2、中间壁部3之间的位置关系而仅着眼于处理气体的流通路径时,也能够说:从上表面侧观察时,这些流通路径围绕合流排气路径32以90°旋转对称的方式形成。
合流排气路径32经由在处理容器20的底部27形成的合流排气口205连接于排气管61。排气管61经由阀机构7连接于构成真空排气机构的真空泵62。例如针对一个处理容器20设有一个真空泵62(参照图1),各真空泵62的下游侧的排气管61进行合流,并与例如工厂排气系统连接。
阀机构7用于开闭在排气管61内形成的处理气体的流通路径,该阀机构7例如具有外壳71和开闭部72。在外壳71的上表面形成有与上游侧的排气管61连接的第1开口部73,在外壳71的侧面形成有与下游侧的排气管61连接的第2开口部74。
开闭部72具有例如形成为能够堵塞第1开口部73的大小的开闭阀721和设于外壳71的外部并使开闭阀721在外壳71内升降的升降机构722。开闭阀721构成为在图4中由点划线所示的封闭位置与图4中由实线所示的开放位置之间升降自如,在封闭位置,该开闭阀721堵塞第1开口部73,在开放位置,该开闭阀721退避到比第1开口部73和第2开口部74靠下方侧的位置。当开闭阀721位于封闭位置时,合流排气口205的下游端被封闭,处理容器20内的排气停止。另外,当开闭阀721位于开放位置时,合流排气口205的下游端被打开,对处理容器20内进行排气。
接着,参照图2和图4,以使用两种反应气体的情况为例说明处理气体的供给系统。在各气体供给部4的上表面的大致中央分别连接有气体供给管51。气体供给管51利用第1气体供给管511经由第1共用气体供给路径521连接于第1反应气体供给源和吹扫气体供给源55。另外,气体供给管51利用第2气体供给管512经由第2共用气体供给路径522连接于第2反应气体供给源和吹扫气体供给源55。此外,在图4中,为了方便,将第1共用气体供给路径521和第2共用气体供给路径522统一表示为气体供给路径52。另外,将第1反应气体供给源和第2反应气体供给源统一表示为反应气体供给源54。另外,将第1气体供给管511和第2气体供给管512统一表示为气体供给管510。阀V2、流量调整部M2为反应气体供给用,阀V3、流量调整部M3为吹扫气体供给用。
另外,气体供给管51利用清洁气体供给路径532经由远程等离子体单元(RPU:Remote Plasma Unit)531连接于清洁气体供给源53。清洁气体供给路径532在RPU531的下游侧分支成4个系统,并分别连接于气体供给管51。在清洁气体供给路径532中的RPU531的上游侧设有阀V1和流量调整部M1。另外,在RPU531的下游侧针对每个分支出的分支管设有阀V11~V14,在清洁时,打开对应的阀V11~V14。此外,在图4中,为了方便,仅示出了阀V11、V14。在列举利用CVD形成绝缘氧化膜(SiO2)的情况为例时,作为反应气体,例如使用四乙氧基硅烷(TEOS)、氧气(O2),作为吹扫气体,例如使用氮气(N2)等非活性气体。在作为反应气体而使用TEOS和O2气体的情况下,例如自第1反应气体供给源541供给TEOS,自第2反应气体供给源542供给O2气体。另外,作为清洁气体,例如使用三氟化氮(NF3)气体。
从自共用的气体供给路径52分配的处理气体来看,自各气体供给管51到达气体供给部4为止的各处理气体路径形成为电导率互相一致。例如如图2所示,第1共用气体供给路径521的下游侧分支成两个系统,并且分支出的气体供给路径进一步分支成两个系统,而以分枝形状形成第1气体供给管511。第1气体供给管511在清洁气体用的阀V11~V14的下游侧分别连接于气体供给管51。另外,第2共用气体供给路径522的下游侧分支成两个系统,并且分支出的气体供给路径进一步分支成两个系统,而以分枝形状形成第2气体供给管512。第2气体供给管512在清洁气体用的阀V11~V14的下游侧分别连接于气体供给管51。
各第1气体供给管511的自上游端(与第1共用气体供给路径521连接的端部)到下游端(与气体供给部4或气体供给管51连接的端部)的长度和内径形成为在第1气体供给管511彼此之间一致。另外,各第2气体供给管512的自上游端(与第2共用气体供给路径522连接的端部)到下游端的长度和内径形成为在第2气体供给管512彼此之间一致。这样一来,从自第1共用气体供给路径521分配的处理气体来看,经由第1气体供给管511、气体供给部4、处理空间S1~S4以及排气路径31到达合流排气路径32为止的各处理气体路径形成为电导率互相一致。另外,从自第2共用气体供给路径522分配的处理气体来看,经由第2气体供给管512、气体供给部4、处理空间S1~S4以及排气路径31到达合流排气路径32为止的各处理气体路径形成为电导率互相一致。
真空处理装置2与真空处理系统1的控制部8连接。控制部8控制真空处理装置2的各部分。控制部8能够使用输入装置进行命令的输入操作等,以供操作者对真空处理装置2进行管理。另外,控制部8能够利用显示装置可视化地显示真空处理装置2的运行状况。此外,在控制部8的存储部存储有用于利用处理器控制真空处理装置2所执行的各种处理的控制程序以及制程数据。控制部8的处理器执行控制程序,按照制程数据控制真空处理装置2的各部分,从而由真空处理装置2执行期望的处理。例如,控制部8控制真空处理装置2的各部分,使其对送入到真空处理装置2内的基板执行蚀刻处理、成膜处理等基板处理。
[旋转驱动机构和调整机构的结构]
图5是表示实施方式的旋转驱动机构600和调整机构700的结构的一个例子的图。在处理容器20的底部27,与支承载置台22的位置对应地形成有孔27a。自下方支承载置台22的支承构件23插入于孔27a。而且,在支承构件23的位于处理容器20的外部的下端部23a连接有旋转驱动机构600。
旋转驱动机构600具有旋转轴610、马达620以及真空密封件630。
旋转轴610构成为与支承构件23的下端部23a连接,并能够与支承构件23一体地旋转。在旋转轴610的下端部设有集电环621。集电环621具有电极,该集电环621与用于向载置台22周边的部件供电的各种配线电连接。例如,集电环621与用于向埋设于载置台22的加热器24供电的配线电连接。另外,例如,在载置台22上设有用于静电吸附晶圆W的静电卡盘的情况下,集电环621与对静电卡盘施加的直流电压的配线电连接。
马达620与旋转轴610连接,使旋转轴610旋转。当旋转轴610旋转时,借助支承构件23,载置台22旋转。当旋转轴610旋转时,集电环621也与旋转轴610一起旋转,但仍维持集电环621与用于向载置台22周边的部件供电的各种配线之间的电连接。
真空密封件630例如为磁性流体密封件,设于旋转轴610的周围,能够一边气密地密封旋转轴610一边维持旋转轴610的旋转。
另外,在支承构件23的下端部23a借助真空密封件630卡合有调整机构700。
调整机构700具有基体构件710、多个(例如,6个)致动器720、吸收机构730以及波纹管740。
基体构件710构成为借助真空密封件630与支承构件23的位于处理容器20的外部的下端部23a卡合并能够与载置台22一体地移动。例如,在基体构件710形成有直径大于支承构件23的下端部23a的直径的孔711。支承构件23穿过孔711,且下端部23a连接于旋转轴610。真空密封件630设于与支承构件23的下端部23a连接的旋转轴610的周围,基体构件710固定于真空密封件630的上表面。由此,基体构件710借助真空密封件630、旋转轴610以及支承构件23等与载置台22连接,该基体构件710能够与载置台22一体地移动。
多个致动器720在处理容器20的底部27与基体构件710之间互相排列地设置,使基体构件710相对于处理容器20的底部27相对地移动,从而调整载置台22的位置和倾斜度。多个致动器720能够伸缩,借助万向接头以能够旋转滑动的方式与基体构件710连结,并且借助万向接头以能够旋转滑动的方式与处理容器20的底部27侧连结。基体构件710和多个致动器720形成并联连杆机构,该并联连杆机构能够使基体构件710分别向例如图5所示的X′轴的方向、Y′轴的方向以及Z′轴的方向和绕X′轴的旋转的方向、绕Y′轴的旋转的方向以及绕Z′轴的旋转的方向移动。由基体构件710和多个致动器720形成的并联连杆机构的移动坐标系被预先调整为与处理容器20的坐标系一致。利用并联连杆机构连结处理容器的底部27和基体构件710,从而多个致动器720能够使基体构件710相对于处理容器20的底部27相对地移动。由此,能够调整载置台22的位置和倾斜度。例如,多个致动器720通过使基体构件710在与处理容器20的底部27的外壁面正交的方向(例如,图5的Z′轴方向)上移动,从而调整载置台22的位置。另外,例如,多个致动器720通过使基体构件710在沿着处理容器20的底部27的外壁面的方向(例如,图5的X′轴方向和Y′轴方向)上移动,从而调整载置台22的位置。另外,例如,多个致动器720通过使基体构件710相对于处理容器20的底部27的外壁面向规定方向(例如,图5的绕X′轴的旋转的方向和绕Y′轴的旋转的方向)倾斜,从而调整载置台22的倾斜度。
此外,通过使用各种检测部件检测基体构件710的位置和倾斜度,能够确定利用多个致动器720进行调整的载置台22的位置和倾斜度。作为检测部件,例如可列举线性编码器、陀螺传感器、三轴加速度传感器以及激光跟踪器等。
另外,在真空处理装置2中,在将处理容器20内部的压力从大气状态切换到了真空状态的情况下,处理容器20会在压力差的作用下变形。另外,在处理容器20中实施的基板处理的热进行传递而温度变化,处理容器20也会因温度变化而变形。若处理容器20变形,则存在如下情况:由处理容器20的变形产生的应力向载置台22传递,导致载置台22的位置、倾斜度变化。
于是,在本实施方式的真空处理装置2中,将多个致动器720设于处理容器20的底部27和能够与载置台22一体地移动的基体构件710之间。多个致动器720通过使基体构件710相对于底部27相对地移动,从而调整载置台22的位置、倾斜度。由此,即使在因处理容器20的变形引起载置台22的位置、倾斜度产生了变化的情况下,也能够将载置台22的位置和倾斜度调整为原来的位置和倾斜度。该结果,本实施方式的真空处理装置2能够改善由处理容器20的变形引起的载置台22的位置和倾斜度的偏离,其结果,能够使成膜处理等基板处理的面内均匀性提高。
吸收机构730设于处理容器20的底部27,用于吸收处理容器20的底部的变形。在吸收机构730形成有经由处理容器20的底部27的孔27a与处理容器20的内部连通的孔731。多个致动器720不与处理容器20的底部27直接连结,而是与吸收机构730连结。由此,即使在处理容器20的底部27产生了变形的情况下,由处理容器20的底部27的变形产生的应力也会被吸收机构730吸收而不向多个致动器720传递,能够抑制载置台22的位置、倾斜度的调整精度的降低。随后叙述吸收机构730的详细内容。
波纹管740以围绕在支承构件23的周围的方式设置。波纹管740的上端贯穿形成于吸收机构730的孔731而与处理容器20的底部27连接,并且波纹管740的下端与基体构件连接。由此,波纹管740将处理容器20的底部27与基体构件710之间的空间气密地密封。波纹管740构成为能够根据基体构件710的移动而进行伸缩。例如,当基体构件710在与处理容器20的底部27的外壁面正交的方向(例如,图5的Z′轴方向)上移动时,波纹管740在Z′轴方向上伸缩。另外,例如,当基体构件710在沿着处理容器20的底部27的外壁面的方向(例如,图5的X′轴方向和Y′轴方向)上移动时,波纹管740在X′轴方向和Y′轴方向上伸缩。另外,例如,当基体构件710相对于处理容器20的底部27的外壁面在规定方向(例如,图5的绕X′轴的旋转的方向和绕Y′轴的旋转的方向)上移动时,波纹管740在绕X′轴的旋转的方向和绕Y′轴的旋转的方向上伸缩。真空处理装置2构成为,即使在基体构件710进行了移动的情况下,由于波纹管740进行伸缩,因此大气也不会经由处理容器20的底部27与基体构件710之间空间、孔731和孔27a向处理容器20内流入。
在此,参照图6说明吸收机构730的结构的一个例子。图6是表示图5所示的吸收机构730的结构的一个例子的图。吸收机构730具有板构件732和杆构件733。
板构件732形成为圆板形状,并配置于处理容器20的底部27的下方。从切断热、振动自处理容器20的传递的观点来看,板构件732以与处理容器20的底部27的外壁面空开间隔的方式配置。
杆构件733的一端以能够旋转滑动的方式与处理容器20的底部27连结,并且杆构件733的另一端以能够旋转滑动的方式与板构件732连结。即,在处理容器20的底部27的外壁面形成有凹部27b,在凹部27b安装有能够自由地旋转滑动的球面轴承27c。杆构件733的一端部733a通过与球面轴承27c连结,从而以能够旋转滑动的方式与处理容器20的底部27连结。另外,在板构件732的上表面,在与凹部27b对应的位置形成有凹部732a,在凹部732a安装有能够自由地旋转滑动的球面轴承732b。杆构件733的另一端部733b通过与球面轴承732b连结,从而以能够旋转滑动的方式与板构件732连结。杆构件733向与处理容器20的底部27的变形对应的方向旋转,从而抑制变形向板构件732的传递。例如,在处理容器20的底部27向图6的箭头的方向变形的情况下,杆构件733受到底部27的变形的应力,但该杆构件733与底部27一起向图6的箭头的方向旋转,从而抑制变形向板构件732的传递。多个致动器720连结于板构件732。由此,由处理容器20的底部27的变形产生的应力不会经由板构件732向多个致动器720传递,而能够抑制载置台22的位置、倾斜度的调整精度的降低。
另外,杆构件733配置于板构件732的圆周方向上的多个位置。例如,沿着板构件732的圆周方向在该板构件732的边缘的内侧的多个位置以均等的间隔设有3个杆构件733。也可以沿着板构件732的圆周方向以均等的间隔设有4个以上的杆构件733。
[真空处理装置的控制方法的流程的具体例]
接着,说明实施方式的真空处理装置2的控制方法的流程的具体例。图7是表示实施方式的真空处理装置2的控制方法的流程的例1的流程图。
控制部8控制基板输送机构15,以朝向真空处理装置2输送晶圆W(步骤S101)。
控制部8计算晶圆W被基板输送机构15输送时的偏离量作为晶圆W的位置的校正量(步骤S102)。例如,通过使用在晶圆W的输送路径上的任意的位置设置的位置检测传感器检测晶圆W与利用基板输送机构15进行的输送的目标位置之间的偏离量,从而执行晶圆W的位置的校正量的计算。位置检测传感器例如设于在内部配置有基板输送机构15的真空输送室14内。另外,位置检测传感器也可以设于真空处理装置2的送入送出口21。另外,目标位置是指晶圆W在载置台22上的载置位置,例如为载置台22的中心与晶圆W的中心对齐的位置。
控制部8控制多个致动器720,以使基体构件710自预先确定了的基准位置移动在步骤S102中计算出的校正量(步骤S103)。基准位置例如是指载置台22的中心与处理容器20的中心对齐的位置。伴随基体构件710的移动,载置台22同样地自基准位置移动校正量。
当基板输送机构15到达真空处理装置2时,控制部8控制基板输送机构15,以将晶圆W输送到处理容器20内的目标位置的上方。然后,控制部8在载置台22与基板输送机构15之间进行晶圆W的交接(步骤S104)。在该阶段,载置台22的中心与晶圆W的中心对齐。此外,步骤S104的晶圆W的交接能够使用后述的图8的方式来实现。
控制部8控制多个致动器720,以使基体构件710向基准位置移动(步骤S105)。伴随基体构件710的移动,载置台22同样地向基准位置移动。在该阶段,载置台22的中心、晶圆W的中心以及处理容器20的中心对齐。
如此,在真空处理装置2中,代替使基板输送机构15移动校正量,而使基体构件710和载置台22一体地移动校正量,从而进行晶圆W的交接。因此,能够削减基板输送机构15的输送负荷。作为结果,能够使真空处理系统1整体的生产率提高。
此外,在图7中,对处理容器20内的4个处理空间S1~S4分别并行地执行步骤S103~S105的处理。由此,若基板输送机构15将4个晶圆W向处理容器20内的4个处理空间S1~S4成批地输送,则能够在载置台22与基板输送机构15之间成批地实现晶圆W的交接(步骤S104)。作为结果,能够使真空处理系统1整体的生产率进一步提高。
图8是表示实施方式的真空处理装置2的控制方法的流程的例2的流程图。图8所示的控制方法例如适用于图7的步骤S104的晶圆W的交接。此外,在初始阶段,载置台22位于处理位置。
控制部8控制多个致动器720,以使基体构件710与载置台22一起向下方向(也就是图5的Z′轴的负方向)移动(步骤S201)。由此,开始载置台22的下降。
对于控制部8,伴随载置台22的向下方向的移动而使升降销26的下端与处理容器20的底部27抵接,从而使升降销26的上端自载置台22的载置面突出(步骤S202)。在该阶段中,载置台22成为自处理位置下降到了交接位置的状态。
控制部8控制多个致动器720,以使基体构件710与载置台22一起向上方向(也就是图5的Z′轴的正方向)移动(步骤S203)。由此,开始载置台22的上升。
对于控制部8,伴随载置台22的向上方向的移动而使升降销26的下端自处理容器20的底部27分离,从而将升降销26的上端收纳于销用贯通孔26a的载置面侧(步骤S204)。在该阶段中,载置台22成为上升到了处理位置的状态。
如此,在真空处理装置2中,能够通过进行基体构件710的升降来实现升降销26的突出和收纳。因此,能够省略用于驱动升降销26的升降销驱动机构,能够削减处理容器20内的部件的个数。在此,在处理容器20内,存在通过产生等离子体来对晶圆W进行基板处理的情况。该情况下,处理容器20内的部件被等离子体消耗,由所消耗的部件产生的微粒可能使晶圆W的处理特性降低。相对于此,在真空处理装置2中,能够利用对升降销驱动机构的削减来削减处理容器20内的部件的个数,因此能够使微粒的产生风险降低。另外,不用另外设置载置台22的升降机构,而能够利用调整机构700进行载置台22的升降。
图9是表示实施方式的真空处理装置2的控制方法的流程的例3的流程图。此外,在以下的说明中,在喷淋板43的周围配置有膜厚传感器。膜厚传感器构成为能够以非接触的方式检测位于规定的检测范围内的晶圆W的膜厚。
控制部8控制多个致动器720,以使基体构件710移动,直到载置于载置台22的晶圆W移动到膜厚传感器的检测范围内为止(步骤S301)。例如,控制部8控制多个致动器720,以使基体构件710倾斜,直到载置于载置台22的晶圆W移动到膜厚传感器的检测范围内为止。
如此,在真空处理装置2中,能够使载置于载置台22的晶圆W移动到膜厚传感器的检测范围内。由此,在膜厚传感器配置于与载置台22相对的喷淋板43的周围的情况下,真空处理装置2也能够在基板处理的执行中途实时地进行膜厚检测。
图10是表示实施方式的真空处理装置2的控制方法的流程的例4的流程图。在图10所示的控制方法中,使用了距离测量基板,该距离测量基板能够针对载置台22的载置面内的多个位置分别测量载置台22与喷淋板43之间的距离(以下适当称作“间隙”)。距离测量基板具有将针对载置台22的载置面内的多个位置分别测量得到的间隙作为测量结果向控制部8发送的无线通信功能。
控制部8将距离测量基板配置于载置台22上(步骤S401)。控制部8命令距离测量基板进行间隙的测量。距离测量基板将针对载置台22的周向上的多个位置分别测量得到的间隙作为测量结果向控制部8发送。
控制部8基于距离测量基板的测量结果,控制多个致动器720,以使基体构件710移动到载置台22的载置面内的多个位置处的距离(也就是间隙)在规定范围内的位置。(步骤S402)。
如此,在真空处理装置2中,不用使处理容器20开放,就能够使间隙在载置台22的载置面内的多个位置处均匀化。作为结果,真空处理装置2能够一边维持处理容器20的真空状态,一边使对晶圆W进行的基板处理的面内均匀性提高。
图11是表示实施方式的真空处理装置2的控制方法的流程的例5的流程图。
控制部8获取测量数据,该测量数据针对每个在处理容器20内所执行的基板处理进行测量而得到,表示载置台22的与满足规定条件的晶圆W的状态对应的位置和倾斜度(步骤S501)。例如,控制部8通过自控制部8的存储部读出测量数据来进行获取。晶圆W的状态例如是指表示利用基板处理形成于晶圆W上的膜的膜质的数值。此外,在测量数据存储于其他的装置的情况下,控制部8也可以借助网络自其他的装置获取测量数据。另外,控制部8也可以利用机器学习生成测量数据来进行获取,该机器学习基于载置台22的与每个基板处理的晶圆W的状态对应的位置和倾斜度。
控制部8在处理容器20内执行基板处理(步骤S502)。
控制部8判断执行中的基板处理的切换的时刻是否已到来(步骤S503)。在切换的时刻未到来的情况下(步骤S503:否),控制部8继续进行执行中的基板处理。
另外,在切换的时刻已到来的情况下(步骤S503:是),控制部8判断是否已完成全部的基板处理的执行(步骤S404)。在未完成全部的基板处理的执行的情况下(步骤S504:否),控制部8基于在步骤S501中获取到的测量数据控制多个致动器720(步骤S505)。即,控制部8参照测量数据求得与作为切换目标的下一基板处理对应的、载置台22的位置和倾斜度。然后,控制部8控制多个致动器720,以载置台22的位置和倾斜度成为所求得的位置和倾斜度的方式使基体构件710移动。在使基体构件710进行了移动之后,控制部8使处理返回到步骤S502,在处理容器20内执行作为切换目标的下一基板处理。
另外,在已完成全部的基板处理的执行的情况下(步骤S504:是),控制部8结束处理。
如此,真空处理装置2能够针对每个基板处理动态地调整载置台22的位置和倾斜度。作为结果,真空处理装置2在连续地依次执行基板处理的情况下能够针对每个基板处理得到最佳的处理结果。
(实施方式的效果)
如上所述,实施方式的真空处理装置2具有处理容器20、载置台22、支承构件23、基体构件710以及多个致动器720。处理容器20构成为能够将其内部维持为真空气氛。载置台22设于处理容器20内,用于载置晶圆W(基板)。支承构件23贯穿处理容器20的底部27的孔并自下方支承载置台22。基体构件710构成为与支承构件23的位于处理容器20的外部的端部卡合并能够与载置台22一体地移动。多个致动器720在处理容器20的底部27与基体构件710之间互相排列地设置,该多个致动器720使基体构件710相对于处理容器20的底部27相对地移动,从而调整载置台22的位置和倾斜度。由此,真空处理装置2能够改善因处理容器20的变形引起的载置台22的位置和倾斜度的偏离。
另外,基体构件710和多个致动器720形成能够使基体构件710分别向多个轴线的方向和绕各轴线的旋转的方向移动的并联连杆机构。基体构件710和多个致动器720利用该并联连杆机构连结处理容器20的底部27和基体构件710。由此,真空处理装置2使用并联连杆机构的动作,使基体构件710相对于处理容器20的底部27相对地移动,从而能够改善载置台22的位置和倾斜度的偏离。
另外,多个致动器720通过使基体构件710在与处理容器20的底部27的外壁面正交的方向上移动,从而调整载置台22的位置。由此,真空处理装置2能够改善载置台22的在与处理容器20的底部27的外壁面正交的方向上的位置的偏离。
另外,多个致动器720通过使基体构件710在沿着处理容器20的底部27的外壁面的方向上移动,从而调整载置台22的位置。由此,真空处理装置2能够改善载置台22的在沿着处理容器20的底部27的外壁面的方向上的位置的偏离。
另外,多个致动器720通过使基体构件710相对于处理容器20的底部27的外壁面倾斜,从而调整载置台22的倾斜度。由此,真空处理装置2能够改善载置台22的相对于处理容器20的底部27的倾斜度的偏离。
另外,真空处理装置2还具有波纹管740(伸缩构件),该波纹管740设于支承构件23的周围并将处理容器20的底部27与基体构件710之间的空间气密地密封,该波纹管740能够根据基体构件710的移动进行伸缩。由此,即使在基体构件710进行了移动的情况下,真空处理装置2也能够阻止大气向处理容器20内的流入。
另外,真空处理装置2还具有吸收机构730,该吸收机构730吸收处理容器20的底部27的变形。多个致动器与吸收机构730连结。由此,由处理容器20的底部27的变形产生的应力被吸收机构730吸收而不向多个致动器720传递,因此,真空处理装置2能够抑制载置台22的位置、倾斜度的调整精度的降低。
另外,吸收机构730具有板构件732和杆构件733。杆构件733的一端以能够旋转滑动的方式与处理容器20的底部27连结,并且杆构件733的另一端以能够旋转滑动的方式与板构件732连结。杆构件733通过向与处理容器20的底部27的变形对应的方向旋转,从而抑制变形向板构件732的传递。多个致动器720与板构件732连结。由此,由处理容器20的底部27的变形产生的应力被板构件732吸收而不向多个致动器720传递,因此,真空处理装置2能够抑制载置台22的位置、倾斜度的调整精度的降低。
另外,板构件732以与处理容器20的底部27的外壁面空开间隔的方式配置。由此,真空处理装置2能够切断热、振动自处理容器20向板构件732的传递。
另外,实施方式的真空处理装置2的控制方法包含以下工序:计算晶圆W(基板)被基板输送机构15(输送机构)输送时的偏离量作为晶圆W的位置的校正量;控制多个致动器720,以使基体构件710自预先确定了的基准位置移动校正量;在基板输送机构15与随基体构件710一起进行了移动的载置台22之间进行晶圆W的交接;以及在进行了晶圆W的交接之后,控制多个致动器720,以使基体构件710向基准位置移动。由此,真空处理装置2能够使真空处理系统1整体的生产率提高。
另外,在载置台22形成有销用贯通孔26a,该销用贯通孔26a贯通载置台22的载置面和与该载置面相对的背面。真空处理装置2还具有升降销26,该升降销26以能够滑动的方式插入于销用贯通孔26a,该升降销26的上端悬吊于销用贯通孔26a的靠载置台22的载置面的那侧,该升降销26的下端自载置台22的背面向处理容器20的底部27侧突出。实施方式的真空处理装置2的控制方法也可以包含以下工序:控制多个致动器720,以使基体构件710与载置台22一起向下方向移动;伴随载置台22的向下方向的移动而使升降销26的下端与处理容器20的底部27抵接,从而使升降销26的上端自载置台22的载置面突出;控制多个致动器720,以使基体构件710与载置台22一起向上方向移动;以及伴随载置台22的向上方向的移动而使升降销26的下端自处理容器20的底部27分离,从而将升降销26的上端收纳于销用贯通孔26a的靠载置台22的载置面的那侧。由此,真空处理装置2能够通过削减升降销驱动机构而削减处理容器20内的部件的个数,因此能够使微粒的产生风险降低。
另外,真空处理装置2还具有:喷淋板43(上部电极),其在处理容器20内与所述载置台22相对地配置;以及膜厚传感器,其配置于喷淋板43的周围,能够以非接触的方式检测位于规定的检测范围内的晶圆W的膜厚。实施方式的真空处理装置2的控制方法还可以包含以下工序:控制多个致动器720,以使基体构件710移动,直到载置于载置台22的晶圆W移动到膜厚传感器的检测范围内为止。由此,即使在膜厚传感器配置于与载置台22相对的喷淋板43的周围的情况下,真空处理装置2也能够在基板处理的执行过程中实时地进行膜厚检测。
另外,实施方式的真空处理装置2的控制方法包含以下工序:在载置台22上配置距离测量基板,该距离测量基板能够针对载置台22的载置面内的多个位置分别测量载置台22与喷淋板43(上部电极)之间的距离;以及基于距离测量基板的测量结果,控制多个致动器720,以使基体构件710移动到载置台22的载置面内的多个位置处的距离在规定范围内的位置。由此,真空处理装置2能够一边维持处理容器20的真空状态,一边使对晶圆W进行的基板处理的面内均匀性提高。
另外,实施方式的真空处理装置2的控制方法包含以下工序:获取测量数据,该测量数据针对每个在处理容器20内所执行的基板处理进行测量而得到,表示载置台22的与满足规定条件的晶圆W(基板)的状态对应的位置和倾斜度;在处理容器20内依次执行基板处理;以及在每次基板处理的切换的时刻到来时,基于测量数据控制多个致动器720。由此,在连续地依次执行基板处理的情况下,真空处理装置2能够针对每个基板处理得到最佳的处理结果。
以上,对实施方式进行了说明,但应该认为,此次公开了的实施方式在所有方面均为例示,并不是限制性的。上述的实施方式也可以在不脱离权利要求书及其主旨的范围内以各种各样的形态进行省略、置换、变更。
例如,在上述实施方式中,说明了真空处理装置2为进行等离子体CVD处理作为基板处理的装置的例子,但也可以将公开技术应用于进行等离子体蚀刻等其他的基板处理的任意的装置。
另外,在上述实施方式中,以将多个致动器720借助万向接头以能够旋转滑动的方式与基体构件710连结,并且将多个致动器720借助万向接头以能够旋转滑动的方式与处理容器20的底部27侧(也就是图5的吸收机构730)连结的情况为例进行了说明。但是,公开技术并不限定于此。也可以省略吸收机构730,将致动器720的一端借助万向接头以能够旋转滑动的方式与处理容器20的底部27连结。另外,还可以省略基体构件710,将致动器720的另一端借助万向接头以能够旋转滑动的方式与真空密封件630的局部连结。该情况下,真空密封件630作为基体构件发挥功能。

Claims (14)

1.一种真空处理装置,其中,
该真空处理装置具有:
处理容器,其能够将其内部维持为真空气氛;
载置台,其设于所述处理容器内,用于载置基板;
支承构件,其贯穿所述处理容器的底部的孔而自下方支承所述载置台;
基体构件,其与所述支承构件的位于所述处理容器的外部的部分卡合,该基体构件能够与所述载置台一体地移动;以及
多个致动器,它们在所述处理容器的底部与所述基体构件之间互相排列地设置,该多个致动器使所述基体构件相对于所述处理容器的底部相对地移动,从而调整所述载置台的位置和倾斜度。
2.根据权利要求1所述的真空处理装置,其中,
所述基体构件和所述多个致动器形成能够使所述基体构件分别向多个轴线的方向和绕各轴线的旋转的方向移动的并联连杆机构,利用该并联连杆机构连结所述处理容器的底部和所述基体构件。
3.根据权利要求1或2所述的真空处理装置,其中,
所述多个致动器通过使所述基体构件在与所述处理容器的底部的外壁面正交的方向上移动,从而调整所述载置台的位置。
4.根据权利要求1~3中任一项所述的真空处理装置,其中,
所述多个致动器通过使所述基体构件在沿着所述处理容器的底部的外壁面的方向上移动,从而调整所述载置台的位置。
5.根据权利要求1~4中任一项所述的真空处理装置,其中,
所述多个致动器通过使所述基体构件相对于所述处理容器的底部的外壁面倾斜,从而调整所述载置台的倾斜度。
6.根据权利要求1~5中任一项所述的真空处理装置,其中,
该真空处理装置还具有伸缩构件,该伸缩构件设于所述支承构件的周围并将所述处理容器的底部与所述基体构件之间的空间气密地密封,该伸缩构件能够与所述基体构件的移动对应地进行伸缩。
7.根据权利要求1~6中任一项所述的真空处理装置,其中,
该真空处理装置还具有吸收机构,该吸收机构吸收所述处理容器的底部的变形,
所述多个致动器与所述吸收机构连结。
8.根据权利要求7所述的真空处理装置,其中,
所述吸收机构具有:
板构件;以及
杆构件,该杆构件的一端以能够旋转滑动的方式与所述处理容器的底部连结,并且该杆构件的另一端以能够旋转滑动的方式与所述板构件连结,该杆构件通过向与所述处理容器的底部的变形对应的方向旋转,从而抑制所述变形向所述板构件的传递,
所述多个致动器与所述板构件连结。
9.根据权利要求8所述的真空处理装置,其中,
所述板构件以与所述处理容器的底部的外壁面空开间隔的方式配置。
10.一种真空处理装置的控制方法,该真空处理装置具有:
处理容器,其能够将其内部维持为真空气氛;
载置台,其设于所述处理容器内,用于载置基板;
支承构件,其贯穿所述处理容器的底部的孔而自下方支承所述载置台;
基体构件,其与所述支承构件的位于所述处理容器的外部的部分卡合,该基体构件能够与所述载置台一体地移动;以及
多个致动器,它们在所述处理容器的底部与所述基体构件之间互相排列地设置,该多个致动器使所述基体构件相对于所述处理容器的底部相对地移动,从而调整所述载置台的位置和倾斜度,其中,
该真空处理装置的控制方法包含以下工序:
计算所述基板被输送机构输送时的偏离量作为所述基板的位置的校正量;
控制所述多个致动器,以使所述基体构件自预先确定了的基准位置移动所述校正量;
在所述输送机构与随所述基体构件一起进行了移动的所述载置台之间进行所述基板的交接;以及
在进行了所述基板的交接之后,控制所述多个致动器,以使所述基体构件向所述基准位置移动。
11.一种真空处理装置的控制方法,该真空处理装置具有:
处理容器,其能够将其内部维持为真空气氛;
载置台,其设于所述处理容器内,用于载置基板;
支承构件,其贯穿所述处理容器的底部的孔而自下方支承所述载置台;
基体构件,其与所述支承构件的位于所述处理容器的外部的部分卡合,该基体构件能够与所述载置台一体地移动;以及
多个致动器,它们在所述处理容器的底部与所述基体构件之间互相排列地设置,该多个致动器使所述基体构件相对于所述处理容器的底部相对地移动,从而调整所述载置台的位置和倾斜度,其中,
在所述载置台形成有贯通孔,该贯通孔贯通所述载置台的载置面和与该载置面相对的背面,
所述真空处理装置还具有升降销,该升降销以能够滑动的方式插入于所述贯通孔,该升降销的上端悬吊于所述贯通孔的靠所述载置台的载置面的那侧,该升降销的下端自所述载置台的背面向所述处理容器的底部侧突出,
该真空处理装置的控制方法具有以下工序:
控制所述多个致动器,以使所述基体构件与所述载置台一起向下方向移动;
伴随所述载置台的向下方向的移动而使所述升降销的下端与所述处理容器的底部侧的构件抵接,从而使所述升降销的上端自所述载置台的载置面突出;
控制所述多个致动器,以使所述基体构件与所述载置台一起向上方向移动;以及
伴随所述载置台的向上方向的移动而使所述升降销的下端自所述处理容器的底部侧的构件分离,从而将所述升降销的上端收纳于所述贯通孔的靠所述载置台的载置面的那侧。
12.一种真空处理装置的控制方法,该真空处理装置具有:
处理容器,其能够其将内部维持为真空气氛;
载置台,其设于所述处理容器内,用于载置基板;
支承构件,其贯穿所述处理容器的底部的孔而自下方支承所述载置台;
基体构件,其与所述支承构件的位于所述处理容器的外部的部分卡合,该基体构件能够与所述载置台一体地移动;以及
多个致动器,它们在所述处理容器的底部与所述基体构件之间互相排列地设置,该多个致动器使所述基体构件相对于所述处理容器的底部相对地移动,从而调整所述载置台的位置和倾斜度,其中,
所述真空处理装置还具有:
上部电极,其在所述处理容器内与所述载置台相对地配置;以及
膜厚传感器,其配置于所述上部电极的周围,能够以非接触的方式检测位于规定的检测范围内的所述基板的膜厚,
该真空处理装置的控制方法包含以下工序:
控制所述多个致动器,以使所述基体构件移动,直到载置于所述载置台的所述基板移动到所述膜厚传感器的检测范围内为止。
13.一种真空处理装置的控制方法,该真空处理装置具有:
处理容器,其能够将其内部维持为真空气氛;
载置台,其设于所述处理容器内,用于载置基板;
支承构件,其贯穿所述处理容器的底部的孔而自下方支承所述载置台;
基体构件,其与所述支承构件的位于所述处理容器的外部的部分卡合,该基体构件能够与所述载置台一体地移动;以及
多个致动器,它们在所述处理容器的底部与所述基体构件之间互相排列地设置,该多个致动器使所述基体构件相对于所述处理容器的底部相对地移动,从而调整所述载置台的位置和倾斜度,其中,
所述真空处理装置还具有上部电极,该上部电极在所述处理容器内与所述载置台相对地配置,
该真空处理装置的控制方法包含以下工序:
在所述载置台上配置距离测量基板,该距离测量基板能够针对所述载置台的载置面内的多个位置分别测量所述载置台与所述上部电极之间的距离;以及
基于所述距离测量基板的测量结果,控制所述多个致动器,以使所述基体构件移动到所述载置台的载置面内的多个位置处的所述距离在规定范围内的位置。
14.一种真空处理装置的控制方法,该真空处理装置具有:
处理容器,其能够将其内部维持为真空气氛;
载置台,其设于所述处理容器内,用于载置基板;
支承构件,其贯穿所述处理容器的底部的孔而自下方支承所述载置台;
基体构件,其与所述支承构件的位于所述处理容器的外部的部分卡合,该基体构件能够与所述载置台一体地移动;以及
多个致动器,它们在所述处理容器的底部与所述基体构件之间互相排列地设置,该多个致动器使所述基体构件相对于所述处理容器的底部相对地移动,从而调整所述载置台的位置和倾斜度,其中,
该真空处理装置的控制方法包含以下工序:
获取测量数据,该测量数据针对每个在所述处理容器内所执行的基板处理进行测量而得到,表示所述载置台的与满足规定条件的所述基板的状态对应的位置和倾斜度;
在所述处理容器内依次执行基板处理;以及
在每次所述基板处理的切换的时刻到来时,基于所述测量数据控制所述多个致动器。
CN202110728639.1A 2020-07-07 2021-06-29 真空处理装置和真空处理装置的控制方法 Pending CN113903697A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020-116868 2020-07-07
JP2020116868A JP7488138B2 (ja) 2020-07-07 2020-07-07 真空処理装置、及び真空処理装置の制御方法

Publications (1)

Publication Number Publication Date
CN113903697A true CN113903697A (zh) 2022-01-07

Family

ID=79172055

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110728639.1A Pending CN113903697A (zh) 2020-07-07 2021-06-29 真空处理装置和真空处理装置的控制方法

Country Status (4)

Country Link
US (1) US20220009111A1 (zh)
JP (1) JP7488138B2 (zh)
KR (1) KR102559222B1 (zh)
CN (1) CN113903697A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024002304A (ja) * 2022-06-23 2024-01-11 東京エレクトロン株式会社 成膜装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3879009B2 (ja) * 1995-01-30 2007-02-07 キヤノンアネルバ株式会社 スパッタリングカソード
US6355994B1 (en) * 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
JP2001230307A (ja) 2000-02-17 2001-08-24 Rohm Co Ltd 半導体製造装置
JP2004153166A (ja) * 2002-10-31 2004-05-27 Applied Materials Inc プラズマ処理方法及びプラズマ処理装置
JP3996502B2 (ja) * 2002-12-27 2007-10-24 株式会社アルバック 熱板表面のカバー機構を備えた処理装置
JP4524132B2 (ja) * 2004-03-30 2010-08-11 東京エレクトロン株式会社 真空処理装置
KR100738877B1 (ko) * 2006-02-01 2007-07-12 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US20080142728A1 (en) * 2006-10-30 2008-06-19 Applied Materials, Inc. Mechanical scanner
JP5066039B2 (ja) * 2008-09-12 2012-11-07 新明和工業株式会社 パラレルリンク機構およびパラレルリンク機構を備えた真空成膜装置
JP5693378B2 (ja) * 2011-05-25 2015-04-01 株式会社アルバック 移動装置
JP5781389B2 (ja) * 2011-07-19 2015-09-24 株式会社アルバック 真空メカニカルジョイント
JP6199638B2 (ja) * 2013-07-16 2017-09-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
KR102033906B1 (ko) * 2018-02-12 2019-11-08 주식회사 파인솔루션 기판 척의 틸팅 승하강 장치
TW202013581A (zh) * 2018-05-23 2020-04-01 日商東京威力科創股份有限公司 電漿處理裝置
KR20210055088A (ko) * 2018-09-28 2021-05-14 어플라이드 머티어리얼스, 인코포레이티드 동적 레벨링을 갖는 동축 리프트 디바이스
KR20210084892A (ko) * 2019-12-30 2021-07-08 (주)에이엔에이치 기판 처리장치의 서셉터 오토 레벨링 장치

Also Published As

Publication number Publication date
JP2022014522A (ja) 2022-01-20
US20220009111A1 (en) 2022-01-13
JP7488138B2 (ja) 2024-05-21
KR102559222B1 (ko) 2023-07-25
KR20220005990A (ko) 2022-01-14

Similar Documents

Publication Publication Date Title
JP4892225B2 (ja) 真空処理方法、真空搬送装置および半導体処理装置
JP5208800B2 (ja) 基板処理システム及び基板搬送方法
JP5030542B2 (ja) 真空処理装置
KR20200074015A (ko) 반송 방법 및 반송 시스템
CN114695218A (zh) 输送装置
KR20220099900A (ko) 처리 모듈 및 처리 방법
KR20220099089A (ko) 프로세스 모듈, 기판 처리 시스템 및 처리 방법
CN113903697A (zh) 真空处理装置和真空处理装置的控制方法
KR20240013830A (ko) 기판을 반송하는 장치 및 기판을 처리하는 시스템 그리고 기판을 반송하는 방법
JP2008028035A (ja) 半導体製造装置
US20240141494A1 (en) Substrate processing apparatus
KR20230099643A (ko) 기판 처리 방법 및 기판 처리 장치
US20230207376A1 (en) Substrate processing method and substrate processing apparatus
KR102641232B1 (ko) 기판 처리 시스템 및 기판 처리 시스템의 제어 방법
CN115132601A (zh) 基板处理装置和基板处理装置的控制方法
CN114496870A (zh) 检测装置、处理系统和输送方法
KR20220106046A (ko) 기판 처리 장치
JP6059934B2 (ja) 試料搬送装置のティーチング方法
JP2024064684A (ja) 基板載置台の位置ずれ測定方法および基板処理装置
CN114167142A (zh) 执行装置及执行方法
JP2011108923A (ja) 真空処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination