CN113138532A - 抗蚀剂底层组合物和使用所述组合物形成图案的方法 - Google Patents

抗蚀剂底层组合物和使用所述组合物形成图案的方法 Download PDF

Info

Publication number
CN113138532A
CN113138532A CN202110056902.7A CN202110056902A CN113138532A CN 113138532 A CN113138532 A CN 113138532A CN 202110056902 A CN202110056902 A CN 202110056902A CN 113138532 A CN113138532 A CN 113138532A
Authority
CN
China
Prior art keywords
substituted
unsubstituted
chemical formula
resist underlayer
combination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110056902.7A
Other languages
English (en)
Inventor
崔有廷
权纯亨
朴贤
白载烈
金旼秀
裵信孝
宋大锡
安度源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN113138532A publication Critical patent/CN113138532A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D251/00Heterocyclic compounds containing 1,3,5-triazine rings
    • C07D251/02Heterocyclic compounds containing 1,3,5-triazine rings not condensed with other rings
    • C07D251/12Heterocyclic compounds containing 1,3,5-triazine rings not condensed with other rings having three double bonds between ring members or between ring members and non-ring members
    • C07D251/26Heterocyclic compounds containing 1,3,5-triazine rings not condensed with other rings having three double bonds between ring members or between ring members and non-ring members with only hetero atoms directly attached to ring carbon atoms
    • C07D251/30Only oxygen atoms
    • C07D251/34Cyanuric or isocyanuric esters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L101/00Compositions of unspecified macromolecular compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L65/00Compositions of macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Polyethers (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Phenolic Resins Or Amino Resins (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

本发明公开一种抗蚀剂底层组合物,包含:(A)包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;(B)包含其中由化学式3或化学式4表示的部分中的至少一个和由化学式7表示的部分彼此键结的结构的聚合物;以及(C)溶剂;且还公开一种使用抗蚀剂底层组合物形成图案的方法,化学式1到化学式4和化学式7的定义如说明书中所描述。

Description

抗蚀剂底层组合物和使用所述组合物形成图案的方法
相关申请案的交叉引用
本申请案主张2020年1月17日在韩国知识产权局申请的韩国专利申请案第10-2020-0006770号的优先权和权益,所述申请案的全部内容以引用的方式并入本文中。
技术领域
本公开涉及一种抗蚀剂底层组合物和一种使用所述组合物形成图案的方法。
背景技术
最近,半导体行业已研发出具有几纳米大小到几十纳米大小的图案的超精细技术。这种超精细技术本质上需要有效的光刻技术。
光刻技术为一种处理方法,包含:在半导体衬底(例如硅晶片)上涂布光刻胶层以形成薄膜,利用激活的辐射(例如紫外线)辐照穿过其上绘制有装置图案的掩模图案,且接着显影所得物以获得光刻胶图案,以及使用光刻胶图案作为保护层刻蚀衬底以在衬底的表面上形成对应于所述图案的精细图案。
在光刻胶图案的形成期间进行曝光是获得具有高分辨率的光刻胶图像的重要因素中的一个因素。
由于需要超精细的图案制造技术,因此短波长,例如i-线(365纳米的波长)、KrF准分子激光(248纳米的波长)以及ArF准分子激光(193纳米的波长)用作用于曝光光刻胶的激活辐射。因此,为了解决由来自激活辐射的半导体衬底的漫反射或驻波所引起的问题,已进行了许多研究,以通过在抗蚀剂与半导体衬底之间插入具有最优反射率的抗蚀剂底层来解决所述问题。
另一方面,除了激活的辐射之外,也进行使用例如极紫外线(extremeultraviolet;EUV;13.5纳米的波长)、E束(电子束)等高能量射线作为用于形成精细图案的光源的方法,且对应光源几乎没有来自衬底的反射,但由于对图案进行了优化,因此抗蚀剂底层应具有更薄的厚度,且为了改善已形成图案的塌陷,也对改善抗蚀剂与底层之间的粘合力的调研进行了广泛研究。另外,为了最大化光源的效率,也对通过底层的灵敏度的调研进行了研究。
发明内容
提供一种抗蚀剂底层组合物,所述抗蚀剂底层组合物能够通过改善对曝光光源的灵敏度来改善图案化性能和效率,即使在精细的图案化工艺中也不会引起抗蚀剂的图案塌陷,且形成为薄膜,使得可缩短刻蚀工艺时间。
另一实施例提供一种使用抗蚀剂底层组合物形成图案的方法。
实施例提供一种抗蚀剂底层组合物,包含:
(A)包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;
(B)包含其中由化学式3或化学式4表示的部分中的至少一个和由化学式7表示的部分彼此键结的结构的聚合物;以及
(C)溶剂。
Figure BDA0002900955980000021
在化学式1中,
R1和R2独立地为羟基、经取代或未经取代的C1到C20烷氧基、卤素、经取代或未经取代的C1到C20烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基、经取代或未经取代的乙烯基或其组合,
L1和L2独立地为单键、经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C1到C20亚杂烷基、经取代或未经取代的C2到C20亚杂环烷基、经取代或未经取代的C2到C30亚杂芳基或其组合,且
*为键联点;
Figure BDA0002900955980000022
其中,在化学式2中,
R3到R6独立地为羟基、硫醇基、氰基、经取代或未经取代的氨基、卤素原子、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C30烷氧基、经取代或未经取代的C6到C30芳基或其组合,且
L3为单键、经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C6到C30亚芳基或其组合;
Figure BDA0002900955980000023
Figure BDA0002900955980000031
其中,在化学式3和化学式4中,
Ra和Rb独立地为氢、氘、经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C2到C20乙烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C6到C20芳基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基、经取代或未经取代的C3到C20杂环烷基、或经取代或未经取代的C6到C20杂芳基或其组合,
Rc为以下各项:末端基团,为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基、经取代或未经取代的C3到C20杂环烷基或其组合;由键联到所述末端基团的化学式5或化学式6表示的结构单元;由化学式6表示的基团;或由其组合表示的基团,且
化学式3或化学式4在每一个*位置处键联到由化学式7中的*所指示的点;
Figure BDA0002900955980000032
Figure BDA0002900955980000041
其中,在化学式5和化学式6中,
L3和L4独立地为经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C1到C20亚杂烷基或其组合,
Ra和Rb独立地与化学式3和化学式4中定义的相同,且
*为键联点;
Figure BDA0002900955980000042
其中,在化学式7中,
A为单键、经取代或未经取代的C1到C10亚烷基、-C(=O)-、-(CO)O-、-O(CO)O-或其组合,
X为单键、-O-、-S-、-S(=O)-、-S(=O)2-、-C(=O)-、-(CO)O-、-O(CO)O-、-NR-(其中R为氢、氘或C1到C10烷基)或其组合,
Rd为氢、氘、卤素、羟基、氰基、硝基、氨基、环氧基、乙烯基、(甲基)丙烯酸酯基、氧杂环丁烷基、硫醇基、羧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30芳氧基或其组合,
Re为氢、氘以及C1到C10烷基中的一个,
n1为1到10,000,且
*键联到化学式3或化学式4,或键联到氢、氘、卤素、羟基、氰基、硝基、氨基、环氧基、乙烯基、(甲基)丙烯酸酯基、氧杂环丁烷基、硫醇基、羧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30芳氧基或其组合,
前提是化学式3或化学式4中的至少一个键联到化学式7中的*。
化学式1中的R1和R2可独立地为羟基、经取代或未经取代的C1到C20烷氧基、经取代或未经取代的C1到C20烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的乙烯基或其组合,
化学式1中的L1和L2可独立地为单键、经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C1到C20亚杂烷基、经取代或未经取代的C2到C20亚杂环烷基、经取代或未经取代的C2到C30亚杂芳基或其组合,
化学式2中的R3到R6可独立地为羟基、硫醇基、氰基、经取代或未经取代的C1到C30烷氧基或其组合,且
化学式2中的L3可为经取代或未经取代的亚苯基、经取代或未经取代的亚萘基、经取代或未经取代的联苯基或其组合。
化学式3和化学式4中的Ra和Rb可独立地为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基或经取代或未经取代的C3到C20杂环烷基,
Rc可为以下各项:末端基团,为经取代或未经取代的C1到C10烷基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基或经取代或未经取代的C3到C20杂环烷基;由键联到所述末端基团的化学式5或化学式6表示的结构单元;或其组合,
在化学式7中,A可为单键、经取代或未经取代的C1到C10亚烷基或其组合,
X可为单键、-O-、-S-、-S(=O)-、-S(=O)2-或其组合,
Rd可为经取代或未经取代的C1到C20烷基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C1到C30硫代烷基或其组合,且
Re可为氢、氘、C1到C10烷基或其组合。
化学式1中的R1和R2可独立地为羟基,
化学式1中的L1和L2可独立地为经取代或未经取代的C1到C10亚烷基、经取代或未经取代的C6到C20亚芳基或其组合,
化学式2中的R3到R6可独立地为羟基,且
化学式2中的L3可为经取代或未经取代的亚苯基。
化学式3和化学式4中的Ra和Rb可独立地为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基或其组合,
化学式3和化学式4中的Rc可为以下各项:经取代或未经取代的C1到C10烷基;经取代或未经取代的C1到C10杂烷基;由键联到经取代或未经取代的C1到C10烷基或经取代或未经取代的C1到C10杂烷基的化学式5或化学式6表示的结构单元;或其组合,
在化学式7中,A可为经取代或未经取代的C1到C5亚烷基,
X可为-S-,
Rd可为经取代或未经取代的C1到C10烷基、经取代或未经取代的C1到C10硫代烷基或其组合,且
Re可为C1到C10烷基。
包含由化学式1表示的结构单元的聚合物可包含由化学式1-1表示的结构单元、由化学式1-2表示的结构单元或其组合。
Figure BDA0002900955980000051
Figure BDA0002900955980000061
在化学式1-1和化学式1-2中,
*为键联点。
由化学式2表示的化合物可为由化学式2-1表示的化合物。
Figure BDA0002900955980000062
(B)中的聚合物可由化学式3-1到化学式3-5或化学式4-1到化学式4-5中的任一化学式表示。
Figure BDA0002900955980000063
Figure BDA0002900955980000071
Figure BDA0002900955980000081
Figure BDA0002900955980000091
在化学式3-1到化学式3-5和化学式4-1到化学式4-5中,n4为1到10,000,
在化学式3-5中,n2为1到10,000,且
在化学式4-5中,n3为1到10,000。
组合物可包含:包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物以及包含其中由化学式3或化学式4表示的部分中的一或多个和由化学式7表示的部分彼此键结的结构的聚合物,其重量比为约80:20到约20:80的。
包含由化学式1表示的结构单元的聚合物的重量平均分子量可为约1,000克/摩尔到约10,000克/摩尔。
包含由化学式3或化学式4表示的部分中的一或多个和由化学式7表示的部分彼此键结的结构的聚合物的重量平均分子量可为约2,000克/摩尔到约100,000克/摩尔。
以下两项的总重量:包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;以及
包含其中由化学式3或化学式4表示的部分中的一或多个和由化学式7表示的部分彼此键结的结构的聚合物,以抗蚀剂底层组合物的总重量计,可为约0.01重量%到约5重量%。
组合物可更包含选自以下各项的至少一种聚合物:丙烯酸树脂、环氧树脂、酚醛清漆树脂、甘脲树脂以及三聚氰胺树脂。
组合物可更包含添加剂,所述添加剂包含表面活性剂、热酸产生剂、增塑剂或其组合。
另一实施例提供一种形成图案的方法,包含:
在衬底上形成刻蚀目标层,
通过施加根据实施例的抗蚀剂底层组合物,在刻蚀目标层上形成抗蚀剂底层,
在抗蚀剂底层上形成光刻胶图案,以及
使用光刻胶图案作为刻蚀掩模依序刻蚀抗蚀剂底层和刻蚀目标层。
光刻胶图案的形成可包含:
在抗蚀剂底层上形成光刻胶层,
曝光光刻胶层,以及
显影光刻胶层。
抗蚀剂底层的形成可更包含在涂布抗蚀剂底层组合物之后,在约100℃到约500℃的温度下进行的热处理。
根据实施例的抗蚀剂底层组合物可形成为用于预定波长(例如EUV等)的超薄膜,且同时提供抗蚀剂底层,所述抗蚀剂底层具有优异涂布属性、平坦化属性以及对光刻胶的粘合力,且还具有对在光刻工艺期间所使用的溶液的优异耐化学性和快速的刻蚀速率。因此,通过使用例如EUV等的高能量光源,根据实施例的抗蚀剂底层组合物或由其形成的抗蚀剂底层可有利地用于形成光刻胶的精细图案。
附图说明
图1到图5为解释使用根据实施例的抗蚀剂底层组合物形成图案的方法的横截面图。
附图标号说明
100:半导体衬底;
102:薄膜;
104:抗蚀剂底层;
106:光刻胶层;
106a:曝光区;
106b:非曝光区;
108:光刻胶图案;
110:曝光掩模;
112:有机层图案;
114:薄膜图案。
具体实施方式
本公开的实例实施例将在下文中详细描述,且可易于由本领域的技术人员进行。然而,本公开可以许多不同形式实施,且不应理解为限于本文中所阐述的实例实施例。
在附图中,为清楚起见可放大层、膜、面板、区等的厚度,且在整个说明书中相同参考编号表示相同元件。将理解,当一个元件,例如层、膜、区或衬底被称为“在”另一个元件“上”时,其可直接在另一个元件上,或还可存在插入元件。相比之下,当元件被称作“直接在”另一元件“上”时,不存在插入元件。
如本文中所使用,当没有另外提供定义时,“取代的”是指化合物的氢原子由选自以下各项的取代基置换:卤素原子(F、Br、Cl或I)、羟基、烷氧基、硝基、氰基、氨基、叠氮基、脒基、肼基、亚肼基、羰基、氨甲酰基、硫醇基、酯基、羧基或其盐、磺酸基或其盐、磷酸基或其盐、乙烯基、C1到C20烷基、C2到C20烯基、C2到C20炔基、C6到C30芳基、C7到C30芳烷基、C6到C30烯丙基、C1到C30烷氧基、C1到C20杂烷基、C3到C20杂芳基烷基、C3到C30环烷基、C3到C15环烯基、C6到C15环炔基、C3到C30杂环烷基以及其组合。
如本文中所使用,当未另外提供定义时,“杂”是指包含选自N、O、S以及P的1到10个杂原子的杂原子。
除非本说明书中另外指定,否则重量平均分子量是通过将粉末样品溶解于四氢呋喃(THF)中,且接着使用安捷伦科技有限公司(Agilent Technologies)的1200系列凝胶渗透色谱法(Gel Permeation Chromatography;GPC)(色谱柱为昭和公司(Shodex Company)LF-804,标准样品为昭和公司聚苯乙烯)来测量的。
另外,除非在说明书中另外定义,否则“*”指示化合物的结构单元或化合物部分的键联点。
下文描述根据实施例的抗蚀剂底层组合物。
本发明提供一种抗蚀剂底层组合物,所述抗蚀剂底层组合物能够在使用例如ArF准分子激光(193纳米的波长)的短波长光源或例如EUV(极紫外线;13.5纳米的波长)的高能量射线的光刻中形成精细图案的工艺期间减少抗蚀剂图案的塌陷,由于施加有超薄膜而能够减少刻蚀工艺时间,且由于对曝光光源的灵敏度的提高而能够改善光刻胶的图案化;和一种使用底层形成光刻胶图案的方法。
具体来说,根据实施例的抗蚀剂底层组合物包含:(A)包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;(B)包含其中由化学式3或化学式4表示的部分中的至少一个和由化学式7表示的部分彼此键结的结构的聚合物;以及(C)溶剂。
Figure BDA0002900955980000111
在化学式1中,
R1和R2独立地为羟基、经取代或未经取代的C1到C20烷氧基、卤素、经取代或未经取代的C1到C20烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基、经取代或未经取代的乙烯基或其组合,
L1和L2独立地为单键、经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C1到C20亚杂烷基、经取代或未经取代的C2到C20亚杂环烷基、经取代或未经取代的C2到C30亚杂芳基或其组合,且
*为键联点;
Figure BDA0002900955980000112
Figure BDA0002900955980000121
其中,在化学式2中,
R3到R6独立地为羟基、硫醇基、氰基、经取代或未经取代的氨基、卤素原子、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C30烷氧基、经取代或未经取代的C6到C30芳基或其组合,且
L3为单键、经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C6到C30亚芳基或其组合;
Figure BDA0002900955980000122
其中,在化学式3和化学式4中,
Ra和Rb独立地为氢、氘、经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C2到C20乙烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C6到C20芳基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基、经取代或未经取代的C3到C20杂环烷基、或经取代或未经取代的C6到C20杂芳基或其组合,
Rc为以下各项:末端基团,为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基、经取代或未经取代的C3到C20杂环烷基或其组合;由键联到所述末端基团的化学式5或化学式6表示的结构单元;由化学式6表示的基团;或由其组合表示的基团,且
化学式3或化学式4在每一个*位置处键联到由化学式7中的*所指示的点;
Figure BDA0002900955980000131
其中,在化学式5和化学式6中,
L3和L4独立地为经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C1到C20亚杂烷基或其组合,
Ra和Rb独立地与化学式3和化学式4中定义的相同,且
*为键联点;
Figure BDA0002900955980000132
其中,在化学式7中,
A为单键、经取代或未经取代的C1到C10亚烷基、-C(=O)-、-(CO)O-、-O(CO)O-或其组合,
X为单键、-O-、-S-、-S(=O)-、-S(=O)2-、-C(=O)-、-(CO)O-、-O(CO)O-、-NR-(其中R为氢、氘或C1到C10烷基)或其组合,
Rd为氢、氘、卤素、羟基、氰基、硝基、氨基、环氧基、乙烯基、(甲基)丙烯酸酯基、氧杂环丁烷基、硫醇基、羧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30芳氧基或其组合,
Re为氢、氘以及C1到C10烷基中的一个,
n1为1到10,000,且
*键联到化学式3或化学式4,或键联到氢、氘、卤素、羟基、氰基、硝基、氨基、环氧基、乙烯基、(甲基)丙烯酸酯基、氧杂环丁烷基、硫醇基、羧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30芳氧基或其组合,前提是化学式3或化学式4中的至少一个键联到化学式7中的*。
根据实施例的组合物被涂布于光刻胶的底部且形成膜,且由此可改善膜与光刻胶之间的紧密接触属性,且即使在精细的图案化工艺期间也能防止抗蚀剂图案的塌陷,且另外,增强对曝光光源的灵敏度,由此改善光刻胶的图案化性能和效率。另外,组合物可形成超薄的底层膜,且由此缩短刻蚀工艺的时间。
在包含于组合物中的聚合物中,包含由化学式1表示的结构单元或由化学式2表示的化合物的聚合物包含能够提高膜密度的取代的多环芳环基。具体来说,包含由化学式1表示的结构单元或由化学式2表示的化合物的聚合物包含芘结构,所述芘结构为具有高电子密度的多环芳环基,使得可以超薄膜的形式实施致密结构的膜,且在暴露于例如EUV(极紫外线;13.5纳米的波长)、E束(电子束)等的高能量射线之后形成图案时可提高增强能量效率。
包含其中由化学式3或化学式4表示的至少一个部分和由化学式7表示的部分彼此键结的结构的聚合物包含异氰尿酸酯主链或三嗪主链,且由此可呈现刻蚀选择性比,并包含硫,且由此可呈现相对较高的折射率和快速的刻蚀速率。
另外,包含所述部分的聚合物可被各种官能团选择性地取代,且因此,可易于控制对光刻胶的粘合力,且由此在形成图案的工艺期间抑制图案的塌陷,且还具有增加的交联速率,且由此提高膜密度且具有优异的耐化学性。
由于柔性主链结构,由化学式7表示的部分可改善包含所述聚合物的聚合物的溶解度。另外,异氰尿酸酯或三嗪单元可致密地存在于聚合物中,且由此有助于抗蚀剂底层的膜密度和超薄涂层属性。
因此,根据实施例的抗蚀剂底层组合物可形成具有改善的粘合力和耐化学性以及减小的厚度的抗蚀剂底层,与上部光刻胶相比,通过抗蚀剂底层可期望更快的刻蚀效果,且可提高曝光光源的吸收效率,由此改善图案化性能。
在实施例中,化学式1中的R1和R2可独立地为羟基、经取代或未经取代的C1到C20烷氧基、经取代或未经取代的C1到C20烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的乙烯基或其组合,
L1和L2可独立地为单键、经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C1到C20亚杂烷基、经取代或未经取代的C2到C20亚杂环烷基、经取代或未经取代的C2到C30亚杂芳基或其组合,
化学式2中的R3到R6可独立地为羟基、硫醇基、氰基、经取代或未经取代的C1到C30烷氧基或其组合,且
L3可为经取代或未经取代的亚苯基、经取代或未经取代的亚萘基、经取代或未经取代的联苯基或其组合。
在实施例中,化学式3和化学式4中的Ra和Rb可独立地为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基或经取代或未经取代的C3到C20杂环烷基,
Rc可为以下各项:末端基团,为经取代或未经取代的C1到C10烷基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基或经取代或未经取代的C3到C20杂环烷基;由键联到所述末端基团的化学式5或化学式6表示的结构单元;或其组合,
在化学式7中,A可为单键、经取代或未经取代的C1到C10亚烷基或其组合,X可为单键、-O-、-S-、-S(=O)-、-S(=O)2-或其组合,Rd可为经取代或未经取代的C1到C20烷基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C1到C30硫代烷基或其组合,且Re可为氢、氘、C1到C10烷基或其组合。
在实施例中,化学式1中的R1和R2可独立地为羟基,
L1和L2可独立地为经取代或未经取代的C1到C10亚烷基、经取代或未经取代的C6到C20亚芳基或其组合,
化学式2中的R3到R6可独立地为羟基,且
化学式2中的L3可为经取代或未经取代的亚苯基。
在实施例中,化学式3和化学式4中的Ra和Rb可独立地为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基或其组合,
Rc可为以下各项:经取代或未经取代的C1到C10烷基;经取代或未经取代的C1到C10杂烷基;由键联到经取代或未经取代的C1到C10烷基或经取代或未经取代的C1到C10杂烷基的化学式5或化学式6表示的结构单元;或其组合,
在化学式7中,A可为经取代或未经取代的C1到C5亚烷基,
X可为-S-,Rd可为经取代或未经取代的C1到C10烷基、经取代或未经取代的C1到C10硫代烷基或其组合,且Re可为C1到C10烷基。
在实施例中,包含由化学式1表示的结构单元的聚合物可包含由化学式1-1表示的结构单元、由化学式1-2表示的结构单元或其组合。
Figure BDA0002900955980000151
在化学式1-1和化学式1-2中,
*为键联点。
另外,由化学式2表示的化合物可为由化学式2-1表示的化合物。
Figure BDA0002900955980000161
在实施例中,聚合物(B)可由化学式3-1到化学式3-5或化学式4-1到化学式4-5中的任一化学式表示。
Figure BDA0002900955980000162
Figure BDA0002900955980000171
Figure BDA0002900955980000181
在化学式3-1到3-5和化学式4-1到4-5中,n4可为1到10,000。
在化学式3-5中,n2可为1到10,000,且
在化学式4-5中,n3可为1到10,000。
另一方面,组合物可包含:包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;以及包含其中由化学式3或化学式4表示的部分中的一或多个和由化学式7表示的部分彼此键结的结构的聚合物,其重量比为约80:20到约20:80,例如约75:25到约25:75,例如约70:30到约30:70,例如约65:35到约35:65,例如约60:40到约40:60,例如约55:45到约45:55,但不限于此。通过包含:包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;以及包含其中由化学式3或化学式4表示的部分中的一或多个和由化学式7表示的部分在上述重量比内彼此键结的结构的聚合物,根据实施例的抗蚀剂底层组合物可通过改善与光刻胶的粘合力和膜密度来提供具有改善的质量的抗蚀剂底层。
包含由化学式1表示的结构单元的聚合物可具有约1,000克/摩尔到约10,000克/摩尔的重量平均分子量(Mw)。举例来说,包含由化学式1表示的结构单元的聚合物可具有约2,000克/摩尔到约8,000克/摩尔的重量平均分子量,例如约3,000克/摩尔到约7,000克/摩尔,例如约4,000克/摩尔到约5,000克/摩尔,但不限于此。当包含由化学式1表示的结构单元的聚合物的重量平均分子量小于约1,000克/摩尔时,经由所述聚合物所产生的抗蚀剂底层的膜密度降低,且在图案化工艺期间光刻胶图案被损坏或塌陷,且由此稳定性可能恶化。
包含其中由化学式3或化学式4表示的部分中的一或多个和由化学式7表示的部分彼此键结的结构的聚合物可具有约2,000克/摩尔到约100,000克/摩尔的重量平均分子量,例如约5,000克/摩尔到约100,000克/摩尔,例如约10,000克/摩尔到约100,000克/摩尔,例如约20,000克/摩尔到约100,000克/摩尔,例如约30,000克/摩尔到约100,000克/摩尔,例如约40,000克/摩尔到约100,000克/摩尔,例如约50,000克/摩尔到约80,000克/摩尔,例如约50,000克/摩尔到约70,000克/摩尔,但不限于此。当重量平均分子量在上述范围内时,可调整包含聚合物的抗蚀剂底层组合物的溶剂中的碳含量和溶解度,且因此使其最优化。
以抗蚀剂底层组合物的总重量计,以下两项的总重量可为约0.01重量%到约5重量%:包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;以及包含其中由化学式3或化学式4表示的部分中的一或多个和由化学式7表示的部分彼此键结的结构的聚合物。在上述范围内,可调整抗蚀剂底层的厚度、表面粗糙度以及平坦化程度。
另外,除了上文所描述的聚合物之外,抗蚀剂底层组合物可更包含丙烯酸树脂、环氧树脂、酚醛清漆树脂、甘脲树脂以及三聚氰胺树脂中的至少一个其它聚合物,但不限于此。
抗蚀剂底层组合物可更包含表面活性剂、热酸产生剂、增塑剂或其组合的添加剂。
表面活性剂可为例如烷基苯磺酸盐、烷基吡啶盐、聚乙二醇、季铵盐等,但不限于此。
热酸产生剂可为酸性化合物,例如对甲苯磺酸、三氟甲烷磺酸、对甲苯磺酸吡啶鎓、水杨酸、磺基水杨酸、柠檬酸、苯甲酸、羟基苯甲酸、萘碳酸或/和安息香甲苯磺酸酯、2-硝基苯甲基甲苯磺酸酯,且可使用其它有机磺酸烷基酯,但不限于此。
以抗蚀剂底层组合物的100重量份计,可包含呈约0.001重量份到约40重量份的量的添加剂。在上述范围内,在不改变抗蚀剂底层组合物的光学属性的情况下可改善溶解度。
只要溶剂在聚合物中具有足够的溶解度或分散性,则溶剂不受特别限制,且可包含例如选自以下各项的至少一个:丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二甘醇、二甘醇丁醚、三(乙二醇)单甲醚、丙二醇单甲醚、丙二醇单甲醚乙酸酯、环己酮、乳酸乙酯、γ-丁内酯、N,N-二甲基甲酰胺、N,N-二甲基乙酰胺、甲基吡咯烷酮、甲基吡咯啶酮、2-羟基异丁酸甲酯、乙酰丙酮以及3-乙氧基丙酸乙酯。
另外,抗蚀剂底层组合物可更包含交联剂。
交联剂可为例如三聚氰胺类、取代的脲类或聚合物类交联剂。理想地,其可为具有至少两个交联取代基的交联剂,举例来说,例如甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯并胍胺、丁氧基甲基化苯并胍胺、甲氧基甲基化脲、丁氧基甲基化脲、甲氧基甲基化硫脲或丁氧基甲基化硫脲的化合物。
具有高耐热性的交联剂可为包含在分子中包含芳环(例如,苯环或萘环)的交联取代基的化合物。交联剂可具有例如两个或大于两个交联位点。
根据另一实施例,提供使用前述抗蚀剂底层组合物制备的抗蚀剂底层。可通过将前述抗蚀剂底层组合物涂布在例如衬底上,且接着经由热处理工艺使其固化来形成抗蚀剂底层。
下文中,参考图1到图5描述使用前述抗蚀剂底层组合物形成图案的方法。
图1到图5为示出使用根据本发明的抗蚀剂底层组合物形成图案的方法的横截面图。
参考图1,制备刻蚀目标。刻蚀目标可为形成于半导体衬底100上的薄膜102。下文中,刻蚀目标限于薄膜102。洗涤薄膜102的整个表面以去除其上残留的杂质等。薄膜102可为例如氮化硅层、多晶硅层或氧化硅层。
随后,通过应用旋涂法将包含具有由化学式1和化学式2表示的部分的聚合物和溶剂的抗蚀剂底层组合物涂布在已清洁的薄膜102的表面上。
接着,将涂布的组合物干燥且烘烤,以在薄膜102上形成抗蚀剂底层104。烘烤可在约100℃到约500℃(例如约100℃到约300℃)下进行。具体来说,抗蚀剂底层组合物在上文中详细描述且因此将省略。
参考图2,通过将光刻胶涂布在抗蚀剂底层104上来形成光刻胶层106。
光刻胶的实例可为含有萘醌二叠氮化合物和酚醛清漆树脂的正型光刻胶、含有能够经由曝光解离酸的酸产生剂的化学放大型正型光刻胶、在酸存在下分解且在碱性水溶液中的可溶性增加的化合物、以及碱溶性树脂、能够施加提高碱性水溶液中的可溶性的树脂的含有碱溶性树脂的化学放大型正型光刻胶等。
接着,初次烘烤具有光刻胶层106的衬底100。初次烘烤可在约90℃到约120℃下进行。
参考图3,可选择性地曝光光刻胶层106。
光刻胶层106的曝光可例如通过将具有预定图案的曝光掩模放置在曝光设备的掩模台上且在光刻胶层106上对准曝光掩模110来进行。随后,通过将光照射到曝光掩模110中,使衬底100上形成的光刻胶层106的预定区选择性地与穿过曝光掩模的光反应。
举例来说,在曝光期间所使用的光可包含短波长光,例如具有365纳米波长的激活辐射i-线、具有248纳米波长的KrF准分子激光以及具有193纳米波长的ArF准分子激光。另外,可使用对应于极紫外线光的具有13.5纳米波长的EUV(极紫外线)。
光刻胶层的曝光区106a与非曝光区的非曝光区106b相比具有相对的亲水性。因此,光刻胶层106的曝光区106a和非曝光区106b可具有彼此不同的溶解度。
随后,二次烘烤衬底100。二次烘烤可在约90℃到约150℃下进行。光刻胶层的曝光区由于二次烘烤而变得容易溶解于预定溶剂。
参考图4,光刻胶层的曝光区106a通过显影溶液来溶解且去除,以形成光刻胶图案108。具体来说,通过使用显影溶液(例如四甲基氢氧化铵(tetra-methyl ammoniumhydroxide,TMAH)等)来溶解且去除光刻胶层的曝光区106a,以完成光刻胶图案108。
随后,光刻胶图案108用作刻蚀掩模来刻蚀抗蚀剂底层。经由刻蚀,形成有机层图案112。刻蚀可为例如使用刻蚀气体的干式刻蚀,且刻蚀气体可为例如CHF3、CF4、Cl2、O2以及其混合气体。如上文所描述,由于由根据实施例的抗蚀剂底层组合物形成的抗蚀剂底层具有快速的刻蚀速率,因此可在短时间内进行平滑刻蚀工艺。
参考图5,将光刻胶图案108施加为刻蚀掩模,以刻蚀曝光的薄膜102。因此,薄膜形成为薄膜图案114。在先前进行的曝光工艺中,通过使用例如激活辐射i-线(365纳米的波长)、KrF准分子激光(248纳米的波长)以及ArF准分子激光(193纳米的波长)的短波长光源所进行的曝光工艺形成的薄膜图案114可具有数十纳米到数百纳米的宽度,且通过使用EUV光源所进行的曝光工艺形成的薄膜图案114可具有小于或等于约20纳米的宽度。
下文中,经由关于聚合物的合成和包含其的抗蚀剂底层组合物的制备的实例更详细地描述本公开。然而,本公开在技术上不受以下实例实施例限制。
合成实例
合成实例1
将14.4克2-羟基萘、21.8克1-羟基芘、6克对甲醛、1.9克对甲苯磺酸以及100克丙二醇单甲醚乙酸酯放入烧瓶中,且接着在85℃下搅拌10小时。当反应完成时,向其中添加甲醇和水,且反复地过滤形成于其中的沉淀物,以去除残留在其中的单体且获得包含由化学式1aa(重量平均分子量(Mw)=3,500克/摩尔)表示的结构单元的聚合物。
Figure BDA0002900955980000211
在化学式1aa中,*为键联点。
合成实例2
将14.4克1-羟基萘、21.8克1-羟基芘、33.2克1,4-双(甲氧基甲基)苯、1.5克硫酸二乙酯以及50克丙二醇单甲醚乙酸酯放入烧瓶中,且接着在100℃下搅拌8小时。当反应完成时,将己烷、向其中添加甲醇以及水,以经由沉淀去除残留在其中的单体且获得包含由化学式1bb(重量平均分子量(Mw)=6,000克/摩尔)表示的结构单元的聚合物。
Figure BDA0002900955980000212
在化学式1bb中,*为键联点。
合成实例3
第一步:傅-克酰基化反应(Friedel-Craft Acylation Reaction)
将27.3克1,4-苯二羰基氯、65.5克甲氧基芘以及496克1,2-二氯乙烷放入烧瓶中。随后,将17.9克氯化铝缓慢添加到此溶液中,且接着在室温下搅拌12小时。当反应完成时,向其中添加甲醇,且将形成于其中的沉淀物过滤并干燥。
第二步:脱甲基化反应
将6.00克化合物、10.13克1-十二烷醇、3.37克氢氧化钾以及30.3克N,N-二甲基甲酰胺放入烧瓶中,且接着在120℃下搅拌8小时。将反应混合物冷却,且接着通过使用5%盐酸溶液将其中和到pH 6至pH 7,且将形成于其中的沉淀物过滤并干燥。
第三步:还原反应
将4.00克脱甲基化的化合物和28.5克四氢呋喃添加到烧瓶中。将5.29克硼氢化钠水溶液缓慢添加到其中,且接着在室温下搅拌混合物24小时。
当反应完成时,通过使用5%盐酸溶液将所得物中和到pH 7左右,且接着用乙酸乙酯萃取并干燥以获得由化学式2aa表示的化合物。
Figure BDA0002900955980000221
合成实例4
将24.9克1,3,5-三烯丙基-1,3,5-三嗪烷-2,4,6-三酮、8.4克5-巯基戊烯醇、2.3克偶氮二异丁腈(azobisisobutyronitrile;AIBN)以及15.9克N,N-二甲基甲酰胺(dimethyl formamide;DMF)放入500毫升3颈圆瓶中,且将冷凝器连接到其上。使所获得的混合物在80℃下反应16小时,且接着冷却到室温。将反应溶液在搅拌的同时滴入含有800克水的1升广口瓶中,以产生胶状物,且将胶状物溶解于80克四氢呋喃(THF)中。将溶解的树脂溶液用甲苯处理以形成沉淀物,且因此去除单体和小分子。最后,获得包含由化学式3aa(重量平均分子量(Mw)=20,000克/摩尔)表示的结构单元的15克聚合物。
Figure BDA0002900955980000222
合成实例5
将25.3克1,3-二烯丙基-5-(2-羟乙基)异氰脲酸酯、5.3克丙烷-1-硫醇(丙烷-1-硫醇)、1.3克AIBN(偶氮二异丁腈)以及15.9克N,N-二甲基甲酰胺(DMF)放入500毫升3颈圆瓶中,且将冷凝器连接到其上。将混合物在80℃下反应25小时之后,将反应溶液冷却到室温。将反应溶液在搅拌的同时滴入含有800克水的1升广口瓶中,以产生胶状物,且将胶状物溶解于80克四氢呋喃(THF)中。将溶解的树脂溶液用甲苯处理以形成沉淀物,且因此去除单体和小分子。最后,获得包含由化学式3bb(重量平均分子量(Mw)=6,000克/摩尔)表示的结构单元的15克聚合物。
Figure BDA0002900955980000231
合成实例6
将25.3克1,3-二烯丙基-5-(2-羟乙基)异氰脲酸酯、7.3克丁烷-1-硫醇(丁烷-1-硫醇)、1.3克AIBN(偶氮二异丁腈)以及15.9克N,N-二甲基甲酰胺(DMF)放入500毫升2颈圆瓶中,且将冷凝器连接到其上。将反应溶液在80℃下反应25小时,且接着冷却到室温。随后,将反应溶液在搅拌的同时滴入含有800克水的1升广口瓶中,以产生胶状物,且将胶状物溶解于80克四氢呋喃(THF)中。将溶解的树脂溶液用甲苯处理以形成沉淀物,且因此去除单体和小分子。最后,获得包含由化学式3cc(重量平均分子量(Mw)=9,000克/摩尔)表示的结构单元的15克聚合物。
Figure BDA0002900955980000232
合成实例7
将25.3克1,3-二烯丙基-5-(2-羟乙基)异氰脲酸酯、3.9克2-巯基醇、1.3克AIBN(偶氮二异丁腈)以及15.9克N,N-二甲基甲酰胺(DMF)放入500毫升2颈圆瓶中,且将冷凝器连接到其上。将反应溶液在80℃下反应25小时,且接着冷却到室温。随后,将反应溶液滴入含有800克水的1升广口瓶中以产生胶状物,且将胶状物溶解于80克四氢呋喃(THF)中。通过使用甲苯来处理溶解的树脂溶液以形成沉淀物,且因此去除单体和小分子。最后,获得包含由化学式3dd(重量平均分子量(Mw)=7,000克/摩尔)表示的结构单元的15克聚合物。
Figure BDA0002900955980000233
Figure BDA0002900955980000241
合成实例8
将25.3克1,3-二烯丙基-5-(2-羟乙基)异氰脲酸酯、10.9克1,5-戊二硫醇、1.3克AIBN(偶氮二异丁腈)以及15.9克N,N-二甲基甲酰胺(DMF)放入500毫升2颈圆瓶中,且将冷凝器连接到其上。将所获得的混合物在80℃下反应16小时,且向其中添加3.9克2-巯基丙醇和1.3克AIBN(偶氮二异丁腈),且接着使其反应8小时并冷却到室温。将反应溶液滴入含有800克水的1升广口瓶中以产生胶状物,且将胶状物溶解于80克四氢呋喃(THF)中。将溶解的树脂溶液用甲苯处理以形成沉淀物,且因此去除单体和小分子。最后,获得包含由化学式3ee(重量平均分子量(Mw)=3,500克/摩尔)表示的结构单元的15克聚合物。
Figure BDA0002900955980000242
抗蚀剂底层组合物的制备
实例1到实例9和比较例1到比较例2
以表1中所绘示的比率将根据合成实例1到合成实例8的0.5克聚合物(或化合物)、0.125克PD1174(硬化剂;TCI)以及0.01克对甲苯磺酸吡啶鎓(pyridinium para-toluenesulfonate;PPTS)完全溶解于丙二醇单甲醚和乳酸乙酯(体积比=7:3)的混合溶剂中,以制备根据实例1到实例9和比较例1到比较例2的抗蚀剂底层组合物。
(表1)
聚合物A(或化合物) 聚合物B 重量比
实例1 合成实例1 合成实例4 20:80
实例2 合成实例2 合成实例4 70:30
实例3 合成实例3 合成实例4 70:30
实例4 合成实例2 合成实例5 80:20
实例5 合成实例2 合成实例5 50:50
实例6 合成实例2 合成实例5 30:70
实例7 合成实例1 合成实例6 50:50
实例8 合成实例1 合成实例7 50:50
实例9 合成实例2 合成实例8 40:60
比较例1 合成实例1 -
比较例2 - 合成实例8
涂层均匀性的评估
将根据实例1到实例9和比较例1的组合物分别取2毫升,且接着浇铸在8英寸的晶片上,并用自动轨道(ACT-8,TEL(东京电子有限公司(Tokyo Electron Limited)))以1,500转/分旋涂20秒,且接着在210℃下固化90秒以形成250埃厚的薄膜。
另外,进一步稀释底层组合物,且接着如前述进行旋涂和固化,以形成50埃厚的超薄膜。
通过在水平轴上测量51个点处的厚度来评估涂层均匀性,且结果绘示于表2中。接着,获得51个点处的厚度测量中的最大值与最小值之间的差(埃)来评估涂层均匀性,且本文中,差越小,涂层均匀性越好。
(表2)
Figure BDA0002900955980000251
参考表2,与根据比较例1的抗蚀剂底层组合物相比,根据实例1到实例9的抗蚀剂底层组合物呈现出优异的涂层均匀性。
膜密度的评估
将根据实例1到实例9和比较实例2的每一个抗蚀剂底层组合物旋涂在硅衬底上,且接着在加热板上在210℃下热处理90秒以形成约100纳米厚的抗蚀剂底层。
随后,测量抗蚀剂底层的密度,且结果绘示于表3中。通过使用X射线绕射仪(模型:X'Pert PRO MPD,马尔文帕纳科有限公司(Malvern Panalytical Ltd.)(荷兰))来测量抗蚀剂底层的密度。
(表3)
膜密度(克/立方厘米)
实例1 1.39
实例2 1.36
实例3 1.38
实例4 1.38
实例5 1.35
实例6 1.35
实例7 1.34
实例8 1.37
实例9 1.33
比较例2 1.25
参考表3,与由根据比较例2的抗蚀剂底层组合物所形成的膜相比,由根据实例1到实例9的抗蚀剂底层组合物所形成的膜呈现出高密度。认为其原因是,通过根据实例1到实例9的抗蚀剂底层组合物中所包含的包含取代的多环芳环基的聚合物(或化合物)和具有高比率的包含杂环结构的核的聚合物来提高膜密度。
参考表3的结果,与比较例的抗蚀剂底层组合物相比,根据实例1到实例9的抗蚀剂底层组合物可形成具有更致密结构的膜。
曝光特性的评估
分别以旋涂法涂布根据实例1到实例9和比较例2的组合物,且接着在加热板上在210℃下热处理90秒以形成约10纳米厚的抗蚀剂底层。
随后,在光刻胶底层上,以旋涂法涂布光刻胶溶液,且接着在加热板上在110℃下热处理1分钟以形成光刻胶层。通过使用e束曝光器(伊莱奥尼克斯有限责任公司(Elionix.Inc.))以100千电子伏特的加速电压将光刻胶层曝光于光,且接着在110℃下热处理60秒。随后,在23℃下用2.38质量%的氢氧化四甲基铵(TMAH)水性溶液显影光刻胶层,且用纯水冲洗15秒,以形成线和空间(line and space;L/S)的光刻胶图案。
接着,评估光刻胶图案的最优能量,且结果绘示于表4中。本文中,最优能量(Eop,微库仑/平方厘米)指示用于以1:1解析100纳米线和空间的曝光剂量,且在表4中,与比较例2的Eop相比,将实例的Eop表达为相对值。
(表4)
Eop(微库仑/平方厘米)
实例1 0.89
实例2 0.88
实例3 0.84
实例4 0.83
实例5 0.88
实例6 0.89
实例7 0.89
实例8 0.90
实例9 0.91
比较例2 1.0
参考表4,当根据实例1到实例9的光刻胶底层组合物用于形成抗蚀剂底层时,与比较例2相比,实例1到实例9呈现出光刻胶图案的优异的最优能量。
因此,参考表4的结果,当使用根据实例1到实例9的抗蚀剂底层组合物时,与比较例相比,实例1到实例9可形成具有更优异灵敏度的光刻胶图案。
在上文中,已描述且示出本发明的某些实施例,然而,本领域的普通技术人员显而易见的是,本发明不限于如所描述的实施例,且可在不脱离本发明的精神和范围的情况下进行各种修改和转换。因此,修改或转换的实施例因而可能无法单独地从本发明的技术构想和方面来理解,且修改的实施例在本发明的权利要求的范围内。

Claims (17)

1.一种抗蚀剂底层组合物,包括:
(A)包含由化学式1表示的结构单元、由化学式2表示的化合物或其组合的聚合物;
(B)包含其中由化学式3或化学式4表示的部分中的至少一个和由化学式7表示的部分彼此键结的结构的聚合物;以及
(C)溶剂:
[化学式1]
Figure FDA0002900955970000011
其中,在化学式1中,
R1和R2独立地为羟基、经取代或未经取代的C1到C20烷氧基、卤素、经取代或未经取代的C1到C20烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基或其组合,
L1和L2独立地为单键、经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C1到C20亚杂烷基、经取代或未经取代的C2到C20亚杂环烷基、经取代或未经取代的C2到C30亚杂芳基或其组合,且
*为键联点;
[化学式2]
Figure FDA0002900955970000012
其中,在化学式2中,
R3到R6独立地为羟基、硫醇基、氰基、经取代或未经取代的氨基、卤素原子、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C30烷氧基、经取代或未经取代的C6到C30芳基或其组合,且
L3为单键、经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C6到C30亚芳基或其组合;
[化学式3]
Figure FDA0002900955970000021
[化学式4]
Figure FDA0002900955970000022
其中,在化学式3和化学式4中,
Ra和Rb独立地为氢、氘、经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C6到C20芳基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基、经取代或未经取代的C3到C20杂环烷基、或经取代或未经取代的C6到C20杂芳基或其组合,
Rc为以下各项:末端基团,为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C2到C20炔基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基、经取代或未经取代的C3到C20杂环烷基或其组合;由键联到所述末端基团的化学式5或化学式6表示的结构单元;由化学式6表示的基团;或由其组合表示的基团,且
化学式3或化学式4在每一个*位置处键联到由化学式7中的*所指示的点;
[化学式5]
Figure FDA0002900955970000023
[化学式6]
Figure FDA0002900955970000024
其中,在化学式5和化学式6中,
L3和L4独立地为经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C1到C20亚杂烷基或其组合,
Ra和Rb独立地与化学式3和化学式4中定义的相同,且
*为键联点;
[化学式7]
Figure FDA0002900955970000031
其中,在化学式7中,
A为单键、经取代或未经取代的C1到C10亚烷基、-C(=O)-、-(CO)O-、-O(CO)O-或其组合,
X为单键、-O-、-S-、-S(=O)-、-S(=O)2-、-C(=O)-、-(CO)O-、-O(CO)O-、-NR-或其组合,其中R为氢、氘或C1到C10烷基,
Rd为氢、氘、卤素、羟基、氰基、硝基、氨基、环氧基、乙烯基、(甲基)丙烯酸酯基、氧杂环丁烷基、硫醇基、羧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30芳氧基或其组合,
Re为氢、氘以及C1到C10烷基中的一个,
n1为1到10,000,且
*键联到化学式3或化学式4,或键联到氢、氘、卤素、羟基、氰基、硝基、氨基、环氧基、乙烯基、(甲基)丙烯酸酯基、氧杂环丁烷基、硫醇基、羧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30芳氧基或其组合,
前提是化学式3或化学式4中的至少一个键联到化学式7中的*。
2.根据权利要求1所述的抗蚀剂底层组合物,其中
化学式1中的R1和R2独立地为羟基、经取代或未经取代的C1到C20烷氧基、经取代或未经取代的C1到C20烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的乙烯基或其组合,
化学式1中的L1和L2独立地为单键、经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C1到C20亚杂烷基、经取代或未经取代的C2到C20亚杂环烷基、经取代或未经取代的C2到C30亚杂芳基或其组合,
化学式2中的R3到R6独立地为羟基、硫醇基、氰基、经取代或未经取代的C1到C30烷氧基或其组合,且
化学式2中的L3为经取代或未经取代的亚苯基、经取代或未经取代的亚萘基、经取代或未经取代的联苯基或其组合。
3.根据权利要求1所述的抗蚀剂底层组合物,其中
化学式3和化学式4中的Ra和Rb独立地为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基、经取代或未经取代的C2到C20杂烯基或经取代或未经取代的C3到C20杂环烷基,
Rc为以下各项:末端基团,为经取代或未经取代的C1到C10烷基、经取代或未经取代的C3到C20环烷基、经取代或未经取代的C1到C10杂烷基或经取代或未经取代的C3到C20杂环烷基;由键联到所述末端基团的化学式5或化学式6表示的结构单元;或其组合,
在化学式7中,A为单键、经取代或未经取代的C1到C10亚烷基或其组合,
X为单键、-O-、-S-、-S(=O)-、-S(=O)2-或其组合,
Rd为经取代或未经取代的C1到C20烷基、经取代或未经取代的C3到C10环烷基、经取代或未经取代的C1到C30硫代烷基或其组合,且
Re为氢、氘、C1到C10烷基或其组合。
4.根据权利要求1所述的抗蚀剂底层组合物,其中
化学式1中的R1和R2独立地为羟基,
L1和L2独立地为经取代或未经取代的C1到C10亚烷基、经取代或未经取代的C6到C20亚芳基或其组合,
化学式2中的R3到R6独立地为羟基,且
化学式2中的L3为经取代或未经取代的亚苯基。
5.根据权利要求1所述的抗蚀剂底层组合物,其中
化学式3和化学式4中的Ra和Rb独立地为经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C20烯基或其组合,
化学式3和化学式4中的Rc为以下各项:经取代或未经取代的C1到C10烷基;或经取代或未经取代的C1到C10杂烷基;或由键联到经取代或未经取代的C1到C10烷基或经取代或未经取代的C1到C10杂烷基的化学式5或化学式6表示的结构单元;或其组合,
在化学式7中,A为经取代或未经取代的C1到C5亚烷基,
X为-S-,
Rd为经取代或未经取代的C1到C10烷基、经取代或未经取代的C1到C10硫代烷基或其组合,且
Re为C1到C10烷基。
6.根据权利要求1所述的抗蚀剂底层组合物,其中包含由化学式1表示的结构单元的所述聚合物包括由化学式1-1表示的结构单元、由化学式1-2表示的结构单元或其组合:
[化学式1-1]
Figure FDA0002900955970000041
[化学式1-2]
Figure FDA0002900955970000051
其中,在化学式1-1和化学式1-2中,
*为键联点。
7.根据权利要求1所述的抗蚀剂底层组合物,其中由化学式2表示的所述化合物为由化学式2-1表示的化合物:
[化学式2-1]
Figure FDA0002900955970000052
8.根据权利要求1所述的抗蚀剂底层组合物,其中(B)中的所述聚合物由化学式3-1到化学式3-5或化学式4-1到化学式4-5中的任一化学式表示:
[化学式3-1]
Figure FDA0002900955970000053
[化学式3-2]
Figure FDA0002900955970000054
[化学式3-3]
Figure FDA0002900955970000061
[化学式3-4]
Figure FDA0002900955970000062
[化学式3-5]
Figure FDA0002900955970000063
[化学式4-1]
Figure FDA0002900955970000064
[化学式4-2]
Figure FDA0002900955970000071
[化学式4-3]
Figure FDA0002900955970000072
[化学式4-4]
Figure FDA0002900955970000073
[化学式4-5]
Figure FDA0002900955970000081
其中,在化学式3-1到化学式3-5和化学式4-1到化学式4-5中,n4为1到10,000,
在化学式3-5中,n2为1到10,000,且
在化学式4-5中,n3为1到10,000。
9.根据权利要求1所述的抗蚀剂底层组合物,其中
所述组合物包括:
包含由化学式1表示的结构单元、由化学式2表示的所述化合物或其组合的所述聚合物;以及
包含其中由化学式3或化学式4表示的所述部分中的一或多个和由化学式7表示的所述部分彼此键结的结构的所述聚合物
其重量比为80:20到20:80。
10.根据权利要求1所述的抗蚀剂底层组合物,其中包含由化学式1表示的结构单元的所述聚合物的重量平均分子量为1,000克/摩尔到10,000克/摩尔。
11.根据权利要求1所述的抗蚀剂底层组合物,其中包含由化学式3或化学式4表示的所述部分中的一或多个和由化学式7表示的所述部分彼此键结的所述结构的所述聚合物的重量平均分子量为2,000克/摩尔到100,000克/摩尔。
12.根据权利要求1所述的抗蚀剂底层组合物,其中
以下两项的总重量:
包含由化学式1表示的结构单元、由化学式2表示的所述化合物或其组合的所述聚合物;以及
包含其中由化学式3或化学式4表示的所述部分中的一或多个和由化学式7表示的所述部分彼此键结的所述结构的所述聚合物,
以所述抗蚀剂底层组合物的总重量计,为0.01重量%到5重量%。
13.根据权利要求1所述的抗蚀剂底层组合物,更包括选自以下各项的至少一种聚合物:丙烯酸树脂、环氧树脂、酚醛清漆树脂、甘脲树脂以及三聚氰胺树脂。
14.根据权利要求1所述的抗蚀剂底层组合物,更包括添加剂,所述添加剂包含表面活性剂、热酸产生剂、增塑剂或其组合。
15.一种形成图案的方法,包括:
在衬底上形成刻蚀目标层,
通过施加根据权利要求1到权利要求14任一项所述的抗蚀剂底层组合物,在所述刻蚀目标层上形成抗蚀剂底层,
在所述抗蚀剂底层上形成光刻胶图案,以及
使用所述光刻胶图案作为刻蚀掩模依序刻蚀所述抗蚀剂底层和所述刻蚀目标层。
16.根据权利要求15所述的形成图案的方法,其中
所述光刻胶图案的形成包括:
在所述抗蚀剂底层上形成光刻胶层,
曝光所述光刻胶层,以及
显影所述光刻胶层。
17.根据权利要求15所述的形成图案的方法,其中所述抗蚀剂底层的形成更包括在涂布所述抗蚀剂底层组合物之后,在100℃到500℃的温度下进行的热处理。
CN202110056902.7A 2020-01-17 2021-01-15 抗蚀剂底层组合物和使用所述组合物形成图案的方法 Pending CN113138532A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020200006770A KR102448568B1 (ko) 2020-01-17 2020-01-17 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR10-2020-0006770 2020-01-17

Publications (1)

Publication Number Publication Date
CN113138532A true CN113138532A (zh) 2021-07-20

Family

ID=76811267

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110056902.7A Pending CN113138532A (zh) 2020-01-17 2021-01-15 抗蚀剂底层组合物和使用所述组合物形成图案的方法

Country Status (5)

Country Link
US (1) US11987561B2 (zh)
JP (1) JP7017653B2 (zh)
KR (1) KR102448568B1 (zh)
CN (1) CN113138532A (zh)
TW (1) TWI768656B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230040147A (ko) * 2021-09-15 2023-03-22 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105093833A (zh) * 2014-05-16 2015-11-25 三星Sdi株式会社 硬掩模组合物和使用所述硬掩模组合物形成图案的方法
CN108388079A (zh) * 2017-02-03 2018-08-10 三星Sdi株式会社 抗蚀剂垫层组成物和使用所述组成物形成图案的方法
KR20190011478A (ko) * 2017-07-25 2019-02-07 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 반도체 소자의 제조방법
US20190196332A1 (en) * 2017-12-26 2019-06-27 Samsung Sdi Co., Ltd. Resist underlayer composition, and method of forming patterns using the composition
KR20190125765A (ko) * 2018-04-30 2019-11-07 삼성에스디아이 주식회사 유기막 조성물 및 패턴 형성 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6022500A (en) * 1995-09-27 2000-02-08 The United States Of America As Represented By The Secretary Of The Army Polymer encapsulation and polymer microsphere composites
JP2004177952A (ja) * 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
TWI377446B (en) 2004-03-16 2012-11-21 Nissan Chemical Ind Ltd Anti-reflective coating containing sulfur atom
KR100908601B1 (ko) 2007-06-05 2009-07-21 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
JPWO2010061774A1 (ja) 2008-11-27 2012-04-26 日産化学工業株式会社 アウトガス発生が低減されたレジスト下層膜形成組成物
KR102006277B1 (ko) 2011-08-04 2019-08-01 닛산 가가쿠 가부시키가이샤 축합계 폴리머를 가지는 euv 리소그래피용 레지스트 하층막 형성조성물
KR101497132B1 (ko) 2011-12-30 2015-03-02 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101566532B1 (ko) 2011-12-30 2015-11-05 제일모직주식회사 시아누릭산 유도체, 상기 시아누릭산 유도체를 포함하는 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
WO2013141015A1 (ja) 2012-03-23 2013-09-26 日産化学工業株式会社 Euvリソグラフィー用レジスト下層膜形成組成物
CN104812729B (zh) * 2012-12-26 2017-05-10 第一毛织株式会社 单体、包含此单体的硬屏蔽组成物及使用此硬屏蔽组成物形成图案的方法
KR20140085123A (ko) 2012-12-27 2014-07-07 제일모직주식회사 시아누릭산 유도체, 상기 시아누릭산 유도체를 포함하는 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
KR101698510B1 (ko) 2013-06-26 2017-01-23 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR102008161B1 (ko) 2013-09-27 2019-08-07 닛산 가가쿠 가부시키가이샤 레지스트 하층막 형성 조성물 및 이를 이용한 레지스트 패턴의 형성방법
EP2910236B1 (de) 2014-02-24 2020-02-12 Ivoclar Vivadent AG Dentalmaterialien auf der Basis von geruchsarmen Thiolen
WO2015163195A1 (ja) 2014-04-25 2015-10-29 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
CN107111234B (zh) 2014-10-21 2020-08-04 日产化学工业株式会社 抗蚀剂下层膜形成用组合物
KR101758437B1 (ko) 2014-11-19 2017-07-17 삼성에스디아이 주식회사 유기막 cmp 슬러리 조성물 및 이를 이용한 연마방법
KR101810610B1 (ko) 2015-04-23 2017-12-20 삼성에스디아이 주식회사 모노머, 유기막 조성물, 유기막, 및 패턴형성방법
WO2016208518A1 (ja) 2015-06-22 2016-12-29 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
WO2016208300A1 (ja) 2015-06-24 2016-12-29 富士フイルム株式会社 パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物
KR101944076B1 (ko) 2016-01-20 2019-01-30 삼성에스디아이 주식회사 유기막 조성물, 및 패턴형성방법
WO2017141612A1 (ja) 2016-02-15 2017-08-24 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
KR102264693B1 (ko) 2018-06-11 2021-06-11 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
CN110041345B (zh) 2019-05-10 2020-08-04 福建泓光半导体材料有限公司 一种抗蚀剂下层膜单体和组合物及图案形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105093833A (zh) * 2014-05-16 2015-11-25 三星Sdi株式会社 硬掩模组合物和使用所述硬掩模组合物形成图案的方法
CN108388079A (zh) * 2017-02-03 2018-08-10 三星Sdi株式会社 抗蚀剂垫层组成物和使用所述组成物形成图案的方法
KR20190011478A (ko) * 2017-07-25 2019-02-07 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 반도체 소자의 제조방법
US20190196332A1 (en) * 2017-12-26 2019-06-27 Samsung Sdi Co., Ltd. Resist underlayer composition, and method of forming patterns using the composition
KR20190125765A (ko) * 2018-04-30 2019-11-07 삼성에스디아이 주식회사 유기막 조성물 및 패턴 형성 방법

Also Published As

Publication number Publication date
US11987561B2 (en) 2024-05-21
JP7017653B2 (ja) 2022-02-08
KR102448568B1 (ko) 2022-09-27
US20210230127A1 (en) 2021-07-29
JP2021113972A (ja) 2021-08-05
KR20210093060A (ko) 2021-07-27
TWI768656B (zh) 2022-06-21
TW202128802A (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
CN108388079B (zh) 抗蚀剂垫层组成物和使用所述组成物形成图案的方法
CN110579943B (zh) 抗蚀剂底层组合物和使用所述组合物形成图案的方法
US11048169B2 (en) Resist underlayer composition, and method of forming patterns using the composition
JP5840352B2 (ja) 上塗りフォトレジストと共に使用するためのコーティング組成物
TWI699623B (zh) 抗蝕劑下層組成物及使用該組成物形成圖案的方法
JP6963049B2 (ja) レジスト下層膜用組成物およびこれを利用したパターン形成方法
US9170494B2 (en) Antireflective compositions and methods of using same
CN110879508B (zh) 抗蚀剂垫层组合物和使用所述组合物形成图案的方法
CN113138532A (zh) 抗蚀剂底层组合物和使用所述组合物形成图案的方法
KR102215333B1 (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
US20230288809A1 (en) Composition for resist underlayer and pattern formation method using same
US11675271B2 (en) Resist underlayer composition, and method of forming patterns using the composition
KR102563288B1 (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
US20240061338A1 (en) Resist underlayer composition and method of forming patterns using the composition
KR20230020811A (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
CN115685674A (zh) 抗蚀剂底层组合物和使用所述组合物形成图案的方法
KR20220168011A (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination