CN108388079B - 抗蚀剂垫层组成物和使用所述组成物形成图案的方法 - Google Patents

抗蚀剂垫层组成物和使用所述组成物形成图案的方法 Download PDF

Info

Publication number
CN108388079B
CN108388079B CN201810067086.8A CN201810067086A CN108388079B CN 108388079 B CN108388079 B CN 108388079B CN 201810067086 A CN201810067086 A CN 201810067086A CN 108388079 B CN108388079 B CN 108388079B
Authority
CN
China
Prior art keywords
substituted
unsubstituted
resist underlayer
chemical formula
underlayer composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810067086.8A
Other languages
English (en)
Other versions
CN108388079A (zh
Inventor
裵信孝
权纯亨
朴贤
白载烈
周范俊
崔有廷
韩权愚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN108388079A publication Critical patent/CN108388079A/zh
Application granted granted Critical
Publication of CN108388079B publication Critical patent/CN108388079B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G75/00Macromolecular compounds obtained by reactions forming a linkage containing sulfur with or without nitrogen, oxygen, or carbon in the main chain of the macromolecule
    • C08G75/02Polythioethers
    • C08G75/04Polythioethers from mercapto compounds or metallic derivatives thereof
    • C08G75/045Polythioethers from mercapto compounds or metallic derivatives thereof from mercapto compounds and unsaturated compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G59/00Polycondensates containing more than one epoxy group per molecule; Macromolecules obtained by polymerising compounds containing more than one epoxy group per molecule using curing agents or catalysts which react with the epoxy groups
    • C08G59/18Macromolecules obtained by polymerising compounds containing more than one epoxy group per molecule using curing agents or catalysts which react with the epoxy groups ; e.g. general methods of curing
    • C08G59/20Macromolecules obtained by polymerising compounds containing more than one epoxy group per molecule using curing agents or catalysts which react with the epoxy groups ; e.g. general methods of curing characterised by the epoxy compounds used
    • C08G59/22Di-epoxy compounds
    • C08G59/24Di-epoxy compounds carbocyclic
    • C08G59/245Di-epoxy compounds carbocyclic aromatic
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G59/00Polycondensates containing more than one epoxy group per molecule; Macromolecules obtained by polymerising compounds containing more than one epoxy group per molecule using curing agents or catalysts which react with the epoxy groups
    • C08G59/18Macromolecules obtained by polymerising compounds containing more than one epoxy group per molecule using curing agents or catalysts which react with the epoxy groups ; e.g. general methods of curing
    • C08G59/40Macromolecules obtained by polymerising compounds containing more than one epoxy group per molecule using curing agents or catalysts which react with the epoxy groups ; e.g. general methods of curing characterised by the curing agents used
    • C08G59/42Polycarboxylic acids; Anhydrides, halides or low molecular weight esters thereof
    • C08G59/4238Polycarboxylic acids; Anhydrides, halides or low molecular weight esters thereof heterocyclic
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/02Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds
    • C08G63/12Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds derived from polycarboxylic acids and polyhydroxy compounds
    • C08G63/16Dicarboxylic acids and dihydroxy compounds
    • C08G63/20Polyesters having been prepared in the presence of compounds having one reactive group or more than two reactive groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G75/00Macromolecular compounds obtained by reactions forming a linkage containing sulfur with or without nitrogen, oxygen, or carbon in the main chain of the macromolecule
    • C08G75/12Polythioether-ethers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/115Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having supports or layers with means for obtaining a screen effect or for obtaining better contact in vacuum printing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Medicinal Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

本申请公开一种抗蚀剂垫层组成物,其包含包括由化学式1和化学式2表示的部分的聚合物和溶剂,且本申请另公开一种使用所述抗蚀剂垫层组成物形成图案的方法。化学式1和化学式2的定义与实施方式中相同。[化学式1]
Figure DDA0001556996750000011
[化学式2]
Figure DDA0001556996750000012

Description

抗蚀剂垫层组成物和使用所述组成物形成图案的方法
相关申请的交叉引用
本申请要求2017年2月3日在韩国知识产权局提交的韩国专利申请第10-2017-0015750号的优先权和权益,其全部内容以引用的方式并入本文中。
技术领域
本公开涉及一种抗蚀剂垫层组成物和一种使用其形成图案的方法。更具体来说,本公开涉及一种光刻胶垫层组成物,其用于在半导体衬底和光刻胶层之间形成的垫层,以及涉及一种使用所述垫层形成光刻胶图案的方法。
背景技术
最近,半导体行业已发展到具有几纳米到几十纳米尺寸的图案的超精细技术。这类超精细技术主要需要有效的光刻技术。
典型光刻技术包含在半导体衬底上涂布光刻胶膜并且通过曝光和显影光刻胶膜形成光刻胶图案。光刻胶是一种用于转移衬底上的图像的感光膜。
在形成光刻胶图案期间进行曝光是获得具有高分辨率的光刻胶图像的重要因素之一。
通过使用激活的辐射进行光刻胶的曝光,激活的辐射通常经反射并且因此限制光刻胶层中经图案化的图像的分辨率,并且具体来说,当辐射在衬底和光刻胶层之间的界面上或在夹层硬掩模上反射时,激活的辐射散射到光刻胶区域中,光刻胶线宽可能变得不均匀并且可能遮挡图案形式。
另外,光刻胶垫层组成物应吸收经反射的辐射并且同时具有光刻胶的高蚀刻选择性并且在经热固化之后的后续工艺中需要针对溶剂的耐化学性和到光刻胶的优良粘附来帮助光刻胶进行图案化。
为了减少经反射的辐射,已经通过在衬底和光刻胶层之间安置有机层(即所谓的抗蚀剂垫层)来尝试吸收穿过光刻胶的光并且同时提高蚀刻选择性、耐化学性和到光刻胶的粘附。
发明内容
本申请的一个实施例提供一种抗蚀剂垫层组成物,其具有平坦化特征和快速蚀刻速率,并且同时具有预定波长中的吸收特性。
本申请的另一实施例提供一种使用抗蚀剂垫层组成物形成图案的方法。
根据一个实施例,抗蚀剂垫层组成物包含包括由化学式1和化学式2表示的部分的聚合物和溶剂。
[化学式1]
Figure BDA0001556996730000021
在化学式1中,
B是由化学式Z表示的二价基团、氧、经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C1到C30亚杂烷基、经取代或未经取代的C3到C30亚环烷基或其组合,
Y和Y'独立地是单键、氧、羰基、-(CH2)O-、-(CH2)S-、-(CH2)NH-或其组合,
R2到R9独立地是氢、羟基、卤素、经取代或未经取代的乙烯基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C1到C30杂烷基、经取代或未经取代的C2到C30杂芳基或其组合,
a、b、c以及d独立地是0到100范围内的整数,其限制条件是a和b的总和大于或等于1以及c和d的总和大于或等于1,且
*是连接点。
[化学式Z]
Figure BDA0001556996730000031
在化学式Z中,
A是经取代或未经取代的芳香族环基团、经取代或未经取代的脂肪族环基团、经取代或未经取代的杂芳香族环基团、经取代或未经取代的杂脂肪族环基团或其组合,
X是氢、羟基、经取代或未经取代的C1到C10烷氧基、卤素、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基、经取代或未经取代的乙烯基或其组合,且
*是连接点。
[化学式2]
Figure BDA0001556996730000032
在化学式2中,R1是经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C1到C30亚杂烷基、经取代或未经取代的C3到C30亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C2到C30亚杂芳基、-((CmH2m)O)n-(m和n中的每一个独立地是1到10的整数)或其组合,且*是连接点。
在化学式Z中,X可以是经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C30烯基或经取代或未经取代的C2到C30炔基。
在化学式Z中,A可以是包含至少一个杂原子的芳香族基团或脂肪族环基团。
在化学式Z中,X可以与A中所包含的杂原子键联。
聚合物的重量平均分子量是1,000到100,000。
抗蚀剂垫层组成物可以更包含具有两个或更多个交联位点的交联剂。
抗蚀剂垫层组成物可以更包含添加剂,所述添加剂为表面活性剂、热酸产生剂以及塑化剂或其组合。
根据另一实施例,形成图案的方法包含在衬底上形成蚀刻对象层,在蚀刻对象层上涂布抗蚀剂垫层组成物来形成抗蚀剂垫层,在抗蚀剂垫层上形成光刻胶图案,并且使用光刻胶图案作为蚀刻掩模依序蚀刻抗蚀剂垫层和蚀刻对象层。
形成光刻胶图案可以包含在抗蚀剂垫层上形成光刻胶层,曝光光刻胶层并且使光刻胶层显影。
在涂布抗蚀剂垫层组成物之后,形成抗蚀剂垫层可以更包含在100℃到500℃的温度下对抗蚀剂垫层组成物进行热处理。
因此,可以提供在预定波长中具有吸收特性并且同时具有优良涂料特性的抗蚀剂垫层组成物。
附图说明
图1到图5是解释使用根据一个实施例的抗蚀剂垫层组成物形成图案的方法的横截面视图。
具体实施方式
本公开的示范性实施例将在下文中进行详细描述,并且容易被所属领域的技术人员执行。然而,本公开可以许多不同形式实施,并且不应理解为限于本文所阐述的示范性实施例。
在附图中,为清楚起见可以放大层、膜、面板、区域等的厚度,并且在整个说明书中相同参考编号表示相同元件。应理解,当如层、膜、区域或衬底等元件被称为在另一元件“上”时,其可以直接在另一元件上或还可以存在插入元件。相比之下,当元件被称为“直接在”另一元件“上”时,不存在插入元件。
如本文所用,当未另外提供定义时,术语‘经取代的’可以指化合物的氢原子通过选自以下的取代基置换:卤素原子(F、Br、Cl或I)、羟基、烷氧基、硝基、氰基、氨基、叠氮基、甲脒基、肼基、亚肼基、羰基、胺甲酰基、硫醇基、酯基、羧基或其盐、磺酸基或其盐、磷酸或其盐、乙烯基、C1到C20烷基、C2到C20烯基、C2到C20炔基、C6到C30芳基、C7到C30芳基烷基、C6到C30烯丙基、C1到C30烷氧基、C1到C20杂烷基、C3到C20杂芳基烷基、C3到C30环烷基、C3到C15环烯基、C6到C15环炔基、C3到C30杂环烷基以及其组合。
如本文所用,当未另外提供定义时,术语‘杂’是指包含1到3个选自N、O、S以及P的杂原子的化合物。
如本文所用,当未另外提供定义时,
‘*’指示化合物或化合物部分的连接点。
下文描述根据一个实施例的抗蚀剂垫层组成物。
根据一个实施例的抗蚀剂垫层组成物包含包括由化学式1和化学式2表示的部分的聚合物和溶剂。
[化学式1]
Figure BDA0001556996730000051
在化学式1中,
B是由化学式Z表示的二价基团、氧、经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C1到C30亚杂烷基、经取代或未经取代的C3到C30亚环烷基或其组合,
Y和Y'独立地是单键、氧、羰基、-(CH2)O-、-(CH2)S-、-(CH2)NH-或其组合,
R2到R9独立地是氢、羟基、卤素、经取代或未经取代的乙烯基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C1到C30杂烷基、经取代或未经取代的C2到C30杂芳基或其组合,
a、b、c以及d独立地是0到100范围内的整数,其限制条件是a和b的总和大于或等于1以及c和d的总和大于或等于1,且
*是连接点。
[化学式Z]
Figure BDA0001556996730000061
在化学式Z中,
A是经取代或未经取代的芳香族环基团、经取代或未经取代的脂肪族环基团、经取代或未经取代的杂芳香族环基团、经取代或未经取代的杂脂肪族环基团或其组合,
X是氢、羟基、经取代或未经取代的C1到C10烷氧基、卤素、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基、经取代或未经取代的乙烯基或其组合,且
*是连接点:
[化学式2]
Figure BDA0001556996730000062
其中在化学式2中,R1是经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C1到C30亚杂烷基、经取代或未经取代的C3到C30亚环烷基、经取代或未经取代的C6到C30亚芳基、经取代或未经取代的C2到C30亚杂芳基、-((CmH2m)O)n-(m和n中的每一个独立地是1到10的整数)或其组合,且*是连接点。
在化学式1中,B可以是包含由化学式Z表示的环基团的基团、氧、预定线性基团(经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C1到C30亚杂烷基或经取代或未经取代的C3到C30亚环烷基)或其组合。
举例来说,在化学式1中,B可以是经取代或未经取代的C1到C30亚烷基与氧的组合。举例来说,在化学式1中,B可以由(但不限于)化学式A表示。
[化学式A]
Figure BDA0001556996730000063
举例来说,在化学式2中,R1可以由(但不限于)化学式B表示。
[化学式B]
Figure BDA0001556996730000071
在化学式B中,G和G'独立地是羟基、经取代或未经取代的C1到C10烷氧基、卤素、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基、经取代或未经取代的乙烯基或其组合,
m和n中的每一个独立地是1到10范围内的整数,且
*是连接点。
举例来说,在化学式2中,G和G'可以是(但不限于)羟基。
根据一个实施例,由化学式1表示的部分包含是芳香族环基团或杂芳香族环基团的核(A)和从核的两侧延伸的线性有机基团。
芳香族环基团可以是例如C6到C30并且杂芳香族环基团可以是例如(但不限于)C2到C30。
举例来说,在化学式1中,由B表示的核可以是例如包含至少一个杂原子的芳香族基团或脂肪族环基团。
举例来说,在化学式Z中,由A表示的核与由X表示的官能团键联,并且X可以是例如(但不限于)经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基或经取代或未经取代的C2到C30炔基。
举例来说,在化学式Z中,由X表示的官能团与核(A)的杂原子键联。
举例来说,可以通过包含两个或更多个乙烯基的单分子(a)和包含两个或更多个硫醇基的单分子(b)的化学反应获得聚合物。
包含两个或更多个乙烯基的单分子(a)可以包含例如(但不限于)群组1的部分。
[群组1]
Figure BDA0001556996730000081
抗蚀剂垫层组成物包含具有分别由化学式1和化学式2表示的部分的聚合物,并且因此具有预定折射率(n)和消光系数(k)。因此,当组成物例如用作光刻胶垫层材料时,经蚀刻的层关于光源可以具有优良吸收,并且因此可以抑制关于光源的光干扰作用。
另外,因为聚合物对有机溶剂和热稳定,所以当包含化合物的抗蚀剂垫层组成物例如用作光刻胶垫层材料时,光刻胶图案形成期间由溶剂或热或产生副产物(如化学材料)等引起的分层以及光刻胶溶剂引起的顶部厚度损失可以降到最低。另外,化合物具有优良可溶性并且因此可以形成具有优良涂布均匀性的抗蚀剂垫层。
另外,聚合物是高度疏水性的并且因此可以具有到光刻胶的极佳粘附,并且主链中还包含硫(S),并且因此可以实现高折射率并且具有快速蚀刻速率。
另外,聚合物可以是除了上述部分之外包含至少一个来源于另一单分子的第二部分的共聚物。
聚合物的重量平均分子量可以是1,000到100,000。更具体来说,聚合物的重量平均分子量可以是1,000到50,000或1,000到20,000。当聚合物的重量平均分子量在所述范围内时,包含聚合物的抗蚀剂垫层组成物可以通过调整碳含量和在溶剂中的溶解度来优化。
当聚合物用作抗蚀剂垫层的材料时,不仅可以在烘烤工艺期间在不形成针孔和空隙或使厚度分布劣化的情况下形成均匀的薄层,而且也可以在下部衬底(或层)中具有梯级或形成图案时获得优良的间隙填充和平坦化特征。
溶剂可以是具有足够可溶性或分散度或聚合物的任一溶剂并且可以包含例如从以下选出的至少一个:丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二乙二醇、二乙二醇丁基醚、三(乙二醇)单甲基醚、丙二醇单甲基醚、丙二醇单甲基醚乙酸酯、环己酮、乳酸乙酯、γ-丁内酯、N,N-二甲基甲酰胺、N,N-二甲基乙酰胺、甲基吡咯烷酮、甲基吡咯烷酮、乙酰丙酮以及3-乙氧基丙酸乙酯。
按抗蚀剂垫层组成物的总量计,聚合物可以0.1重量%到50重量%、0.1重量%到30重量%或0.1重量%到15重量%的量包含在内。当包含所述范围内的聚合物时,可以控制抗蚀剂垫层的厚度、表面粗糙度和平坦化。
抗蚀剂垫层组成物可以更包含交联剂。
交联剂可以是例如三聚氰胺类、经取代的脲类或聚合物类交联剂。优选地,其可以是具有至少两个交联形成取代基的交联剂,例如以下化合物,如甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯并胍胺、丁氧基甲基化苯并胍胺、甲氧基甲基化脲、丁氧基甲基化脲、甲氧基甲基化硫脲或丁氧基甲基化硫脲等。
交联剂可以是具有高耐热性的交联剂,并且可以是例如包含分子中包含芳香族环(例如苯环或萘环)的交联取代基的化合物。交联剂可以具有例如两个或更多个交联位点。
另外,除了包含由化学式1和化学式2表示的部分的聚合物之外,抗蚀剂垫层组成物可以更包含(但不限于)至少一种其它聚合物:丙烯酸类树脂、环氧类树脂、酚醛清漆树脂、甘脲类树脂以及三聚氰胺类树脂。
抗蚀剂垫层组成物可以更包含添加剂,所述添加剂为表面活性剂、热酸产生剂、塑化剂或其组合。
表面活性剂可以包含例如(但不限于)烷基苯磺酸盐、烷基吡啶鎓盐、聚乙二醇或季铵盐。
热酸产生剂可以是例如酸性化合物,如(但不限于)对甲苯磺酸、三氟甲烷磺酸、对甲苯磺酸吡啶鎓、水杨酸、磺基水杨酸、柠檬酸、苯甲酸、羟基苯甲酸、萘碳酸等或/和2,4,4,6-四溴环己二烯酮、安息香甲苯磺酸酯、2-硝基苯甲基甲苯磺酸酯、其它有机磺酸烷基酯等。
按100重量份抗蚀剂垫层组成物计,添加剂可以0.001重量份到40重量份的量存在。在所述范围内,可以改良溶解度同时不改变抗蚀剂垫层组成物的光学特性。
根据另一实施例,提供使用抗蚀剂垫层组成物制造的抗蚀剂垫层。抗蚀剂垫层可以是在例如衬底上涂布抗蚀剂垫层组成物之后,通过热处理固化的形式。抗蚀剂垫层可以是例如抗反射涂层。
下文中,参看图1到图5描述使用抗蚀剂垫层组成物形成图案的方法。
图1到图5是解释使用根据一个实施例的抗蚀剂垫层组成物形成图案的方法的横截面视图。
参看图1,制备用于蚀刻的对象。蚀刻对象可以是在半导体衬底100上形成的薄膜102。下文中,蚀刻对象限于薄膜102。洗涤薄膜102的整个表面以去除上面残留的杂质等。薄膜102可以是例如氮化硅层、多晶硅层或氧化硅层。
随后,将包含包括羟基的丙烯酸酯类树脂、具有由化学式1和化学式2表示的部分的聚合物以及溶剂的抗蚀剂垫层组成物旋涂于洗涤过的薄膜102的表面上。
接着,涂布的组成物经干燥和烘烤,在薄膜102上形成抗蚀剂垫层104。可以在100℃到500℃下进行烘烤,例如在100℃到300℃下进行烘烤。具体来说,抗蚀剂垫层组成物在上文中详细描述并且因此将省略。
参看图2,通过在抗蚀剂垫层104上涂布光刻胶来形成光刻胶层106。
光刻胶的实例可以是含有二叠氮萘醌化合物和酚醛清漆树脂的正型光刻胶、含有能够通过曝光解离酸的酸产生剂的化学增幅型正型光刻胶、在酸存在下分解并且在碱性水溶液中的可溶性提高的化合物以及碱溶性树脂、能够施加提高碱性水溶液中的可溶性的树脂的含有碱溶性树脂的化学增幅型正型光刻胶等。
随后,初次烘烤具有光刻胶层106的衬底100。初次烘烤可以在90℃到120℃下进行。
参看图3,可以选择性地曝光光刻胶层106。
光刻胶层106的曝光可以例如通过在曝光设备的掩模台上放置具有预定图案的曝光掩模并且在光刻胶层106上对准曝光掩模110来进行。随后,通过向曝光掩模110中照射光,使衬底100上形成的光刻胶层106的预定区域选择性地与穿过曝光掩模的光反应。曝光期间使用的光的实例可以是波长是约193纳米和约248纳米的ArF激光(ArF的激光),波长是约13.5纳米的EUV(远紫外光)等。
光刻胶层106的曝光区域106b与光刻胶层106的非曝光区域106a相比是相对亲水性的。因此,光刻胶层106的曝光区域106b和非曝光区域106a可以具有彼此不同的溶解度。
随后,二次烘烤衬底100。二次烘烤可以在90℃到150℃下进行。光刻胶层的曝光区域106b由于二次烘烤变得容易被预定溶剂溶解。
参看图4,光刻胶层的曝光区域106b被显影溶液溶解和去除,形成光刻胶图案108。具体来说,通过使用显影溶液(如四甲基氢氧化铵(TMAH)等)溶解和去除光刻胶层的曝光区域106b,精制光刻胶图案108。
随后,使用光刻胶图案108作为蚀刻掩模来蚀刻抗蚀剂垫层。通过上述蚀刻,形成有机层图案112。
蚀刻可以是例如使用蚀刻气体的干式蚀刻,并且蚀刻气体可以是例如CHF3、CF4、Cl2、BCl3以及其混合气体。
参看图5,施加光刻胶图案108作为蚀刻掩模来蚀刻经曝光的薄膜102。因此,薄膜形成薄膜图案114。
下文中,经关于聚合物合成和包含其的抗蚀剂垫层组成物的制备的实例更详细描述本公开。然而,本公开技术上不受以下示范性实施例限制。
合成实例
合成实例1
将30克异氰尿酸1,3-二烯丙基-5-(2-羟乙基)酯、11.16克1,2-乙烷二硫醇、0.389克AIBN以及166克二甲基甲酰胺置于500毫升2颈圆形烧瓶中,并且将冷凝器连接到烧瓶。温度升高到80℃并且反应进行2.5小时之后,将获得的反应溶液冷却到室温。随后,将反应溶液移到1升广口瓶,用己烷洗涤三次,接着用乙醚和纯水依序洗涤。将获得的胶状物状态的树脂完全溶解于80克THF中,并且将溶液缓慢添加到正在搅拌的700克甲苯中。将其中的溶剂排出之后,通过使用真空泵去除其中仍剩余的溶剂,获得包含由化学式1-1表示的结构单元的聚合物(Mw=5,100)。
[化学式1-1]
Figure BDA0001556996730000121
合成实例2
将14.96克氰尿酸三烯丙酯、3.81克EDT(乙烷二硫醇)、0.2克AIBN以及25克二甲基甲酰胺置于500毫升2颈圆形烧瓶中,并且将冷凝器连接到烧瓶。温度升高到80℃并且反应进行2.5小时之后,将获得的反应溶液冷却到室温。随后,将反应溶液移到1升广口瓶并且用纯水和甲苯依序洗涤。将胶状物状态的树脂完全溶解于80克THF中,并且将溶液以逐滴方式缓慢添加到正在搅拌的700克己烷中。将溶剂排出之后,通过使用真空泵去除其中仍剩余的溶剂,获得包含由化学式1-2表示的结构单元的聚合物(Mw=17,000)。
[化学式1-2]
Figure BDA0001556996730000122
(其中,在化学式中,m=70摩尔%且n=30摩尔%)
合成实例3
根据与合成实例2相同的方法获得包含由化学式1-3表示的结构单元的聚合物(Mw=2,700),但向500毫升2颈圆形烧瓶中放入32.1克二烯丙基醚、20.7克二硫苏糖醇、0.5克AIBN以及210克DMF。
[化学式1-3]
Figure BDA0001556996730000131
合成实例4
根据与合成实例2相同的方法获得包含由化学式1-4表示的结构单元的聚合物(Mw=8,400),但向500毫升2颈圆形烧瓶中放入32.1克1,6-己二醇二丙烯酸酯、20.7克二硫苏糖醇、0.5克AIBN以及210克DMF。
[化学式1-4]
Figure BDA0001556996730000132
合成实例5
根据与合成实例2相同的方法获得包含由化学式1-5表示的结构单元的聚合物(Mw=2,900),但向500毫升2颈圆形烧瓶中放入30.4克三乙二醇二乙烯醚、20.7克二硫苏糖醇、0.5克AIBN以及206克DMF。
[化学式1-5]
Figure BDA0001556996730000133
合成实例6
根据与合成实例2相同的方法获得包含由化学式1-6表示的结构单元的聚合物(Mw=3,200),但向500毫升2颈圆形烧瓶中放入29.4克1,4-环己烷二甲醇二乙烯醚、20.7克二硫苏糖醇、0.5克AIBN以及206克DMF。
[化学式1-6]
Figure BDA0001556996730000141
合成实例7
根据与合成实例2相同的方法获得包含由化学式1-7表示的结构单元的聚合物(Mw=4,500),但向500毫升2颈圆形烧瓶中放入23.7克二(乙二醇)二乙烯醚、20.7克二硫苏糖醇、0.5克AIBN以及206克DMF。
[化学式1-7]
Figure BDA0001556996730000142
合成实例8
根据与合成实例2相同的方法获得包含由化学式1-8表示的结构单元的聚合物(Mw=4,200),但向500毫升2颈圆形烧瓶中放入23.7克二(乙二醇)二乙烯醚、20.4克二硫苏糖醇、0.5克AIBN以及206克DMF。
[化学式1-8]
Figure BDA0001556996730000143
合成比较例1
将40克甲基丙烯酸甲酯、52.1克2-羟基丙烯酸酯、70.4克丙烯酸苯甲酯、2克AIBN以及306克二噁烷置于500毫升2颈圆形烧瓶中,并且将冷凝器连接到烧瓶。温度升高到80℃并且反应进行2.5小时之后,将获得的反应溶液冷却到室温。将反应溶液移到3L广口瓶并且用己烷洗涤。获得的树脂在30℃真空烘箱中干燥以去除剩余的溶剂,并且获得包含由化学式2表示的结构单元的聚合物(Mw=12,000)。
[化学式2]
Figure BDA0001556996730000151
制备抗蚀剂垫层组成物
实例1到实例8以及比较例1
将合成实例1的聚合物和PD1174(硬化剂,TCI,按100重量份聚合物计的15重量份)和对甲苯磺酸吡啶鎓(按100重量份聚合物计的1重量份)溶解于丙二醇单甲基醚和乳酸乙酯的混合溶剂(混合重量比=1:1)中,并且搅拌溶液6小时来制备抗蚀剂垫层组成物。
测定混合溶剂的量,其中按抗蚀剂垫层组成物的总重量计,包含1重量%固体含量的聚合物。
实例2到实例8
抗蚀剂垫层组成物中的每一个根据与实例1相同的方法制备,但使用根据合成实例2到合成实例8的各聚合物。
比较例1
根据与实例1相同的方法制备抗蚀剂垫层组成物,但使用根据合成比较例1的聚合物。
评估光学特性
分别取用2毫升根据实例1到实例8和比较例1的组成物,施加在4英寸晶片上,并且使用旋涂器(三笠株式会社(Mikasa Co.,Ltd.))在1,500rpm下旋涂20秒。随后,在210℃下固化涂布的组成物持续90秒,形成各30纳米厚的薄膜。在300A的条件下,通过使用VASE椭偏仪(J.A.伍拉姆公司(J.A.Woollam Co.))测量各薄膜的折射率(n)和消光系数(k)。
结果示于表1中。
(表1)
聚合物 n k
实例1 化学式1-1 2.01 0.35
实例2 化学式1-2 1.98 0.36
实例3 化学式1-3 1.78 0.25
实例4 化学式1-4 1.81 0.26
实例5 化学式1-5 1.70 0.23
实例6 化学式1-6 1.74 0.24
实例7 化学式1-7 1.77 0.26
实例8 化学式1-8 1.79 0.27
比较例1 化学式2 1.65 0.21
参看表1,使用分别包含由化学式1表示的结构单元的聚合物的组成物(实例1到实例8)制造的抗蚀剂底层显示优良折射率(n)和消光系数(k),并且因此在使用短波长的光形成光刻胶图案期间有效吸收反射的光并且提供具有令人满意的形状的图案。
虽然已经结合目前视为实用示范性实施例的内容来描述本发明,但应理解本发明不限于所披露的实施例,而是相反,本发明旨在涵盖包含在所附权利要求的精神和范围内的各种修改和等效配置。

Claims (10)

1. 一种抗蚀剂垫层组成物,其特征在于,包括:
包含由化学式1和化学式2表示的部分的聚合物;以及
溶剂,
[化学式1]
Figure DEST_PATH_IMAGE002
其中,在化学式1中,
B是由化学式Z表示的二价基团、氧、经取代或未经取代的C1到C30亚烷基、经取代或未经取代的C1到C30亚杂烷基、经取代或未经取代的C3到C30亚环烷基或其组合,
Y和Y'独立地是单键、氧、羰基、-(CH2)O-、-(CH2)S-、-(CH2)NH-或其组合,R2到R9独立地是氢、卤素、经取代或未经取代的乙烯基、经取代或未经取代的C1到C10烷氧基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C1到C30杂烷基、经取代或未经取代的C2到C30杂芳基或其组合,
a、b、c以及d独立地是0到100范围内的整数,其限制条件是a和b的总和大于或等于1以及c和d的总和大于或等于1,且
* 是连接点,
[化学式Z]
Figure DEST_PATH_IMAGE004
其中在化学式Z中,
A是经取代或未经取代的芳香族环基团、经取代或未经取代的脂肪族环基团、经取代或未经取代的杂芳香族环基团、经取代或未经取代的杂脂肪族环基团或其组合,
X是氢、羟基、经取代或未经取代的C1到C10烷氧基、卤素、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基、经取代或未经取代的乙烯基或其组合,且
*是连接点:
[化学式2]
Figure DEST_PATH_IMAGE006
其中在化学式2中,R1是经取代或未经取代的C3到C30亚环烷基、经取代或未经取代的C6到C30亚芳基、由化学式B表示的基团或其组合,且*是连接点:
[化学式B]
Figure DEST_PATH_IMAGE008
其中在化学式B中,
G和G'独立地是羟基、经取代或未经取代的C1到C10烷氧基、卤素、经取代或未经取代的C1到C30烷基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C6到C30杂芳基、经取代或未经取代的乙烯基或其组合,
m和n中的每一个独立地是1到10范围内的整数,且
* 是连接点,
其中所述经取代为指氢原子通过选自以下的取代基置换:羟基、硫醇基或C2到C20烯基。
2.根据权利要求1所述的抗蚀剂垫层组成物,其中在化学式Z中,X是经取代或未经取代的C1到C10烷基、经取代或未经取代的C2到C30烯基或经取代或未经取代的C2到C30炔基。
3.根据权利要求1所述的抗蚀剂垫层组成物,其中在化学式Z中,A是包含至少一个杂原子的芳香族基团或脂肪族环基团。
4.根据权利要求3所述的抗蚀剂垫层组成物,其中在化学式Z中,X与A中所包含的杂原子键联。
5.根据权利要求1所述的抗蚀剂垫层组成物,其中所述聚合物的重量平均分子量是1,000到100,000。
6.根据权利要求1所述的抗蚀剂垫层组成物,其中所述抗蚀剂垫层组成物还包含具有两个或更多个交联位点的交联剂。
7.根据权利要求1所述的抗蚀剂垫层组成物,其中所述抗蚀剂垫层组成物还包含添加剂,所述添加剂为表面活性剂、热酸产生剂和塑化剂或其组合。
8.一种形成图案的方法,其特征在于,包括:
在衬底上形成蚀刻对象层;
在所述蚀刻对象层上涂布根据权利要求1所述的抗蚀剂垫层组成物以形成抗蚀剂垫层;
在所述抗蚀剂垫层上形成光刻胶图案;以及
使用所述光刻胶图案作为蚀刻掩模以依序蚀刻所述抗蚀剂垫层和所述蚀刻对象层。
9.根据权利要求8所述的形成图案的方法,其中形成所述光刻胶图案包含:
在所述抗蚀剂垫层上形成光刻胶层;
曝光所述光刻胶层;以及
显影所述光刻胶层。
10.根据权利要求8所述的形成图案的方法,其中在涂布所述抗蚀剂垫层组成物之后,形成所述抗蚀剂垫层还包含在100℃到500℃的温度下对所述抗蚀剂垫层组成物进行热处理。
CN201810067086.8A 2017-02-03 2018-01-24 抗蚀剂垫层组成物和使用所述组成物形成图案的方法 Active CN108388079B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170015750A KR102047538B1 (ko) 2017-02-03 2017-02-03 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR10-2017-0015750 2017-02-03

Publications (2)

Publication Number Publication Date
CN108388079A CN108388079A (zh) 2018-08-10
CN108388079B true CN108388079B (zh) 2021-09-28

Family

ID=63037141

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810067086.8A Active CN108388079B (zh) 2017-02-03 2018-01-24 抗蚀剂垫层组成物和使用所述组成物形成图案的方法

Country Status (5)

Country Link
US (1) US10732504B2 (zh)
JP (1) JP6588996B2 (zh)
KR (1) KR102047538B1 (zh)
CN (1) CN108388079B (zh)
TW (1) TWI667286B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
KR102067081B1 (ko) 2017-11-01 2020-01-16 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102214895B1 (ko) 2017-12-26 2021-02-09 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102288386B1 (ko) * 2018-09-06 2021-08-10 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102348675B1 (ko) * 2019-03-06 2022-01-06 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102400603B1 (ko) * 2019-03-29 2022-05-19 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102400604B1 (ko) * 2019-04-23 2022-05-19 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102499390B1 (ko) * 2019-10-29 2023-02-13 삼성에스디아이 주식회사 레지스트 하층막용 조성물, 레지스트 하층막, 상기 조성물을 이용한 패턴형성방법
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR102448568B1 (ko) * 2020-01-17 2022-09-27 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102586108B1 (ko) * 2020-11-09 2023-10-05 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102586107B1 (ko) * 2020-11-19 2023-10-05 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006040918A1 (ja) * 2004-10-12 2006-04-20 Nissan Chemical Industries, Ltd. 含窒素芳香環構造を含むリソグラフィー用反射防止膜形成組成物
CN1934500A (zh) * 2004-03-16 2007-03-21 日产化学工业株式会社 含有硫原子的防反射膜
CN102056954A (zh) * 2008-07-08 2011-05-11 Az电子材料美国公司 抗反射涂层组合物
CN103025797A (zh) * 2010-06-25 2013-04-03 Prc-迪索托国际公司 聚硫醚聚合物、其制备方法、和包含其的组合物
CN104253024A (zh) * 2013-06-27 2014-12-31 第一毛织株式会社 硬掩模组合物、使用其形成图案的方法以及包括该图案的半导体集成电路装置
CN105229532A (zh) * 2013-05-21 2016-01-06 第一毛织株式会社 用于抗蚀剂底层膜的组合物、使用组合物的图案形成方法及包括图案的半导体集成电路装置
CN105814117A (zh) * 2013-10-29 2016-07-27 Prc-迪索托国际公司 含金属配体的预聚物、其合成方法和组合物
CN105849642A (zh) * 2013-12-27 2016-08-10 日产化学工业株式会社 含有主链具有三嗪环及硫原子的共聚物的抗蚀剂下层膜形成用组合物
CN106565952A (zh) * 2015-07-14 2017-04-19 Sk新技术株式会社 用于制备抗蚀剂下层膜的聚合物,含有其的组合物及使用该组合物制造半导体装置的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005321752A (ja) 2004-04-09 2005-11-17 Nissan Chem Ind Ltd イソシアヌル酸化合物と安息香酸化合物との反応生成物を含む反射防止膜形成組成物
US10509320B2 (en) 2005-01-21 2019-12-17 Nissan Chemical Industries, Ltd. Underlying coating forming composition for lithography containing compound having protected carboxyl group
US7470500B2 (en) 2005-07-19 2008-12-30 Az Electronic Materials Usa Corp. Organic bottom antireflective polymer compositions
KR101285641B1 (ko) 2005-08-25 2013-07-12 닛산 가가쿠 고교 가부시키 가이샤 비닐 나프탈렌 수지 유도체를 함유하는 리소그라피용 도포형 하층막 형성 조성물
US7879526B2 (en) 2005-12-26 2011-02-01 Cheil Industries, Inc. Hardmask compositions for resist underlayer films
KR101657052B1 (ko) 2011-12-29 2016-09-20 금호석유화학 주식회사 유기 반사 방지막 조성물
TWI585537B (zh) 2012-02-09 2017-06-01 日產化學工業股份有限公司 膜形成組成物及離子注入方法
KR20140055050A (ko) * 2012-10-30 2014-05-09 제일모직주식회사 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
US9062162B2 (en) * 2013-03-15 2015-06-23 Prc-Desoto International, Inc. Metal ligand-containing prepolymers, methods of synthesis, and compositions thereof
JP2015025092A (ja) 2013-07-29 2015-02-05 旭化成イーマテリアルズ株式会社 硬化性組成物
US9594246B2 (en) * 2014-01-21 2017-03-14 Osterhout Group, Inc. See-through computer display systems
KR101821735B1 (ko) * 2015-03-20 2018-01-24 삼성에스디아이 주식회사 유기막 조성물, 유기막, 및 패턴형성방법
KR101850890B1 (ko) * 2015-04-17 2018-05-31 삼성에스디아이 주식회사 유기막 조성물, 유기막, 및 패턴형성방법
KR101590608B1 (ko) 2015-08-12 2016-02-01 로움하이텍 주식회사 신규한 이소시아누레이트 화합물 및 이를 포함하는 반사방지막 조성물

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934500A (zh) * 2004-03-16 2007-03-21 日产化学工业株式会社 含有硫原子的防反射膜
WO2006040918A1 (ja) * 2004-10-12 2006-04-20 Nissan Chemical Industries, Ltd. 含窒素芳香環構造を含むリソグラフィー用反射防止膜形成組成物
CN102056954A (zh) * 2008-07-08 2011-05-11 Az电子材料美国公司 抗反射涂层组合物
CN103025797A (zh) * 2010-06-25 2013-04-03 Prc-迪索托国际公司 聚硫醚聚合物、其制备方法、和包含其的组合物
CN105229532A (zh) * 2013-05-21 2016-01-06 第一毛织株式会社 用于抗蚀剂底层膜的组合物、使用组合物的图案形成方法及包括图案的半导体集成电路装置
CN104253024A (zh) * 2013-06-27 2014-12-31 第一毛织株式会社 硬掩模组合物、使用其形成图案的方法以及包括该图案的半导体集成电路装置
CN105814117A (zh) * 2013-10-29 2016-07-27 Prc-迪索托国际公司 含金属配体的预聚物、其合成方法和组合物
CN105849642A (zh) * 2013-12-27 2016-08-10 日产化学工业株式会社 含有主链具有三嗪环及硫原子的共聚物的抗蚀剂下层膜形成用组合物
CN106565952A (zh) * 2015-07-14 2017-04-19 Sk新技术株式会社 用于制备抗蚀剂下层膜的聚合物,含有其的组合物及使用该组合物制造半导体装置的方法

Also Published As

Publication number Publication date
JP2018124546A (ja) 2018-08-09
TW201829613A (zh) 2018-08-16
US20180224744A1 (en) 2018-08-09
CN108388079A (zh) 2018-08-10
KR20180090640A (ko) 2018-08-13
KR102047538B1 (ko) 2019-11-21
TWI667286B (zh) 2019-08-01
JP6588996B2 (ja) 2019-10-09
US10732504B2 (en) 2020-08-04

Similar Documents

Publication Publication Date Title
CN108388079B (zh) 抗蚀剂垫层组成物和使用所述组成物形成图案的方法
US11048169B2 (en) Resist underlayer composition, and method of forming patterns using the composition
TWI699623B (zh) 抗蝕劑下層組成物及使用該組成物形成圖案的方法
TWI805779B (zh) 抗蝕劑底層組成物和使用所述組成物形成圖案的方法
KR102003345B1 (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102264695B1 (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
CN111665683B (zh) 抗蚀剂底层组合物和使用所述组合物形成图案的方法
TWI731406B (zh) 抗蝕劑墊層組合物和使用所述組合物形成圖案的方法
KR102215332B1 (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
TWI768656B (zh) 抗蝕劑底層組合物和使用所述組合物形成圖案的方法
KR102215333B1 (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102264694B1 (ko) 고분자 가교제, 이를 포함하는 레지스트 하층막용 조성물, 및 이를 이용한 패턴형성방법
CN113204170A (zh) 抗蚀剂底层组合物和使用所述组合物形成图案的方法
KR20230020811A (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant