CN109585331A - 具有可调式气体喷射器的集成电路制造系统 - Google Patents

具有可调式气体喷射器的集成电路制造系统 Download PDF

Info

Publication number
CN109585331A
CN109585331A CN201810307831.1A CN201810307831A CN109585331A CN 109585331 A CN109585331 A CN 109585331A CN 201810307831 A CN201810307831 A CN 201810307831A CN 109585331 A CN109585331 A CN 109585331A
Authority
CN
China
Prior art keywords
gas ejector
lid
substrate
gas
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810307831.1A
Other languages
English (en)
Other versions
CN109585331B (zh
Inventor
许泳顺
张景郁
张乔凯
谢伟康
林建坊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109585331A publication Critical patent/CN109585331A/zh
Application granted granted Critical
Publication of CN109585331B publication Critical patent/CN109585331B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明实施例提供了半导体制造装置。半导体制造装置包括处理室;提供在处理室中并且被配置为固定和旋转半导体晶圆的衬底台;配置为将化学物质喷射至处理室的气体喷射器;附接至气体喷射器的窗口;以及与气体喷射器和窗口耦接的可调式紧固器件。本发明实施例涉及具有可调式气体喷射器的集成电路制造系统。

Description

具有可调式气体喷射器的集成电路制造系统
技术领域
本发明实施例涉及具有可调式气体喷射器的集成电路制造系统。
背景技术
半导体集成电路(IC)产业经历了指数增长。IC材料和设计中的技术进步已经产生了多代IC,其中,每一代IC都比上一代IC具有更小和更复杂的电路。在IC演化过程中,功能密度(即,每芯片面积的互连器件的数量)已经普遍增大,而几何尺寸(即,可以使用制造工艺产生的最小组件或线)已经减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本来提供益处。这种按比例缩小增加了处理和制造IC的复杂性,并且为了实现这些进步,需要IC处理和制造设备中的类似发展。在一个实例中,利用等离子体处理系统来实施等离子体蚀刻工艺。在等离子体蚀刻期间,等离子体由蚀刻的材料的元素与由等离子体产生的反应物质之间的化学反应产生挥发性蚀刻产物,这改变了靶标的表面。等离子体处理系统包括保持在真空状态的处理室和化学物质供应模块,以提供化学蚀刻的化学物质。然而,现有的IC制造系统中的化学物质供应模块出现泄漏问题,这进一步降低了蚀刻性能。因此,提供一种没有上述缺点的IC制造系统和利用该系统的方法是期望的。
发明内容
根据本发明的一些实施例,提供了一种半导体制造装置,包括:处理室;衬底台,提供在所述处理室中并且被配置为固定和旋转半导体晶圆;气体喷射器,配置为将化学物质喷射至所述处理室;窗口,附接至所述气体喷射器;以及可调式紧固器件,与所述气体喷射器和所述窗口耦接。
根据本发明的另一些实施例,还提供了一种半导体制造装置,包括:处理室;衬底台,配置在所述处理室中并且可操作以固定和旋转半导体晶圆;气体喷射器,附接至所述处理室并且设计为将化学物质喷射至所述处理室;O形环,提供至所述气体喷射器;窗口,附接至所述气体喷射器并且与所述O形环直接接触;气体喷射器盖,设置在所述窗口上;以及可调式紧固器件,与所述气体喷射器集成并且设计为将所述窗口和所述气体喷射器盖固定至具有可调高度的所述气体喷射器。
根据本发明的另一些实施例,还提供了一种用于半导体制造的方法,所述方法包括:提供半导体装置,所述半导体装置还包括处理室;衬底台,配置在所述处理室中并且可操作以固定和旋转半导体晶圆;气体喷射器,附接至所述处理室并且设计为将化学物质喷射至所述处理室;O形环,配置为至所述气体喷射器;窗口,附接至所述气体喷射器并且与所述O形环直接接触;气体喷射器盖,设置在所述窗口上;以及可调式紧固器件,与所述气体喷射器集成并且设计为将所述窗口和所述气体喷射器盖固定至具有可调高度的所述气体喷射器,其中,所述可调式紧固器件包括配置在所述气体喷射器盖上的基板;以及将所述气体喷射器盖紧固至所述气体喷射器的多个紧固件,其中,每个所述紧固件均包括螺栓、套装在所述螺栓上的第一螺母和第二螺母,其中,所述第一螺母配置在所述基板之上,并且所述第二螺母配置在所述基板和所述气体喷射器盖之间;调整所述可调式紧固器件将所述窗口固定至具有可调高度的所述气体喷射器;以及通过所述半导体装置对所述半导体晶圆实施蚀刻工艺。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了根据一些实施例构建的用于集成电路制造的等离子体模块的示意图。
图2示出了根据一些实施例构建的具有可调式紧固机构的气体喷射器的等离子体模块的示意图。
图3A示出了根据一些实施例构建的可调式紧固器件的示意图。
图3B示出了根据一些实施例构建的可调式紧固器件的俯视图。
图4示出了根据一些实施例构建的气体喷射器的喷射器尖端的俯视图。
图5示出了根据一些实施例构建的具有等离子体模块的集成电路(IC)制造系统的示意图。
图6示出了根据一些实施例的利用等离子体模块的方法的流程图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。此外,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。
图1示出了根据一些实施例构建的用于集成电路(IC)制造的等离子体模块100的示意图。参照图1和其它附图,以下共同描述等离子体模块100和利用该模块的方法。
等离子体模块100包括具有用于半导体处理的封闭空间104的处理室102。在本实施例中,半导体处理是等离子体蚀刻,诸如对金属或多晶硅的等离子体蚀刻。处理室102包括集成在一起各个壁,从而使得封闭空间104与环境隔离并且可以保持在合适的状态,诸如真空或低压。
等离子体模块100也包括在处理室102中提供的衬底台106以固定诸如硅晶圆的半导体衬底108。衬底台106设计有旋转机构110,诸如电动机。可操作衬底台106以通过旋转机构110围绕旋转轴112旋转,使得固定在衬底台106上的半导体衬底108也在IC制造期间旋转。
等离子体模块100包括集成至处理室102的一个或多个化学输送单元114,并且化学输送单元114设计为向处理室102提供化学物质。在各个实例中,化学物质是蚀刻气体或载气,诸如氮气、氩气或其它合适的气体。化学输送单元114包括可调式气体喷射器116,可调式气体喷射器116附接至处理室并且设计为将化学物质喷射至处理室102内。化学输送单元114进一步连接至化学物质供应源118以将化学物质提供给气体喷射器116。之后将详细描述化学输送单元114。
等离子体模块100还可以包括集成在一起以对诸如等离子体蚀刻的IC制造起作用的组件、模块和零件。例如,等离子体模块100包括泵送模块120,泵送模块120与处理室102集成并且可操作以将处理室102内的空间104保持在真空状态或低压。在各种实例中,泵送模块120可以包括一个或多个泵,并且可以利用多种泵送技术,诸如正排量泵、动量输送泵、再生泵或夹带泵。根据相应的工作范围,可以串联配置各个泵。
等离子体模块100包括等离子体生成模块122以在处理室102中的空间104内生成等离子体。等离子体生成模块122将能量源引入处理室内以利用任何合适的技术(诸如电容耦合等离子体或电感耦合等离子体)激发等离子体。
图2示出了根据一些实施例构建的等离子体模块的局部示意图。更详细地示出了化学输送单元114。具体地,化学输送单元114包括可调式紧固机构。化学输送单元114包括夹具202,以将气体喷射器116附接并且固定至处理室102的顶盖204上。气体喷射器116包括圆柱体206,以将化学物质通道提供给配置在圆柱体206的一端上的气体喷射头208。通过将化学物质供应源118连接至气体喷射器116的入口210将化学物质从化学物质供应源118输送至气体喷射器116。
气体喷射器116还包括设置在气体喷射器116上(诸如气体喷射器116的圆形槽上)的O形环212;配置在O形环212上的透明窗口214;以及配置在透明窗口214上的气体喷射器盖216。当上述三个组件固定在一起时,它们提供消除泄漏的密封效果。此外,透明窗口214提供额外的功能,诸如监测处理室内部的IC制造,或特别地监测等离子体模块100中的等离子体信号。透明窗口214由对检测信号或可见光透明的材料制成。在本实施例中,透明窗口214由液体硅橡胶(LSR)制成。透明窗口214设计为具有诸如圆形板的合适的形状。
气体喷射器盖216设计为覆盖圆柱体206并且可以由具有足够机械强度并且满足其它需求的合适的材料制成。例如,气体喷射器盖216由聚四氟乙烯(PTFE)制成。在本实施例中,气体喷射器盖216的顶面被设计成倾斜面。
化学输送单元114还包括具有可调高度的可调式紧固器件(AFD)220以将气体喷射器盖216、透明窗口214和O形环212固定在一起以具有用于增强密封效果的可调高度。在一些实施例中,气体喷射器116包括延伸部分222。可调式紧固装置220通过延伸部分222将气体喷射器盖216、透明窗口214、O形环212和气体喷射器固定在一起。
图3A示出了根据一些实施例构建的可调式紧固器件220的示意图。进一步详细的描述可调式紧固器件220。AFD 220包括设置在气体喷射器盖216上的基板302。基板302设计为具有合适的几何形状以实现气体喷射器盖216和基板302之间的紧固效果并且具有诸如PTFE的合适的材料,以用于机械强度和其他功能。利用AFD 220,气体喷射器116可调节高度、距离、紧固力和密封效果。
在本实施例中,基板302包括具有不同尺寸的顶部302A和底部302B。如图3A示出的,顶部302A跨越尺寸D1并且底部302B跨越小于D1的尺寸D2。
AFD 220包括多组螺栓和螺母,每组均称为紧固件304。在本实施例中,紧固件的数量是三个。每个紧固件304均包括具有螺栓头308的螺栓306,螺栓头308设计为诸如槽或插座的结构,以提供用于驱动或固定螺栓的工具。每个紧固件304还包括套装在对应的螺栓306上的第一螺母310和第二螺母312。每个螺栓的内表面均具有螺纹图案,并且每个螺母的内表面均具有螺纹图案的开口。螺母和螺栓配置为通过螺纹摩擦紧固。第一螺母310配置在基板之上(具体地,配置在螺栓头308和基板302之间);并且第二螺母312配置在基板302和气体喷射器盖216之间。第一螺母310可操作以控制气体喷射器盖216的高度或控制基板302和气体喷射器盖216之间的距离;并且第二螺母312可操作以将气体喷射器盖216紧固至气体喷射器220,诸如配置在基板302和气体喷射器盖216之间的气体喷射器116的延伸部分222。
此外,基板302、气体喷射器盖216和气体喷射器116(或在当前情况下的气体喷射器的延伸部分222)每个均具有等距分布的多个螺纹孔,从而多个螺栓306能够穿过对应的螺纹孔并且拧紧以将这些组件固定在一起。例如,图3B示出了具有三个螺纹孔316的基板302的俯视图。三个螺纹孔316等距地分布在基板302上,诸如在圆318上。在本实例中,顶部302A和底部302B具有圆形形状。螺纹孔316形成在底部302B的外部的顶部302A的边缘区域上。
类似地配置气体喷射器盖216和延伸部分222上的螺纹孔。螺纹孔与螺栓的螺纹图案相匹配。当如上所述配置紧固件304时,调节每个第一螺母310以控制气体喷射器116的高度或气体喷射器盖216与对应位置处的气体喷射器116的延伸部分222之间的距离;并且紧固第二螺母312以将气体喷射器盖216和气体喷射器116固定在一起。
在现有结构中,紧固件设计为没有该调整机构,不同紧固件之间的不均匀紧固力和由此引起的变形不能被有效地调整和消除,从而导致透明窗口214和O形环212之间不均匀接触和力。这进一步导致穿过透明窗口214和O形环212之间的界面的泄漏问题。在公开的AFD 220中,每个紧固件304均可以独立并且单独地在对应的位置处调节为具有对应的高度和距离以实现O形环212和透明窗口214之间的最优密封效果,从而消除泄漏。
图4示出了根据一些实施例的气体喷射头208的俯视图。气体喷射头208包括用于使化学气体通过其喷射的多个开口。在本实施例中,气体喷射头208包括中心开口402和等距分布在边缘上的多个边缘开口404。例如,气体喷射头208包括八个边缘开口404。边缘开口404具有比中心开口402的半径更小的半径。
图5是根据一些实施例的集成电路(IC)制造系统500的框图。IC制造系统500包括以集群工具集成在一起的一个或多个等离子体模块100。具体地,等离子体模块100包括一个或多个化学输送单元114,每个化学输送单元114均具有AFD 220以紧固气体喷射器盖216并且为透明窗口214和O形环212之间的界面提供增强的密封效果。在示例性实施例中,IC制造系统500包括适当配置和集成的两个等离子体模块100。
IC制造系统500包括一个或多个加载端口502,通过加载端口502将晶圆加载和卸载至IC制造系统500。在本实施例中,通过使用诸如前开式晶圆盒(FOUP)的晶圆容器批量加载和卸载晶圆。
IC制造系统500可以包括用于固定、操作和传送晶圆的加载器(或前端单元)504。例如,加载器504包括用于固定和/或定向一个或多个晶圆的一个或多个衬底台506。在其它实例中,加载器504包括用于处理晶圆的一个或多个自动控制装置508,诸如将晶圆传送至等离子体模块100或至加载锁定室(或加载锁定单元)510。自动控制装置508配置为在加载端口502和加载锁定室之间以适当的方式在它们之间传送晶圆。例如,每个晶圆通过自动控制装置508从加载端口502或从衬底台506传送至一个加载锁定室,或通过自动控制装置508将其传送回至加载端口502。在一些实施例中,IC制造系统500可以进一步包括其它组件,诸如配置和设计为用于诸如预定向和预处理的各个功能的一个或多个加载锁定室510。预调节可能包括脱气、预热或其它功能。例如,多个加载锁定室510可以分别被设计和配置为用于各个预处理功能。在一些实例中,在一个加载锁定室510中定向、脱气和/或预热晶圆以准备用于等离子体处理的晶圆。可以不同地配置IC制造系统500。例如,中间的加载锁定室510可以用作传送晶圆的路径。在其它实例中,IC制造系统500可以进一步包括集成为向相应的区域(诸如等离子体模块100)提供真空条件的真空模块。加载端口502、加载器504和加载锁定室510统称为加载锁定模块512。
IC制造系统500可以进一步包括用于在等离子体模块100和加载锁定室510之间传送晶圆的传送模块514。在一些实施例中,传送模块514还包括用于传送晶圆的一个或多个自动控制装置516。传送模块514具有分别连接至等离子体模块100的开口(门)518。
图6是根据一些实施例的制造一个或多个半导体晶圆108(具体地,等离子体蚀刻半导体晶圆的材料层)的方法600的流程图。方法600在图5的IC制造系统500中实施。参照图5、图6和其它附图描述方法600。
方法600包括用AFD 220紧固气体喷射器116的操作602。如上所述,AFD 220包括多组紧固件304,每组紧固件304还包括螺栓306和套装在对应的螺栓306上的两个螺母310和312。第一螺母310配置在基板之上(具体地,配置在螺栓头308与基板302之间);并且第二螺母312配置在基板302和气体喷射器盖216之间。操作602可以包括多个步骤。例如,操作602包括以适当的配置将基板302设置在气体喷射器盖216上,从而使得两个部分的螺纹孔对准的步骤604。
操作602也包括用基板302和气体喷射器盖216配置紧固件304的步骤606。在本实施例中,AFD 220包括三组紧固件304。对于每组紧固件304,第一螺母310和第二螺母312套装在对应的螺栓306上。具体地,第一螺母310配置在基板之上(具体地,配置在螺栓头308和基板302之间);并且第二螺母312配置在基板302和气体喷射器盖216之间。
操作602包括通过调节第一螺母310来调整气体喷射器盖216的高度(或控制基板302和气体喷射器盖218之间的距离)的步骤608;以及通过调节第二螺母312来将气体喷射器盖216紧固至气体喷射器116的步骤610,该第二螺母312调整气体喷射器盖和气体喷射器之间的紧固力并且进一步调整O形环212和透明窗口214之间的接触力。
对其它紧固件304重复步骤608和610并且可以对所有紧固件重复许多循环,直至气体喷射器盖216紧固至气体喷射器116,从而使得O形环212和透明窗口214均匀地接触以具有改进的密封效果,从而消除通过O形环212和透明窗口214之间的界面的泄漏。
在完成操作602和其它初始调节之后,IC制造系统500准备好并且可以进入IC制造。例如,方法600可以包括操作614以通过加载端口502将一个或多个晶圆加载至IC制造系统500。例如,通过加载端口502将诸如FOUP中的晶圆在一个或多个步骤中(诸如加载、脱气、预热、定向或它们的子集)以一批或多批的方式加载至IC制造系统500。
方法600包括通过开口518由自动控制装置516将一个或多个晶圆传送至一个等离子体模块100的操作614。例如,自动控制装置516将一个或多个晶圆依次传送至每个等离子体模块100。在其它实例中,传送模块514可以包括两个或更多自动控制装置516以同时将晶圆传送至相应的等离子体模块100。具体地,在本实施例中,以晶圆的正面朝上的配置将一个晶圆108传送至对应的等离子体模块100的衬底台106。
方法600进入对一个等离子体模块100中的晶圆108实施等离子体工艺的操作616。用一个等离子体模块和一个晶圆来描述操作616和随后的操作。然而,如上所述,可以在多个等离子体模块100中的一个中处理多个晶圆,并且多个等离子体模块100可以并行工作。在本实施例中,等离子体工艺是在操作516期间应用于晶圆108的正面的等离子体蚀刻工艺。例如,输送至处理室102的一种或多种化学物质可以部分地转变成等离子体以对半导体晶圆108提供蚀刻作用。在一些实施例中,操作616可以包括通过气体喷射器116将化学物质喷射至处理室102;生成该化学物质的等离子体;以及将化学物质导入半导体晶圆108以用于蚀刻。
在一个实例中,晶圆108的正面包括金属层,并且应用等离子体蚀刻工艺以选择性地蚀刻金属。在进一步的实施例中,该金属是铜,蚀刻剂(蚀刻气体)可以包括CH4和Ar;CH4和N2;H2和Ar;或H2和N2。因此,每个等离子体模块100均可以包括更多化学输送单元114以输送对应的气体。
在一个实例中,晶圆108的正面包括多晶硅层,并且应用等离子体蚀刻工艺以选择性地蚀刻多晶硅。在进一步的实施例中,蚀刻剂包括HBr、Cl2、SF6、O2、Ar、He或它们的组合。因此,每个等离子体模块100均可以包括更多化学输送单元114以输送对应的气体。
在又另一实施例中,晶圆108的正面包括氧化硅层,并且应用等离子体蚀刻工艺以选择性地蚀刻氧化硅。蚀刻剂可以包括CF4、C3F8、C4F8、CHF3、CH2F2或它们的组合。等离子体工艺可以可选地是等离子体增强沉积或等离子体处理。
在等离子体模块100中通过操作616完成晶圆108的沉积工艺之后,方法600进入操作618以通过自动控制装置516将晶圆108传送至加载锁定室510。该操作与操作614类似,或相反。例如,依次或并行地通过多个自动控制装置516将多个晶圆从等离子体模块100传送至加载锁定室510。
方法600可以进一步包括通过加载端口502从IC制造系统500卸载晶圆的操作620。在上述操作之前、期间和之后,方法600可以包括其它操作。例如,在操作620之后,可以将晶圆转移至其它制造工具以用于随后的制造,诸如光刻图案化工艺。
IC制造系统500和方法600可以具有其它实施例或替代方案。例如,尽管方法600描述了对晶圆实施等离子体蚀刻的过程,但IC制造系统和利用该系统的方法可以用于形成各种薄膜,诸如蚀刻栅极介电层、栅电极层、覆盖层、阻挡层、蚀刻停止层、层间电介质的介电层或金属线的导电层。
本发明提供了一种IC制造系统和利用该系统的方法。通过利用所公开的IC制造系统,改进了诸如等离子体蚀刻质量和效率的等离子体工艺。IC制造系统包括一个或多个等离子体模块100,每个等离子体模块100还包括具有AFD 220的一个或多个化学输送单元114。AFD 220包括多组紧固件304,每组紧固件304还包括螺栓306和套装在对应的螺栓306上的两个螺母310和312。第一螺母310配置在基板之上(具体地,配置在螺栓头308与基板302之间);并且第二螺母312配置在基板302和气体喷射器盖216之间。调节第一螺母310以控制该距离并且调节第二螺母312以提供将气体喷射器盖216固定至气体喷射器116的紧固力,从而使得O形环212和透明窗口214均匀地接触以具有改进的密封效果,从而消除通过O形环212和透明窗口214之间的界面的泄漏。
本发明的实施例提供了优于现有技术的一些优势,但是应该理解,其它实施例可以提供不同的优势,不是所有的优势都必须在本文中讨论,并且没有特定的优势是所有的实施例都是需要的。在一些实施例中可以存在各种优势。通过利用公开的IC制造系统和方法,使得诸如等离子体蚀刻的IC制造改进为具有增强的密封和制造效率。其它优势可以包括更少的制造成本和更高的制造产量。
因此,本发明提供了半导体制造装置。半导体装置包括处理室;衬底台,提供在处理室中并且被配置为固定和旋转半导体晶圆;配置为将化学物质喷射至处理室的气体喷射器;附接至气体喷射器的窗口;以及与气体喷射器和窗口耦合的可调式紧固器件。
本发明提供了半导体制造装置。半导体装置包括处理室;衬底台,配置在处理室中并且可操作以固定和旋转半导体晶圆;气体喷射器,附接至处理室并且设计为将化学物质喷射至处理室;配置为至气体喷射器的O形环;附接至气体喷射器并且与O形环直接接触的窗口;设置在窗口上的气体喷射器盖;以及可调式紧固器件,与气体喷射器集成并且设计为将窗口和气体喷射器盖固定至具有可调高度的气体喷射器。
本发明提供了用于半导体制造的方法。该方法包括提供半导体装置。该半导体装置还包括处理室;衬底台,配置在处理室中并且可操作以固定和旋转半导体晶圆;气体喷射器,附接至处理室并且设计为将化学物质喷射至处理室;配置为至气体喷射器的O形环;附接至气体喷射器并且与O形环直接接触的窗口;设置在窗口上的气体喷射器盖;以及可调式紧固器件,与气体喷射器集成并且设计为将窗口和气体喷射器盖固定至具有可调高度的气体喷射器。可调式紧固器件包括配置在气体喷射器盖上的基板;以及用于将气体喷射器盖紧固至气体喷射器的多个紧固件,其中,每个紧固件均包括螺栓、套装在螺栓上的第一螺母和第二螺母,其中,第一螺母配置在基板之上,并且第二螺母配置在基板和气体喷射器盖之间。该方法还包括通过半导体装置对半导体晶圆实施蚀刻工艺。
根据本发明的一些实施例,提供了一种半导体制造装置,包括:处理室;衬底台,提供在所述处理室中并且被配置为固定和旋转半导体晶圆;气体喷射器,配置为将化学物质喷射至所述处理室;窗口,附接至所述气体喷射器;以及可调式紧固器件,与所述气体喷射器和所述窗口耦接。
在上述半导体制造装置中,还包括:O形环,提供在所述气体喷射器上;以及气体喷射器盖,设置在所述窗口上,其中,所述窗口插入在所述O形环和所述气体喷射器盖之间并且与所述O形环和所述气体喷射器盖直接接触。
在上述半导体制造装置中,所述窗口由液体硅橡胶制成。
在上述半导体制造装置中,所述可调式紧固器件包括:基板,配置在所述气体喷射器盖上;以及多个紧固件,将所述气体喷射器盖紧固至所述气体喷射器。
在上述半导体制造装置中,所述气体喷射器盖具有倾斜的顶面,并且所述基板配置为直接接触所述气体喷射器盖的倾斜的顶面。
在上述半导体制造装置中,每个所述紧固件均包括螺栓、套装在所述螺栓上的第一螺母和第二螺母,其中,所述第一螺母配置在所述基板之上,并且所述第二螺母配置在所述基板和所述气体喷射器盖之间。
在上述半导体制造装置中,所述第一螺母可操作以调整所述气体喷射器盖和所述气体喷射器之间的距离;并且所述第二螺母可操作以调整所述气体喷射器盖和所述气体喷射器之间的紧固力。
在上述半导体制造装置中,所述气体喷射器盖包括在所述气体喷射器盖的中心周围等距分布成圆形的多个覆盖螺纹孔;所述基板包括在所述基板的中心周围等距分布成圆形的多个基底螺纹孔;以及多个紧固件,被配置为具有每个均穿过所述基底螺纹孔的一个和所述覆盖螺纹孔的一个的相应的螺栓。
在上述半导体制造装置中,所述多个覆盖螺纹孔包括三个覆盖螺纹孔;所述多个基底螺纹孔包括三个基底螺纹孔;以及所述多个紧固件包括三个紧固件。
在上述半导体制造装置中,还包括:泵模块,与所述处理室集成并且设计为控制所述处理室的压力;以及等离子体生成模块,与所述处理室集成并且设计为在所述等离子体生成模块中生成等离子体。
在上述半导体制造装置中,所述化学物质通过所述气体喷射器被喷射至所述处理室并且被进一步转变成等离子体以对所述半导体晶圆提供蚀刻作用。
根据本发明的另一些实施例,还提供了一种半导体制造装置,包括:处理室;衬底台,配置在所述处理室中并且可操作以固定和旋转半导体晶圆;气体喷射器,附接至所述处理室并且设计为将化学物质喷射至所述处理室;O形环,提供至所述气体喷射器;窗口,附接至所述气体喷射器并且与所述O形环直接接触;气体喷射器盖,设置在所述窗口上;以及可调式紧固器件,与所述气体喷射器集成并且设计为将所述窗口和所述气体喷射器盖固定至具有可调高度的所述气体喷射器。
在上述半导体制造装置中,所述可调式紧固器件包括配置在所述气体喷射器盖上的基板;以及将所述气体喷射器盖紧固至所述气体喷射器的多个紧固件。
在上述半导体制造装置中,所述紧固件的每个均包括螺栓、套装在所述螺栓上的第一螺母和第二螺母,其中,所述第一螺母配置在所述基板之上,并且所述第二螺母配置在所述基板和所述气体喷射器盖之间。
在上述半导体制造装置中,所述第一螺母可操作以调整所述气体喷射器盖和所述气体喷射器之间的距离;并且所述第二螺母可操作以调整所述气体喷射器盖和所述气体喷射器之间的紧固力。
在上述半导体制造装置中,所述气体喷射器盖包括在所述气体喷射器盖的中心周围等距分布成圆形的多个覆盖螺纹孔;所述基板包括在所述基板的中心周围等距分布成圆形的多个基底螺纹孔;以及多个紧固件,被配置为使得每个螺栓均穿过所述基底螺纹孔的一个和所述覆盖螺纹孔的一个。
在上述半导体制造装置中,还包括:泵模块,与所述处理室集成并且设计为控制所述处理室的压力;以及等离子体生成模块,与所述处理室集成并且设计为在所述等离子体生成模块中生成等离子体,其中,所述化学物质通过所述气体喷射器被喷射至所述处理室并且被进一步转变成等离子体以对所述半导体晶圆提供蚀刻作用。
根据本发明的另一些实施例,还提供了一种用于半导体制造的方法,所述方法包括:提供半导体装置,所述半导体装置还包括处理室;衬底台,配置在所述处理室中并且可操作以固定和旋转半导体晶圆;气体喷射器,附接至所述处理室并且设计为将化学物质喷射至所述处理室;O形环,配置为至所述气体喷射器;窗口,附接至所述气体喷射器并且与所述O形环直接接触;气体喷射器盖,设置在所述窗口上;以及可调式紧固器件,与所述气体喷射器集成并且设计为将所述窗口和所述气体喷射器盖固定至具有可调高度的所述气体喷射器,其中,所述可调式紧固器件包括配置在所述气体喷射器盖上的基板;以及将所述气体喷射器盖紧固至所述气体喷射器的多个紧固件,其中,每个所述紧固件均包括螺栓、套装在所述螺栓上的第一螺母和第二螺母,其中,所述第一螺母配置在所述基板之上,并且所述第二螺母配置在所述基板和所述气体喷射器盖之间;调整所述可调式紧固器件将所述窗口固定至具有可调高度的所述气体喷射器;以及通过所述半导体装置对所述半导体晶圆实施蚀刻工艺。
在上述方法中,调整所述可调式紧固器件以将所述窗口固定至所述气体喷射器还包括:调整所述第一螺母以调整所述气体喷射器和所述气体喷射器盖之间的距离;以及调整所述第二螺母以将所述气体喷射器和所述气体喷射器盖子固定在一起。
在上述方法中,实施所述蚀刻工艺还包括:通过所述气体喷射器将所述化学物质喷射至所述处理室;生成所述化学物质的等离子体;以及将所述化学物质导向至所述半导体晶圆以用于蚀刻。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种半导体制造装置,包括:
处理室;
衬底台,提供在所述处理室中并且被配置为固定和旋转半导体晶圆;
气体喷射器,配置为将化学物质喷射至所述处理室;
窗口,附接至所述气体喷射器;以及
可调式紧固器件,与所述气体喷射器和所述窗口耦接。
2.根据权利要求1所述的半导体制造装置,还包括:
O形环,提供在所述气体喷射器上;以及
气体喷射器盖,设置在所述窗口上,其中,所述窗口插入在所述O形环和所述气体喷射器盖之间并且与所述O形环和所述气体喷射器盖直接接触。
3.根据权利要求2所述的半导体制造装置,其中,所述窗口由液体硅橡胶制成。
4.根据权利要求2所述的半导体制造装置,其中,所述可调式紧固器件包括:
基板,配置在所述气体喷射器盖上;以及
多个紧固件,将所述气体喷射器盖紧固至所述气体喷射器。
5.根据权利要求4所述的半导体制造装置,其中,所述气体喷射器盖具有倾斜的顶面,并且所述基板配置为直接接触所述气体喷射器盖的倾斜的顶面。
6.根据权利要求4所述的半导体制造装置,其中,每个所述紧固件均包括螺栓、套装在所述螺栓上的第一螺母和第二螺母,其中,所述第一螺母配置在所述基板之上,并且所述第二螺母配置在所述基板和所述气体喷射器盖之间。
7.根据权利要求6所述的半导体制造装置,其中,所述第一螺母可操作以调整所述气体喷射器盖和所述气体喷射器之间的距离;并且所述第二螺母可操作以调整所述气体喷射器盖和所述气体喷射器之间的紧固力。
8.根据权利要求6所述的半导体制造装置,其中:
所述气体喷射器盖包括在所述气体喷射器盖的中心周围等距分布成圆形的多个覆盖螺纹孔;
所述基板包括在所述基板的中心周围等距分布成圆形的多个基底螺纹孔;以及
多个紧固件,被配置为具有每个均穿过所述基底螺纹孔的一个和所述覆盖螺纹孔的一个的相应的螺栓。
9.一种半导体制造装置,包括:
处理室;
衬底台,配置在所述处理室中并且可操作以固定和旋转半导体晶圆;
气体喷射器,附接至所述处理室并且设计为将化学物质喷射至所述处理室;
O形环,提供至所述气体喷射器;
窗口,附接至所述气体喷射器并且与所述O形环直接接触;
气体喷射器盖,设置在所述窗口上;以及
可调式紧固器件,与所述气体喷射器集成并且设计为将所述窗口和所述气体喷射器盖固定至具有可调高度的所述气体喷射器。
10.一种用于半导体制造的方法,所述方法包括:
提供半导体装置,所述半导体装置还包括
处理室;
衬底台,配置在所述处理室中并且可操作以固定和旋转半导体晶圆;
气体喷射器,附接至所述处理室并且设计为将化学物质喷射至所述处理室;
O形环,配置为至所述气体喷射器;
窗口,附接至所述气体喷射器并且与所述O形环直接接触;
气体喷射器盖,设置在所述窗口上;以及
可调式紧固器件,与所述气体喷射器集成并且设计为将所述窗口和所述气体喷射器盖固定至具有可调高度的所述气体喷射器,其中,所述可调式紧固器件包括配置在所述气体喷射器盖上的基板;以及将所述气体喷射器盖紧固至所述气体喷射器的多个紧固件,其中,每个所述紧固件均包括螺栓、套装在所述螺栓上的第一螺母和第二螺母,其中,所述第一螺母配置在所述基板之上,并且所述第二螺母配置在所述基板和所述气体喷射器盖之间;
调整所述可调式紧固器件将所述窗口固定至具有可调高度的所述气体喷射器;以及
通过所述半导体装置对所述半导体晶圆实施蚀刻工艺。
CN201810307831.1A 2017-09-29 2018-04-08 半导体制造装置和用于半导体制造的方法 Active CN109585331B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565325P 2017-09-29 2017-09-29
US62/565,325 2017-09-29
US15/864,807 2018-01-08
US15/864,807 US11670490B2 (en) 2017-09-29 2018-01-08 Integrated circuit fabrication system with adjustable gas injector

Publications (2)

Publication Number Publication Date
CN109585331A true CN109585331A (zh) 2019-04-05
CN109585331B CN109585331B (zh) 2020-11-20

Family

ID=65898110

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810307831.1A Active CN109585331B (zh) 2017-09-29 2018-04-08 半导体制造装置和用于半导体制造的方法

Country Status (4)

Country Link
US (2) US11670490B2 (zh)
KR (1) KR102247953B1 (zh)
CN (1) CN109585331B (zh)
TW (1) TWI662618B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
KR102342124B1 (ko) * 2019-02-14 2021-12-22 주식회사 히타치하이테크 반도체 제조 장치

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3877131A (en) * 1973-05-17 1975-04-15 Aei Semiconductors Ltd Pressure mounting assemblies
US6493375B1 (en) * 2000-02-22 2002-12-10 Tuilaser Ag Adjustable mounting unit for an optical element of a gas laser
CN1639831A (zh) * 2001-10-15 2005-07-13 兰姆研究公司 可调谐的多区气体喷射系统
CN101529997A (zh) * 2006-10-06 2009-09-09 朗姆研究公司 利用具有改良的光学访问的双区域气体注射器来访问工艺室的方法和设备
CN106373850A (zh) * 2015-07-22 2017-02-01 东京毅力科创株式会社 等离子体处理装置
CN106415123A (zh) * 2014-05-09 2017-02-15 肖特股份有限公司 具有模制于其上的光学元件的光导
CN106601580A (zh) * 2015-10-19 2017-04-26 北京北方微电子基地设备工艺研究中心有限责任公司 进气机构及反应腔室
CN106653658A (zh) * 2016-12-26 2017-05-10 武汉华星光电技术有限公司 一种应用于湿法设备的盖板

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2890351A (en) * 1956-03-06 1959-06-09 Stewart R Tongret Adjustable housing for radiation devices
US3519491A (en) * 1968-06-27 1970-07-07 Penn Controls Thermocouple positioning and mounting means
US3707452A (en) * 1970-01-22 1972-12-26 Ibm Elongated electrode and target arrangement for an re sputtering apparatus and method of sputtering
US3886474A (en) * 1973-04-30 1975-05-27 Coherent Radiation Gas laser having an integral optical resonator with external stabilizing means
US3987699A (en) * 1974-11-14 1976-10-26 Popenoe Charles H Opti-mechanical displacement microindicator
US4478701A (en) * 1983-12-30 1984-10-23 Rca Corporation Target support adjusting fixture
EP0166028A3 (de) * 1984-06-25 1987-04-22 Siemens Aktiengesellschaft Justiereinrichtung für einen Reflektorspiegel eines Laserresonators
US4686685A (en) * 1985-06-06 1987-08-11 Laser Corporation Of America Gas laser having thermally stable optical mount
JP2512137Y2 (ja) * 1986-03-28 1996-09-25 日本電気株式会社 ガスレ−ザ発振器
DE3707672A1 (de) * 1987-03-10 1988-09-22 Sitesa Sa Epitaxieanlage
US4809862A (en) * 1987-03-13 1989-03-07 Canty Thomas M Fuseview laminate safety sight glass
JPH01243779A (ja) * 1988-03-25 1989-09-28 Ricoh Co Ltd デジタル複写機
US5556204A (en) * 1990-07-02 1996-09-17 Hitachi, Ltd. Method and apparatus for detecting the temperature of a sample
US5129994A (en) * 1991-04-23 1992-07-14 Applied Materials, Inc. Method and apparatus to inhibit obstruction of optical transmission through semiconductor etch process chamber viewport
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5141125A (en) * 1991-08-12 1992-08-25 J. M. Canty Associates, Inc. Quickport closure device
US5354413A (en) * 1993-03-18 1994-10-11 Advanced Micro Devices, Inc. Electrode position controller for a semiconductor etching device
US5759424A (en) * 1994-03-24 1998-06-02 Hitachi, Ltd. Plasma processing apparatus and processing method
US5855677A (en) * 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5869802A (en) * 1995-12-21 1999-02-09 Plasmaquest, Inc. Plasma producing structure
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5985092A (en) * 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US6005249A (en) * 1997-03-18 1999-12-21 Smithsonian Environmental Research Center Cosine corrected optical pathway of a spectral radiometer
JP2953426B2 (ja) * 1997-05-01 1999-09-27 日本電気株式会社 Lsi製造用プロセス装置
US6157106A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Magnetically-levitated rotor system for an RTP chamber
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6226453B1 (en) * 1997-09-16 2001-05-01 Applied Materials, Inc. Temperature probe with fiber optic core
US6079874A (en) * 1998-02-05 2000-06-27 Applied Materials, Inc. Temperature probes for measuring substrate temperature
US6183130B1 (en) * 1998-02-20 2001-02-06 Applied Materials, Inc. Apparatus for substrate temperature measurement using a reflecting cavity and detector
KR100292053B1 (ko) * 1998-03-30 2001-11-30 김영환 반도체제조용식각장치의엔드포인트윈도우
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6080241A (en) * 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
IT1312150B1 (it) * 1999-03-25 2002-04-09 Lpe Spa Perfezionata camera di reazione per reattore epitassiale
US6267545B1 (en) * 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6349270B1 (en) * 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
US6146242A (en) * 1999-06-11 2000-11-14 Strasbaugh, Inc. Optical view port for chemical mechanical planarization endpoint detection
US6263542B1 (en) * 1999-06-22 2001-07-24 Lam Research Corporation Tolerance resistant and vacuum compliant door hinge with open-assist feature
JP3709552B2 (ja) * 1999-09-03 2005-10-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6246175B1 (en) * 1999-10-25 2001-06-12 National Science Council Large area microwave plasma generator
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6306246B1 (en) * 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
KR100545034B1 (ko) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
US6804284B1 (en) * 2000-02-22 2004-10-12 Tuilaser Ag Optical element holding and extraction device
WO2001076326A1 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
KR100336524B1 (ko) * 2000-08-07 2002-05-11 윤종용 반도체 제조용 화학기상증착 장치의 뷰우포트
JP2002202192A (ja) * 2000-10-24 2002-07-19 Tokyo Electron Ltd 温度測定方法、熱処理装置及び方法、コンピュータプログラム、並びに、放射温度計
US7227624B2 (en) * 2001-07-24 2007-06-05 Tokyo Electron Limited Method and apparatus for monitoring the condition of plasma equipment
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
US6843075B2 (en) * 2002-04-23 2005-01-18 Johns Manville International, Inc. Method for controlling process variables and an optical temperature sensor assembly
US20040020439A1 (en) * 2002-07-30 2004-02-05 Chin-Jen Chen Process chamber window assembly
WO2004026096A2 (en) * 2002-09-19 2004-04-01 Tokyo Electron Limited Viewing window cleaning apparatus
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
TWI238680B (en) * 2002-09-30 2005-08-21 Tokyo Electron Ltd Plasma processing system and method
CN100481308C (zh) * 2002-09-30 2009-04-22 东京毅力科创株式会社 采用具有等离子体处理系统的光学系统的装置和方法
WO2004040335A2 (en) * 2002-10-28 2004-05-13 Metron Systems, Inc. High precision optical imaging systems and related systems
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
JP4855625B2 (ja) * 2002-12-27 2012-01-18 東京エレクトロン株式会社 プラズマ処理装置の観測窓およびプラズマ処理装置
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US6762415B1 (en) * 2003-04-18 2004-07-13 Imago Scientific Instruments Corporation Vacuum chamber with recessed viewing tube and imaging device situated therein
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US20040237888A1 (en) * 2003-05-30 2004-12-02 General Electric Company Optical monitoring system for plasma enhanced chemical vapor deposition
US7033518B2 (en) * 2003-06-24 2006-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for processing multi-layer films
US7604701B2 (en) * 2003-07-14 2009-10-20 Tokyo Electron Limited Method and apparatus for removing external components from a process chamber without compromising process vacuum
JP3886953B2 (ja) * 2003-10-22 2007-02-28 株式会社東芝 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
KR100500474B1 (ko) * 2003-10-29 2005-07-12 삼성전자주식회사 식각 설비용 반응 종료점 검출기
US7090177B2 (en) * 2003-11-19 2006-08-15 Eastman Kodak Company Device for optics alignment and mounting
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7645342B2 (en) * 2004-11-15 2010-01-12 Cree, Inc. Restricted radiated heating assembly for high temperature processing
JP4386360B2 (ja) * 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
US7275861B2 (en) * 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
US7439521B2 (en) * 2005-02-18 2008-10-21 Veeco Instruments, Inc. Ion source with removable anode assembly
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
JP2007165512A (ja) * 2005-12-13 2007-06-28 Hitachi High-Technologies Corp プラズマ処理装置
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP4430042B2 (ja) * 2006-06-07 2010-03-10 住友重機械工業株式会社 クライオポンプおよび半導体製造装置
US20070286688A1 (en) * 2006-06-08 2007-12-13 Bradley David A Retaining wall having modular panels
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20090016048A1 (en) * 2007-03-14 2009-01-15 Travis Industries, Inc. Torch lamp systems, flame lamp assemblies, and lamps with swirling flames
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
JP5357037B2 (ja) * 2007-03-23 2013-12-04 パナソニック株式会社 プラズマドーピング装置及び方法
US7863520B2 (en) * 2007-08-14 2011-01-04 Varian Semiconductor Equipment Associates, Inc. Interfacing two insulation parts in high voltage environment
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US8547085B2 (en) * 2008-07-07 2013-10-01 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
WO2010004836A1 (ja) * 2008-07-09 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
EP2169385A1 (en) * 2008-09-24 2010-03-31 Siemens Aktiengesellschaft An optical measuring head for a duct gas monitoring system
US8054558B2 (en) * 2009-02-11 2011-11-08 Omniprobe, Inc. Multiple magnification optical system with single objective lens
US8992722B2 (en) * 2009-09-01 2015-03-31 Lam Research Corporation Direct drive arrangement to control confinement rings positioning and methods thereof
US9539710B2 (en) * 2009-12-02 2017-01-10 JPP Marine, LLC Self-aligning plug removal device and method therefor
US8875369B2 (en) * 2009-12-02 2014-11-04 Darlene Heimmer Self-aligning plug removal and installation system and method
US8189198B2 (en) * 2009-12-15 2012-05-29 Primestar Solar, Inc. Active viewport detection assembly for substrate detection in a vapor detection system
US9151646B2 (en) * 2011-12-21 2015-10-06 Deka Products Limited Partnership System, method, and apparatus for monitoring, regulating, or controlling fluid flow
US8828182B2 (en) * 2010-02-12 2014-09-09 Applied Materials, Inc. Process chamber gas flow improvements
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US20120000301A1 (en) * 2010-07-01 2012-01-05 Primestar Solar Apparatus and method for isolating a viewport
TWI419617B (zh) * 2010-11-05 2013-12-11 Ind Tech Res Inst 電漿製程視窗元件與電漿設備的製程觀測裝置
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9490106B2 (en) * 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5377587B2 (ja) * 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
WO2013078434A1 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
GB201121818D0 (en) * 2011-12-19 2012-02-01 Certification Information Ltd Apparatus and method
US9372486B2 (en) * 2011-12-21 2016-06-21 Deka Products Limited Partnership System, method, and apparatus for monitoring, regulating, or controlling fluid flow
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
WO2013176179A1 (ja) * 2012-05-22 2013-11-28 積水化学工業株式会社 シート状シール材及び積層シート状シール材
WO2013176144A1 (ja) * 2012-05-25 2013-11-28 東京エレクトロン株式会社 プラズマ処理装置、及びプラズマ処理方法
KR102002042B1 (ko) * 2012-05-29 2019-07-19 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9085824B2 (en) * 2012-06-22 2015-07-21 Veeco Instruments, Inc. Control of stray radiation in a CVD chamber
US10541183B2 (en) * 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
KR20140094848A (ko) * 2013-01-23 2014-07-31 한국남부발전 주식회사 비용접식 배관 핏업용 지그 및 비용접식 배관 핏업용 지그 사용 방법
US9217869B2 (en) * 2013-02-05 2015-12-22 Fluke Corporation Viewing window assembly for single-sided installation
US20140263179A1 (en) * 2013-03-15 2014-09-18 Lam Research Corporation Tuning system and method for plasma-based substrate processing systems
KR102108361B1 (ko) * 2013-06-24 2020-05-11 삼성디스플레이 주식회사 증착률 모니터링 장치, 이를 구비하는 유기층 증착 장치, 증착률 모니터링 방법, 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US9885493B2 (en) * 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
JP6542245B2 (ja) * 2014-02-14 2019-07-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 射出アセンブリ付きの上方ドーム
US10269599B2 (en) * 2014-06-20 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing apparatus
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
TWI640039B (zh) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 端點增強器系統及在晶圓蝕刻製程中用於光學端點偵測之方法
GB2528976B (en) * 2014-08-08 2016-12-28 Servomex Group Ltd Alignment device and transmitter/receiver system with two angular degrees of freedom
DE102014115282B4 (de) * 2014-10-20 2019-10-02 VON ARDENNE Asset GmbH & Co. KG Sockelanordnung
KR20160058490A (ko) * 2014-11-17 2016-05-25 삼성전자주식회사 뷰 포트(view port)를 포함하는 플라즈마 공정 설비
US9991099B2 (en) * 2014-12-05 2018-06-05 Seagate Technology Llc Filament holder for hot cathode PECVD source
US9455674B2 (en) * 2014-12-18 2016-09-27 General Electric Company Tube amplifier assembly having a power tube and a capacitor assembly
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US9953887B2 (en) * 2015-04-16 2018-04-24 Lam Research Corporation Measuring individual layer thickness during multi-layer deposition semiconductor processing
US10395918B2 (en) * 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
KR102660983B1 (ko) * 2015-06-18 2024-04-24 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스들 동안 두께 측정을 위한 인-시츄 계측 방법
KR20180075702A (ko) * 2015-11-23 2018-07-04 어플라이드 머티어리얼스, 인코포레이티드 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
CN206428325U (zh) 2017-02-14 2017-08-22 济南力冠电子科技有限公司 真空腔观察窗
US10763143B2 (en) * 2017-08-18 2020-09-01 Applied Materials, Inc. Processing tool having a monitoring device
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3877131A (en) * 1973-05-17 1975-04-15 Aei Semiconductors Ltd Pressure mounting assemblies
US6493375B1 (en) * 2000-02-22 2002-12-10 Tuilaser Ag Adjustable mounting unit for an optical element of a gas laser
CN1639831A (zh) * 2001-10-15 2005-07-13 兰姆研究公司 可调谐的多区气体喷射系统
CN101529997A (zh) * 2006-10-06 2009-09-09 朗姆研究公司 利用具有改良的光学访问的双区域气体注射器来访问工艺室的方法和设备
CN106415123A (zh) * 2014-05-09 2017-02-15 肖特股份有限公司 具有模制于其上的光学元件的光导
CN106373850A (zh) * 2015-07-22 2017-02-01 东京毅力科创株式会社 等离子体处理装置
CN106601580A (zh) * 2015-10-19 2017-04-26 北京北方微电子基地设备工艺研究中心有限责任公司 进气机构及反应腔室
CN106653658A (zh) * 2016-12-26 2017-05-10 武汉华星光电技术有限公司 一种应用于湿法设备的盖板

Also Published As

Publication number Publication date
US20190103295A1 (en) 2019-04-04
KR102247953B1 (ko) 2021-05-07
TWI662618B (zh) 2019-06-11
US20200135510A1 (en) 2020-04-30
US11670490B2 (en) 2023-06-06
TW201916161A (zh) 2019-04-16
CN109585331B (zh) 2020-11-20
US11043388B2 (en) 2021-06-22
KR20190038273A (ko) 2019-04-08

Similar Documents

Publication Publication Date Title
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
TWI740140B (zh) 電漿設備、半導體製造方法、以及氣體輸送源
KR20090071002A (ko) 플라즈마 발생부를 구비하는 원자층 증착 장치
JP4833778B2 (ja) 基板処理装置及び基板処理方法
US11289308B2 (en) Apparatus and method for processing substrate and method of manufacturing semiconductor device using the method
US20210151300A1 (en) Substrate processing apparatus and semiconductor device manufacturing method using the same
CN109585331A (zh) 具有可调式气体喷射器的集成电路制造系统
CN114402416A (zh) 用于衬底处理的氧化分布调节
US20180358209A1 (en) Plasma processing apparatus
US9431221B2 (en) Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
US20230197415A1 (en) Process gas supplying unit and substrate treating apparatus including the same
US20240060173A1 (en) System for processing semiconductor device, method for forming semiconductor device, and method for forming protective structure on chamber
US20230144685A1 (en) Apparatus for processing substrate
US20240071783A1 (en) Apparatus for treating substrate
KR102675485B1 (ko) 수평 표면들 상에 SiN의 선택적인 증착
KR20230071622A (ko) 기판 처리 장치
KR20220079642A (ko) 반도체 기판 베벨 세정
KR20230017610A (ko) 기판 처리 장치
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템
KR20200028490A (ko) 수평 표면들 상에 SiN의 선택적인 증착
KR20020092121A (ko) 반도체 소자 제조용 식각 설비
KR20050068021A (ko) 로봇 암의 진공 제어 장치 및 이를 갖는 애싱 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant