CN108538786B - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN108538786B
CN108538786B CN201710943288.XA CN201710943288A CN108538786B CN 108538786 B CN108538786 B CN 108538786B CN 201710943288 A CN201710943288 A CN 201710943288A CN 108538786 B CN108538786 B CN 108538786B
Authority
CN
China
Prior art keywords
active
active fin
fin
fins
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710943288.XA
Other languages
English (en)
Other versions
CN108538786A (zh
Inventor
宣敏喆
金明哲
申暻燮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108538786A publication Critical patent/CN108538786A/zh
Application granted granted Critical
Publication of CN108538786B publication Critical patent/CN108538786B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/50Adding; Subtracting
    • G06F7/505Adding; Subtracting in bit-parallel fashion, i.e. having a different digit-handling circuit for each denomination
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/12Sizing, e.g. of transistors or gates
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Computational Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Optimization (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Element Separation (AREA)
  • Bipolar Transistors (AREA)

Abstract

本发明提供一种半导体装置及其制造方法。在制造半导体装置的方法中,在衬底上形成第一有源鳍片至第三有源鳍片。第一有源鳍片至第三有源鳍片中的每一个在第一方向上延伸,且第二有源鳍片、第一有源鳍片及第三有源鳍片在第二方向上以此顺序设置,第二方向与第一方向交叉。使用第一蚀刻掩模来移除第二有源鳍片,第一蚀刻掩模覆盖第一有源鳍片及第三有源鳍片。使用第二蚀刻掩模来移除第三有源鳍片,第二蚀刻掩模覆盖第一有源鳍片及衬底的被移除第二有源鳍片的一部分。在第一有源鳍片上形成第一栅极结构。在与第一栅极结构相邻的第一有源鳍片的一部分上形成第一源极/漏极层。本发明的半导体装置可具有高的集成度及小的面积。

Description

半导体装置及其制造方法
相关申请案的交叉引用
本申请主张在2017年3月6日在韩国知识产权局(Korean Intellectual PropertyOffice,KIPO)提出申请的韩国专利申请第10-2017-0028130号的优先权,所述韩国专利申请的内容全文并入本案供参考。
技术领域
本发明的示例性实施例涉及一种半导体装置及其制造方法。更具体来说,本发明的示例性实施例涉及一种包括鳍片型场效晶体管(fin Field Effect Transistor,finFET)的半导体装置及其制造方法。
背景技术
鳍片型场效晶体管可包括有源鳍片、位于有源鳍片上的栅极结构、以及与栅极结构相邻的位于有源鳍片的一部分上的源极/漏极层。源极/漏极层可通过选择性外延生长(selective epitaxial growth,SEG)工艺形成。由于图案化处理的困难性,源极/漏极层可形成在并非单个有源鳍片上,而是形成在多个有源鳍片上,且因此包括鳍片型场效晶体管的半导体装置的面积可能会增大。
发明内容
根据示例性实施例,提供一种制造半导体装置的方法。在所述方法中,可在衬底上形成第一有源鳍片至第三有源鳍片。所述第一有源鳍片至所述第三有源鳍片中的每一个可在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述第二有源鳍片、所述第一有源鳍片及所述第三有源鳍片可在第二方向上以此顺序设置,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。可使用第一蚀刻掩模来移除所述第二有源鳍片,所述第一蚀刻掩模覆盖所述第一有源鳍片及所述第三有源鳍片。可使用第二蚀刻掩模来移除所述第三有源鳍片,所述第二蚀刻掩模覆盖所述第一有源鳍片及所述衬底的被移除所述第二有源鳍片的一部分。可在所述第一有源鳍片上形成第一栅极结构。可在与所述第一栅极结构相邻的所述第一有源鳍片的一部分上形成第一源极/漏极层。
根据示例性实施例,提供一种制造半导体装置的方法。在所述方法中,可在衬底上形成多个有源鳍片。所述多个有源鳍片中的每一个可在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述多个有源鳍片可在第二方向上彼此间隔开给定的距离,所述第二方向实质上平行于所述衬底的所述上表面且实质上垂直于所述第一方向。可使用第一蚀刻掩模来蚀刻有源鳍片,所述第一蚀刻掩模覆盖所述多个有源鳍片中的第一有源鳍片及第二有源鳍片。所述第二有源鳍片可与第一有源鳍片的第一侧相邻。可使用第二蚀刻掩模来蚀刻所述有源鳍片,所述第二蚀刻掩模覆盖所述第一有源鳍片、所述第二有源鳍片的一部分及所述衬底的的一部分,所述衬底的所述一部分与可与第一有源鳍片的第二侧相邻的且使用所述第一蚀刻掩模移除的所述多个有源鳍片中的至少一个有源鳍片对应。可形成第一栅极结构及第二栅极结构。所述第一栅极结构可在所述第一有源鳍片上在所述第二方向上延伸,且所述第二栅极结构可在所述第一有源鳍片及所述第二有源鳍片的所述一部分上在所述第二方向上延伸。可形成第一源极/漏极层及第二源极/漏极层。第一源极/漏极层可位于与所述第一栅极结构相邻的第一有源鳍片的一部分上,且第二源极/漏极层可位于与所述第二栅极结构及所述第二有源鳍片的所述一部分相邻的所述第一有源鳍片的一部分上。
根据示例性实施例,提供一种制造半导体装置的方法。在所述方法中,可在衬底上形成第一有源鳍片至第三有源鳍片。所述第一有源鳍片至所述第三有源鳍片中的每一个可在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述第二有源鳍片、所述第一有源鳍片及所述第三有源鳍片可在第二方向上以此顺序设置,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。所述第二有源鳍片可包括设置在所述第二方向上的第一部分及第二部分,且所述第一部分与所述第二部分彼此接触。可使用第一蚀刻掩模来移除所述第三有源鳍片,所述第一蚀刻掩模覆盖所述第一有源鳍片及所述第二有源鳍片。可使用第二蚀刻掩模来局部地移除所述第二有源鳍片,所述第二蚀刻掩模仅暴露出与所述第二有源鳍片的所述第二部分相邻的所述第二有源鳍片的所述第一部分的一部分。可在所述第一有源鳍片及所述第二有源鳍片上形成栅极结构。可在与所述栅极结构相邻的所述第一有源鳍片的一部分及所述第二有源鳍片的一部分上形成第一源极/漏极层。
根据示例性实施例,提供一种制造半导体装置的方法。在所述方法中,可在衬底上形成有源鳍片。所述有源鳍片中的每一个可在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述有源鳍片可设置在第二方向上,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。可使用蚀刻掩模来蚀刻所述有源鳍片,所述蚀刻掩模覆盖所述有源鳍片中的第一有源鳍片。在蚀刻所述有源鳍片之后,所述有源鳍片中的与所述第一有源鳍片相邻的第二有源鳍片的下部部分的至少一部分可得以留存。可移除所述第二有源鳍片的所述下部部分的所述至少一部分的表面。可在所述第一有源鳍片上形成栅极结构。可在与所述栅极结构相邻的所述第一有源鳍片的一部分上形成源极/漏极层。
根据示例性实施例,提供一种制造半导体装置的方法。在所述方法中,可在衬底上形成第一有源鳍片至第三有源鳍片。所述第一有源鳍片至所述第三有源鳍片中的每一个可在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述第二有源鳍片、所述第一有源鳍片及所述第三有源鳍片可在第二方向上以此顺序设置,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。所述第一有源鳍片与所述第三有源鳍片之间的第一距离可大于所述第一有源鳍片与所述第二有源鳍片之间的第二距离。所述第二有源鳍片可包括设置在所述第二方向上的第一部分及第二部分,且所述第一部分与所述第二部分彼此接触。可使用蚀刻掩模来移除所述第三有源鳍片及所述第二有源鳍片的所述第一部分,所述蚀刻掩模覆盖所述第一有源鳍片及所述第二有源鳍片的所述第二部分。从位于所述第一有源鳍片与所述第三有源鳍片之间的所述蚀刻掩模的第一边缘到所述第一有源鳍片的第一侧壁的距离可大于从位于所述第一有源鳍片与所述第二有源鳍片之间的所述蚀刻掩模的第二边缘到所述第一有源鳍片的第二侧壁的距离。可在所述第一有源鳍片上形成第一栅极结构。可在与所述第一栅极结构相邻的所述第一有源鳍片的一部分上形成第一源极/漏极层。
根据示例性实施例,提供一种制造半导体装置的方法。在所述方法中,可在衬底上形成有源鳍片。所述有源鳍片中的每一个可在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述有源鳍片可设置在第二方向上,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。可使用蚀刻掩模来蚀刻所述有源鳍片,所述蚀刻掩模覆盖所述有源鳍片中的第一有源鳍片。所述第一有源鳍片与和所述第一有源鳍片的第一侧壁相邻的第二有源鳍片之间的距离可大于所述有源鳍片中的其他有源鳍片之间的距离。从位于所述第一有源鳍片与所述第二有源鳍片之间的所述蚀刻掩模的第一边缘到所述第一有源鳍片的第一侧壁的第一距离可大于从所述蚀刻掩模的所述第一边缘到与所述第一有源鳍片的侧壁相对的所述第二有源鳍片的侧壁的第二距离。可在所述第一有源鳍片上形成栅极结构。可在与所述栅极结构相邻的所述第一有源鳍片的一部分上形成源极/漏极层。
根据示例性实施例,提供一种制造半导体装置的方法。在所述方法中,可设计所述半导体装置的电路。可通过对所述电路进行时序分析来确定关键路径。可根据所述所设计的电路在衬底上制作所述半导体装置。包含在所述关键路径中的第一晶体管中的每一个可共同形成在多个有源鳍片上,而不包含在所述关键路径中的第二晶体管中的至少一个可形成在所述多个有源鳍片中的仅一个有源鳍片上。
根据示例性实施例,提供一种半导体装置。所述半导体装置可包括第一有源鳍片、第二有源鳍片、第一栅极结构、第二栅极结构、第一源极/漏极层及第二源极/漏极层。所述第一有源鳍片可在衬底上在第一方向上延伸到第一长度,且可包括分别位于所述第一有源鳍片的第一侧壁的下部部分及第二侧壁的下部部分处的第一突出部及第二突出部。所述第一方向可实质上平行于所述衬底的上表面。所述第二有源鳍片可在所述第一方向上延伸到比所述第一长度小的第二长度,且可在第二方向上与所述第一有源鳍片间隔开,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。所述第一栅极结构可在所述第一有源鳍片上在所述第二方向上延伸。所述第二栅极结构可在所述第一有源鳍片及所述第二有源鳍片上在所述第二方向上延伸。所述第一源极/漏极层可位于与所述第一栅极结构相邻的所述第一有源鳍片的一部分上。所述第二源极/漏极层可位于与所述第二栅极结构相邻的所述第一有源鳍片的一部分及所述第二有源鳍片的一部分上。位于与所述第二有源鳍片相对的所述第一有源鳍片的所述第一侧壁的所述下部部分处的所述第一突出部可在所述第一方向上在所述第一有源鳍片的不在所述第二方向上与所述第二有源鳍片相邻的一部分处延伸。位于所述第一有源鳍片的所述第二侧壁的所述下部部分处的所述第二突出部可在所述第一方向上延伸到所述第一长度。
根据示例性实施例,提供一种半导体装置。所述半导体装置可包括第一有源鳍片、第二有源鳍片、第一栅极结构及第一源极/漏极层。所述第一有源鳍片可在衬底上在第一方向上延伸到第一长度。所述第一方向可实质上平行于所述衬底的上表面。所述第二有源鳍片可在所述第一方向上延伸到比所述第一长度小的第二长度,且可在第二方向上与所述第一有源鳍片间隔开,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。所述第二有源鳍片在所述第一方向上的端部可具有阶梯形状。所述第一栅极结构可在所述第一有源鳍片上在所述第二方向上延伸。所述第一源极/漏极层可位于与所述第一栅极结构相邻的所述第一有源鳍片的一部分上。
根据示例性实施例,提供一种半导体装置。所述半导体装置可包括第一有源鳍片、第二有源鳍片及第三有源鳍片、第一栅极结构、第二栅极结构、第一源极/漏极层、第二源极/漏极层、第一接触插塞及第二接触插塞。所述第一有源鳍片可在衬底上在第一方向上延伸。所述第一方向可实质上平行于所述衬底的上表面。所述第二有源鳍片与所述第三有源鳍片可在第二方向上彼此间隔开,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。所述第二有源鳍片及所述第三有源鳍片中的每一个可在第一方向上延伸,且所述第二有源鳍片及所述第三有源鳍片可与所述第一有源鳍片间隔开实质上相同的距离。所述第一栅极结构可在所述第一有源鳍片及所述第三有源鳍片上在所述第二方向上延伸。所述第二栅极结构可在所述第一有源鳍片及所述第二有源鳍片上在所述第二方向上延伸。所述第一源极/漏极层可位于与所述第一栅极结构相邻的所述第一有源鳍片的一部分及所述第三有源鳍片的一部分二者上。所述第二源极/层可位于与所述第二栅极结构相邻的所述第一有源鳍片的一部分及所述第二有源鳍片的一部分二者上。所述第一接触插塞可在所述第一有源鳍片上仅位于第一源极/漏极层的一部分上。所述第二接触插塞可位于所述第二源极/漏极层上。
根据示例性实施例,提供一种半导体装置。所述半导体装置可包括第一有源鳍片、第二有源鳍片、第一栅极结构、第二栅极结构、第一源极/漏极层及第二源极/漏极层。所述第一有源鳍片可在衬底上在第一方向上延伸到第一长度且具有分别位于所述第一有源鳍片的相对的第一侧壁与第二侧壁的下部部分处的第一突出部及第二突出部。所述第一方向可实质上平行于所述衬底的上表面。所述第二有源鳍片可在所述第一方向上延伸到比所述第一长度小的第二长度,且可在第二方向上与所述第一有源鳍片间隔开,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。所述第一栅极结构可在所述第一有源鳍片上在所述第二方向上延伸。所述第二栅极结构可在所述第一有源鳍片及所述第二有源鳍片上在所述第二方向上延伸。所述第一源极/漏极层可位于与所述第一栅极结构相邻的所述第一有源鳍片的一部分上。所述第二源极/漏极层可位于与所述第二栅极结构相邻的所述第一有源鳍片的一部分及所述第二有源鳍片的一部分二者上。所述第一有源鳍片的所述第一侧壁与所述第一突出部的顶表面之间在所述第二方向上的第一距离可不同于所述第一有源鳍片的所述第二侧壁与所述第二突出部的顶表面之间的第二距离。
根据示例性实施例,提供一种半导体装置。所述半导体装置可包括第一有源鳍片、第一栅极结构及第一源极/漏极层。所述第一有源鳍片可在衬底上在第一方向上延伸且具有位于所述第一有源鳍片的第一侧壁及第二侧壁的各自的下部部分处的第一突出部及第二突出部。所述第一方向可实质上平行于所述衬底的上表面。所述第一侧壁与所述第二侧壁可在第二方向上相对,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉。所述第一栅极结构可在所述第一有源鳍片上在所述第二方向上延伸。所述第一源极/漏极层可位于与所述第一栅极结构相邻的所述第一有源鳍片的一部分上。所述第一有源鳍片的所述第一侧壁与所述第一突出部的顶表面之间在所述第二方向上的第一距离可不同于所述第一有源鳍片的所述第二侧壁与所述第二突出部的顶表面之间的第二距离。
在制造半导体装置的方法中,可通过执行两次蚀刻工艺来移除多个有源鳍片而只留下一个有源鳍片,所述两次蚀刻工艺中的每一次可使用覆盖所述一个有源鳍片及与所述一个有源鳍片相邻的另一个有源鳍片的蚀刻掩模。因此,可在所述一个有源鳍片上形成晶体管。只有不包含在所述关键路径中的晶体管可形成在所述一个有源鳍片上,以使得可防止电路的特性劣化。
因此,半导体装置可具有高的集成度及小的面积,且甚至不会出现半导体装置的特性劣化。
附图说明
图1至图35是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
图36至图38是说明根据示例性实施例的制造半导体装置的方法的平面图。
图39是说明根据示例性实施例的制造半导体装置的方法的电路图。
图40至图41是说明根据比较例的制造半导体装置的方法的平面图及剖视图。
图42至图48是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
图49至图51是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
图52至图58是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
图59至图63是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
图64至图67是说明根据示例性实施例的形成有源鳍片的方法的剖视图。
图68至图73是说明根据示例性实施例的制造半导体装置的方法的剖视图。
图74至图75是说明根据示例性实施例的制造半导体装置的方法的剖视图。
图76至图83是说明根据示例性实施例的制造半导体装置的方法的剖视图。
具体实施方式
将参照所附附图详细说明本发明概念的示例性实施例。
图1至图35是说明根据示例性实施例的制造半导体装置的方法的各阶段的平面图及剖视图。图1、图6、图8、图10、图12、图14、图16、图19、图23、图27及图31是平面图,且图2至图5、图7、图9、图11、图13、图15、图17至图18、图20至图22、图24至图26、图28至图30及图32至图35是剖视图。
图2至图5、图7、图9、图11、图13、图15、图17、图20、图28及图32分别是沿对应的平面图所示线A-A'截取的剖视图,图18是沿对应的平面图所示线B-B'截取的剖视图,图21、图24、图29及图33分别是沿对应的平面图所示线C-C'截取的剖视图,图22、图25、图30及图34分别是沿对应的平面图所示线D-D'截取的剖视图,且图26及图35分别是沿对应的平面图所示线E-E'截取的剖视图。
参照图1及图2,可在衬底100上依序形成第一层110、第二层120、第三层130、牺牲图案140及第一间隔壁150。
衬底100可包含以下材料:半导体材料,例如硅、锗、硅锗等;或III-V族半导体化合物,例如GaP、GaAs、GaSb等。在一些实施例中,衬底100可为绝缘体上硅(silicon-on-insulator,SOI)衬底或绝缘体上锗(germanium-on-insulator,GOI)衬底。
第一层110可包含例如氧化硅等氧化物,第二层120可包含例如等离子体增强氮氧化硅(plasma enhanced silicon oxynitride,PE-SiON)等氮化物,第三层130可包含例如多晶硅,牺牲图案140可包含例如旋涂式硬掩模(spin-on-hardmask,SOH)、非晶碳层(amorphous carbon layer,ACL)等,且第一间隔壁150可包含例如原子层沉积氧化物(atomic layer deposition oxide,ALD-oxide)。然而,本发明概念可并非仅限于此。上述层可包含相对于彼此具有合适的蚀刻选择性的其他材料,且在上述层之间可进一步形成有其他层。
在示例性实施例中,牺牲图案140可在第一方向上延伸,所述第一方向实质上平行于衬底100的上表面,且多个牺牲图案140可在第二方向上形成为彼此间隔开给定的距离,所述第二方向可实质上平行于衬底100的上表面且与第一方向交叉。在示例性实施例中,第一方向与第二方向彼此成直角地交叉,也就是说,第一方向与第二方向可实质上彼此垂直。可通过以下步骤来形成牺牲图案140:在第三层130上形成牺牲层;在牺牲层上形成光刻胶图案;以及使用光刻胶图案作为蚀刻掩模来蚀刻牺牲层。可通过对光刻胶层执行曝光工艺及显影工艺来形成光刻胶图案,且可使用例如氟化氩(argon fluoride,ArF)作为光源来执行曝光工艺。
在示例性实施例中,可通过以下步骤来形成第一间隔壁150:通过原子层沉积(atomic layer deposition,ALD)工艺在第三层130上共形地形成第一间隔壁层来覆盖牺牲图案140;以及对第一间隔壁层进行各向异性蚀刻。因此,可将第一间隔壁150形成在牺牲图案140中的每一个的相对侧壁中的每一个上,且第一间隔壁150可在第一方向上延伸。
在示例性实施例中,可对牺牲图案140中的每一个在第二方向上的宽度及第一间隔壁层在第三方向(其可实质上垂直于衬底100的上表面)上的厚度进行调整,以使得在第二方向上位于牺牲图案140中的邻近的牺牲图案140之间的第一间隔壁150中的相对的第一间隔壁之间的距离可实质上等于牺牲图案140中的每一个在第二方向上的宽度。举例来说,第一间隔壁层的厚度可实质上相同于牺牲图案140中的邻近的牺牲图案140之间的间距减去牺牲图案140中的每一个的宽度所得到的值的一半,第一间隔壁层的厚度可实质上等于第一间隔壁150在第二方向上的宽度。在示例性实施例中,牺牲图案140中的每一个的宽度可为第一间隔壁150的宽度的五倍;然而,本发明概念可并非仅限于此。
参照图3,在移除牺牲图案140之后,可使用第一间隔壁150作为蚀刻掩模来对第三层130进行蚀刻以形成第三图案135。
可通过湿蚀刻工艺或干蚀刻工艺来移除牺牲图案140,且在蚀刻工艺期间可局部地或完全地移除第一间隔壁150。在示例性实施例中,第三图案135可以与第一间隔壁150的宽度实质上相等的宽度在第一方向上延伸,且可以给定的距离(例如,第三图案135的宽度的五倍)在第二方向上形成多个第三图案135。
参照图4,可在第二层120上共形地形成第四层160以覆盖第三图案135,可在第四层160上形成第五层以充分填充位于第四层160上的第一凹陷部,且可将第五层平坦化直到可暴露出第四层160的顶表面为止。因此,在第三图案135中的邻近的第三图案135之间可形成第五图案175。
第四层160可包含例如氧化物,且第五层可包括与第三图案135的材料实质上相同的材料(例如,旋涂式硬掩模、非晶碳层等)。
在示例性实施例中,第四层160可具有实质上等于第三图案135中的每一个的宽度的两倍的厚度,且因此第五图案175在第二方向上的宽度可实质上等于第三图案135中的每一个的宽度。
参照图5,可使用第五图案175作为蚀刻掩模来对第四层160进行蚀刻以在第五图案175之下形成第四图案165。
因此,可形成包括依序堆叠的第四图案165及第五图案175的图案结构185。
在示例性实施例中,第三图案135及图案结构185中的每一个可在第一方向上延伸,且第三图案135及图案结构185可在第二方向上交替地且重复地形成为彼此间隔开给定的距离。在示例性实施例中,第三图案135与图案结构185之间的距离可实质上等于第三图案135的宽度的两倍;然而,本发明概念可并非仅限于此。
参照图6及图7,可使用第三图案135及图案结构185作为蚀刻掩模来对第二层120及第一层110进行蚀刻,且因此可形成包括依序堆叠的第一图案115与第二图案125的第一蚀刻掩模195。
可使用第一蚀刻掩模195来对衬底100的上部部分进行蚀刻以形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216。在下文中,可将未形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216的衬底100的下部部分简称为衬底100,这样便可与第一有源鳍片212、第二有源鳍片214及第三有源鳍片216区分开。
在示例性实施例中,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个可在第一方向上延伸且在第二方向上具有给定的宽度,且可将第一有源鳍片212、第二有源鳍片214及第三有源鳍片216在第二方向上设置成彼此间隔开给定的距离(例如,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度的两倍)。
在示例性实施例中,可例如以以下顺序来在第二方向上设置第一有源鳍片212、第二有源鳍片214及第三有源鳍片216:第二有源鳍片214、第一有源鳍片212、第三有源鳍片216、第三有源鳍片216、第一有源鳍片212、第二有源鳍片214。然而,本发明概念可并非仅限于此。举例来说,可将一或多个第二有源鳍片214在第二方向上设置成彼此相邻,及/或可将一或多个第三有源鳍片216在第二方向上设置成彼此相邻,然而,可并非将多个第一有源鳍片212在第二方向上连续地设置成彼此相邻。也就是说,可将多个第一有源鳍片212设置在第二方向上,然而,可在第二方向上在一个第一有源鳍片212的相对两侧中的每一侧处设置并非另一个第一有源鳍片212而是第二有源鳍片214或第三有源鳍片216。因此,可在第二方向上在第一有源鳍片212中的相邻的第一有源鳍片212之间插置有第二有源鳍片214或第三有源鳍片216。
由于蚀刻工艺的特性,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个可能不具有完全垂直的侧壁(即,相对于衬底100的上表面具有90度角度的侧壁)。也就是说,位于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216之间的第二凹陷部200可具有从第二凹陷部的顶部朝第二凹陷部的底部逐渐减小的宽度。
参照图8及图9,可在衬底100上形成第一绝缘层220以覆盖第一有源鳍片212、第二有源鳍片214及第三有源鳍片216各自的侧壁以及第一蚀刻掩模195的侧壁,且可通过使用第二蚀刻掩模252进行的蚀刻工艺来对第一有源鳍片212、第二有源鳍片214及第三有源鳍片216进行蚀刻以形成第三凹陷部260。
第一绝缘层220可包含例如氧化硅等氧化物。
在示例性实施例中,第二蚀刻掩模252可包括依序堆叠的第六图案232及第七图案242。第六图案232可包含例如非晶碳层、旋涂式硬掩模等,且第七图案242可包含例如等离子体增强氮氧化硅等氮化物。
在示例性实施例中,第二蚀刻掩模252可覆盖第一有源鳍片212及第三有源鳍片216以及第二有源鳍片214的一部分,且进一步覆盖与第二蚀刻掩模252相邻的第一绝缘层220的部分。因此,可移除第二有源鳍片214的一部分以及与第二有源鳍片214相邻的第一绝缘层220的一部分。在示例性实施例中,第二有源鳍片214可包括第一部分及第二部分,所述第一部分与第二部分可设置在第一方向上且彼此接触。第二蚀刻掩模252可覆盖第二有源鳍片214的第二部分,且因此可在蚀刻工艺中移除仅第二有源鳍片214的第一部分。
在示例性实施例中,第二蚀刻掩模252可不仅覆盖第一有源鳍片212,而且也覆盖第三有源鳍片216,且因此可在第二方向上具有可不小的第一宽度W1(例如,大于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度的三倍)。因此,第二蚀刻掩模252的长宽比(即,第一厚度T1相对于第一宽度W1的比率)可不大,且因此第二蚀刻掩模252可不塌陷。
可不相对于衬底的上表面为90度来执行蚀刻工艺,而是可相对于衬底100的上表面为α的角度执行所述蚀刻工艺。然而,第二蚀刻掩模252的第一宽度W1可不会太小,举例来说,可大于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度的三倍,且因此α可具有约85度到约90度的高的值。因此,在蚀刻工艺中,可仅使与第一有源鳍片212相邻的第二有源鳍片214的非常小的下部侧向部分留存,所述下部侧向部分可具有例如小于第一有源鳍片212的高度的五分之一的高度。可将第二有源鳍片214的留存的下部侧向部分连接到与第二有源鳍片214相邻的第一有源鳍片212的下部侧向部分,且在下文中,第二有源鳍片214的留存的下部侧向部分可被称为第一有源鳍片212的第一突出部。
甚至可对衬底100的上部部分执行蚀刻工艺,直到可充分地移除第二有源鳍片214为止,且因此第三凹陷部260的底部可低于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216的底部。
参照图10及图11,在移除第二蚀刻掩模252之后,可在衬底100上形成第二绝缘层270以填充第三凹陷部260,且可通过使用第三蚀刻掩模254进行的蚀刻工艺来对第一蚀刻掩模195以及第一有源鳍片212、第二有源鳍片214及第三有源鳍片216进行蚀刻以形成第四凹陷部280。
第二绝缘层270可包含例如氧化硅等氧化物,且因此可在衬底100上与留存的第一绝缘层220进行合并。
在示例性实施例中,第三蚀刻掩模254可包括依序堆叠的第八图案234及第九图案244,第八图案234及第九图案244可分别包含与第六图案232及第七图案242的材料相同的材料。
在示例性实施例中,第三蚀刻掩模254可覆盖第一有源鳍片212、第二有源鳍片214的第二部分以及衬底100的已被移除第二有源鳍片214的第一部分的一部分,且进一步覆盖第二绝缘层270的与第一有源鳍片212、第二有源鳍片214的第二部分以及衬底100的已被移除第二有源鳍片214的第一部分的一部分相邻的部分。因此,在所述蚀刻工艺中,可移除第三有源鳍片216以及与第三有源鳍片216相邻的第二绝缘层270的一部分。
在示例性实施例中,第三蚀刻掩模254可不仅覆盖第一有源鳍片212,而且也覆盖第二有源鳍片214的第二部分以及衬底100的已被移除第二有源鳍片214的第一部分的所述一部分,且因此可具有可不小的第二宽度W2(例如,大于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度的三倍)。因此,第三蚀刻掩模254的长宽比(即,第二厚度T2相对于第二宽度W2的比率)可不大,且因此第三蚀刻掩模254可不塌陷。
可相对于衬底100的上表面以α的角度(其可接近约90度)来执行所述蚀刻工艺。因此,在所述蚀刻工艺中,可仅使与第一有源鳍片212相邻的第三有源鳍片216的非常小的下部侧向部分留存,所述下部侧向部分可具有例如小于第一有源鳍片212的高度的五分之一的高度。可将第三有源鳍片216的留存的下部侧向部分连接到与第三有源鳍片216相邻的第一有源鳍片212的下部侧向部分,且在下文中,第三有源鳍片216的留存的下部侧向部分可被称为第一有源鳍片212的第二突出部。
甚至可对衬底100的上部部分执行蚀刻工艺,直到可充分地移除第三有源鳍片216为止,且因此第四凹陷部280的底部可低于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216的底部。
使用第二蚀刻掩模252及第三蚀刻掩模254进行的蚀刻工艺的顺序可加以改变。
也就是说,参照图12及图13,可首先执行参照图10及图11说明的蚀刻工艺。
参照图14及图15,可稍后执行参照图8及图9说明的蚀刻工艺。
参照图16至图18,在移除第三蚀刻掩模254之后,可形成第三绝缘层290以填充第四凹陷部280,且可移除第三绝缘层290的上部部分直至暴露出第一有源鳍片212的上部部分及第二有源鳍片214的上部部分为止。
第三绝缘层290可包含例如氧化硅等氧化物,且因此可在衬底100上与留存的第二绝缘层270进行合并。在下文中,也可将第三绝缘层290称为隔离图案290。
可利用第一下部有源图案212b及第一上部有源图案212a来界定第一有源鳍片212,第一下部有源图案212b的侧壁可被隔离图案290覆盖,第一上部有源图案212a则不被隔离图案290覆盖而是从隔离图案290突出。可利用第二下部有源图案214b及第二上部有源图案214a来界定第二有源鳍片214,第二下部有源图案214b的侧壁可被隔离图案290覆盖,第二上部有源图案214a则不被隔离图案290覆盖而是从隔离图案290突出。可将第二上部有源图案214a及第二下部有源图案214b设置在仅第二有源鳍片214的第二部分处。
在示例性实施例中,第一有源鳍片212可在第一方向上延伸到第一长度L1,且第二有源鳍片214可在第二方向上与第一有源鳍片212间隔开且可在第一方向上延伸到比第一长度L1小的第二长度L2。
参照图19至图21,可在衬底100上形成虚设栅极结构。
在实施例中,可通过以下步骤来形成虚设栅极结构:在第一有源鳍片212及第二有源鳍片214以及隔离图案290上依序形成虚设栅极绝缘层、虚设栅极电极层及虚设栅极掩模层;将虚设栅极掩模层图案化以形成虚设栅极掩模320;以及使用虚设栅极掩模320作为蚀刻掩模来依序蚀刻虚设栅极电极层及虚设栅极绝缘层。
因此,虚设栅极结构可包括依序堆叠在衬底100上的虚设栅极绝缘图案300、虚设栅极电极310及虚设栅极掩模320。
可通过化学气相沉积(chemical vapor deposition,CVD)工艺、原子层沉积(atomic layer deposition,ALD)工艺等来形成虚设栅极绝缘层。作为另外一种选择,可通过热氧化工艺来形成虚设栅极绝缘层,且在这种情形中,可仅在第一有源鳍片212及第二有源鳍片214上形成虚设栅极绝缘层。
在示例性实施例中,虚设栅极结构可在第二方向上延伸,且可在第一方向上形成多个虚设栅极结构。虚设栅极结构可包括第一虚设栅极结构及第二虚设栅极结构,所述第一虚设栅极结构在第一有源鳍片212上以及与第一有源鳍片212相邻的隔离图案290的一部分上延伸,所述第二虚设栅极结构在第一有源鳍片212及第二有源鳍片214上以及与第一有源鳍片212及第二有源鳍片214相邻的隔离图案290的部分上延伸。
可在虚设栅极结构的侧壁上形成栅极间隔壁330。
可通过以下步骤来形成栅极间隔壁330:在第一有源鳍片212及第二有源鳍片214上以及隔离图案290上形成栅极间隔壁层以覆盖虚设栅极结构;以及对栅极间隔壁层进行各向异性蚀刻。可在第一方向上在虚设栅极结构的相对的侧壁中的每一个上形成栅极间隔壁330,且也可在第二方向上在第一上部有源图案212a及第二上部有源图案214a的相对的侧壁中的每一个上形成鳍片间隔壁340。
参照图23至图26,可对与第一虚设栅极结构及第二虚设栅极结构相邻的第一有源鳍片212的上部部分及第二有源鳍片214的上部部分进行蚀刻以形成第五凹陷部350,且可形成第一源极/漏极层362及第二源极/漏极层364来填充第五凹陷部350。
在实施例中,可使用第一虚设栅极结构及第二虚设栅极结构以及栅极间隔壁330作为蚀刻掩模来执行干蚀刻工艺以移除第一有源鳍片212的上部部分及第二有源鳍片214的上部部分,以使得可形成第五凹陷部350。当形成第五凹陷部350时,可移除与第一有源鳍片212及第二有源鳍片214相邻的鳍片间隔壁340的大部分,然而,鳍片间隔壁340的下部部分可留存。
在图24中,仅移除第一有源鳍片212中的第一有源图案212a的上部部分以及第二有源鳍片214中的第二有源图案214a的上部部分,且因此,第五凹陷部350的底部高于第一下部有源图案212b的上表面及第二下部有源图案214b的上表面,然而,本发明概念可并非仅限于此。
在示例性实施例中,可通过使用由第五凹陷部350暴露出的第一有源鳍片212的上表面及第二有源鳍片214的上表面作为晶种进行选择性外延生长(selective epitaxialgrowth,SEG)工艺来形成第一源极/漏极层362及第二源极/漏极层364。
在示例性实施例中,在执行选择性外延生长工艺时,可形成单晶硅锗层来用作第一源极/漏极层362及第二源极/漏极层364中的每一个。在实施例中,也可使用p型杂质来源气体形成掺杂有p型杂质的单晶硅锗层来用作第一源极/漏极层362及第二源极/漏极层364中的每一个。第一源极/漏极层362及第二源极/漏极层364中的每一个可用作正沟道金属氧化物半导体(positive-channel metal oxide semiconductor,PMOS)晶体管的源极/漏极区。
第一源极/漏极层362及第二源极/漏极层364中的每一个可在垂直方向上及在水平方向上生长以填充第五凹陷部350,且可与栅极间隔壁330的侧壁接触。
在示例性实施例中,生长在各自的第一有源鳍片212上及第二有源鳍片214上的源极/漏极层可彼此合并,所述合并的源极/漏极层可被称为第二源极/漏极层364,在下文中,可将仅生长在第一有源鳍片212上的源极/漏极层称为第一源极/漏极层362。
在实施例中,已经示出了用作PMOS晶体管的源极/漏极区的第一源极/漏极层362及第二源极/漏极层364,然而,本发明概念可并非仅限于此,且第一源极/漏极层362及第二源极/漏极层364中的每一个还可用作负沟道金属氧化物半导体(negative-channel metaloxide semiconductor,NMOS)晶体管的源极/漏极区。
在实施例中,可形成单晶碳化硅层或单晶硅层来作为第一源极/漏极层362及第二源极/漏极层364中的每一个。在选择性外延生长工艺中,也可使用n型杂质来源气体来形成掺杂有n型杂质的单晶碳化硅层或掺杂有n型杂质的单晶硅层。
参照图27至图30,可在衬底100上形成第四绝缘层370以覆盖虚设栅极结构、栅极间隔壁330、鳍片间隔壁340以及第一源极/漏极层362及第二源极/漏极层364,且可将第四绝缘层370平坦化直到可暴露出虚设栅极结构的虚设栅极电极310为止。
在平坦化工艺中,也可移除虚设栅极掩模320,且可移除栅极间隔壁330的上表面。可不利用第四绝缘层370填充第二源极/漏极层364与隔离图案290之间的空间,且因此可形成气隙(375,参照图35)。
可移除暴露出的虚设栅极电极310以及位于虚设栅极电极310之下的虚设栅极绝缘图案300以形成第一开口,所述第一开口暴露出栅极间隔壁330的内侧壁及第一有源鳍片212的上表面及第二有源鳍片214的上表面,且可形成栅极结构420来填充第一开口。
可例如通过以下工艺来形成栅极结构420。
在对由第一开口暴露出的第一有源鳍片212的暴露的上表面及第二有源鳍片214的暴露的上表面执行热氧化工艺之后,可在界面图案380、隔离图案290、栅极间隔壁330及第四绝缘层370上依序形成栅极绝缘层及功函数控制层,且可在功函数控制层上形成栅极电极层以充分填充第一开口的其余部分。
可通过化学气相沉积工艺或原子层沉积工艺来形成界面图案380。在实施例中,可在位于隔离图案290的上表面上的第一有源鳍片212的上表面及第二有源鳍片214的上表面上以及在栅极间隔壁330的内侧壁上形成界面图案380。
可将栅极电极层、功函数控制层及栅极绝缘层平坦化直到可暴露出第四绝缘层370的上表面以形成栅极绝缘图案390及功函数控制图案400以及栅极电极410,栅极绝缘图案390及功函数控制图案400依序堆叠在界面图案380的上表面上及隔离图案290的上表面上以及栅极间隔壁330的内侧壁上,栅极电极410填充功函数控制图案400上的第一开口的其余部分。
依序堆叠的界面图案380、栅极绝缘图案390、功函数控制图案400及栅极电极410可形成栅极结构420,且栅极结构420与第一源极/漏极层362以及第二源极/漏极层364中的每一个一起可根据第一源极/漏极层362及第二源极/漏极层364的导电类型来形成PMOS晶体管或NMOS晶体管。
在下文中,在第一有源鳍片212上在第二方向上延伸的栅极结构420中的一个或多个可被称为第一栅极结构,且在第一有源鳍片212及第二有源鳍片214上在第二方向上延伸的栅极结构420中的一个或多个可被称为第二栅极结构。
参照图31至图35,可在第四绝缘层370、栅极结构420及栅极间隔壁330上依序形成顶盖层430及绝缘隔层440,且可穿过第四绝缘层370、顶盖层430及绝缘隔层440形成第一接触插塞462及第二接触插塞464以分别接触第一源极/漏极层362的上表面及第二源极/漏极层364的上表面。
可例如通过以下工艺来形成第一接触插塞462及第二接触插塞464。
可穿过第四绝缘层370、顶盖层430及绝缘隔层440形成第二开口及第三开口以分别暴露出第一源极/漏极层362的上表面及第二源极/漏极层364的上表面,可在第一源极/漏极层362及第二源极/漏极成364各自的暴露出的上表面、第二开口及第三开口的侧壁以及绝缘隔层440的上表面上形成第一金属层,且可对所述第一金属层执行热处理工艺以在第一源极/漏极层362及第二源极/漏极层364中的每一个上形成金属硅化物图案450。
可在金属硅化物图案450的上表面、第二开口的侧壁及第三开口的侧壁、以及绝缘隔层440的上表面上形成第一障壁层,可在第一障壁层上形成第二金属层以填充第二开口及第三开口,且可将第二金属层及第一障壁层平坦化直到可暴露出绝缘隔层440的上表面。
因此,可在金属硅化物图案450上形成第一接触插塞462及第二接触插塞464以分别填充第二开口及第三开口。第一接触插塞462及第二接触插塞464中的每一个可包括第二金属图案及覆盖第二金属图案的下表面及侧壁的第一障壁图案。
第一接触插塞462中的每一个可在第二方向上延伸到给定的长度,且可在第一方向上形成多个第一接触插塞462。第二接触插塞464中的每一个可在第二方向上延伸到给定的长度,且可在第一方向上形成多个第二接触插塞464。
可进一步形成与栅极结构420的上表面接触的第三接触插塞以及电连接到第一接触插塞462及第二接触插塞464以及第三接触插塞的配线来完成半导体装置的制造。
在制造半导体装置的方法中,在通过四重图案化技术(quadruple patterningtechnology,QPT)方法形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216之后,可分别使用第二蚀刻掩模252及第三蚀刻掩模254来执行蚀刻工艺以移除第二有源鳍片214的一部分以及第三有源鳍片216的一部分。第二蚀刻掩模252及第三蚀刻掩模254中的每一个可不具有大的长宽比,且因此在蚀刻工艺期间可能会塌陷。第二蚀刻掩模252及第三蚀刻掩模254中的每一个可不具有小的宽度,且因此可使用第二蚀刻掩模252及第三蚀刻掩模254来蚀刻各个下伏层以包括相对于衬底100的上表面具有接近直角角度的侧壁。因此,可容易地移除与第一有源鳍片212相邻的第二有源鳍片214及第三有源鳍片216,且第一有源鳍片212的第一突出部及第二突出部可具有实质上小的大小。
在半导体装置中,第一有源鳍片212可在第一方向上延伸到第一长度L1,而第二有源鳍片214可在第一方向上延伸到比第一长度L1小的第二长度L2。第一有源鳍片212可包括与第二有源鳍片214相对的第一侧壁以及与第一侧壁相对的第二侧壁。连接到第一有源鳍片212的第一侧壁的下部部分的第一突出部可在第一方向上仅在第一有源鳍片212的不在第二方向上与第二有源鳍片214相邻的区域处延伸,而连接到第一有源鳍片212的第二侧壁的下部部分的第二突出部可在第一方向上延伸到第一长度L1。第二有源鳍片214可包括与第一有源鳍片212相对的第三侧壁以及与第三侧壁相对的第四侧壁。第二有源鳍片214可包括连接到第四侧壁的下部部分的第三突出部。
各第一有源鳍片212之间的距离可大于第一有源鳍片212与第二有源鳍片214之间的距离。在衬底100上局部地覆盖第一有源鳍片212的侧壁及第二有源鳍片214的侧壁的隔离图案290的下表面可在所述下表面的位于各第一有源鳍片212之间的部分处比在所述下表面的位于第一有源鳍片212与第二有源鳍片214之间的部分处低。
半导体装置可包括位于第一有源鳍片212上的第一栅极结构以及位于与第一栅极结构相邻的第一有源鳍片212的一部分上的第一源极/漏极层362,且可在一个有源鳍片上形成包括第一栅极结构以及第一源极/漏极层362的晶体管。所述半导体装置可进一步包括位于第一有源鳍片212及第二有源鳍片214上的第二栅极结构以及位于与第二栅极结构相邻的第一有源鳍片212的一部分以及与第二栅极结构相邻的第二有源鳍片214的一部分上的第二源极/漏极层364,且可在多个有源鳍片上形成包括第二栅极结构以及第二源极/漏极层364的晶体管。
在实施例中,所述半导体装置可包括位于所述一个有源鳍片上的晶体管以及位于所述多个有源鳍片上的晶体管。因此,半导体装置的集成度可得到提高,且半导体装置的总面积可减小。
可如下所述对参照图1至图35所说明的制造半导体装置的方法的概念加以扩展。
图36至图38是说明根据示例性实施例的制造半导体装置的方法的平面图。
参照图36,可将第五有源鳍片14、第四有源鳍片12及第六有源鳍片16在第二方向上以此顺序形成为彼此间隔开,第五有源鳍片14、第四有源鳍片12及第六有源鳍片16中的每一个可在衬底100上在第一方向上延伸。
参照图37,可使用第四蚀刻掩模22执行蚀刻工艺以对第五有源鳍片14、第四有源鳍片12及第六有源鳍片16进行蚀刻。
具体来说,第四蚀刻掩模22可覆盖第四有源鳍片12及第六有源鳍片16,且因此可在蚀刻工艺中移除第五有源鳍片14。第四蚀刻掩模22可覆盖第四有源鳍片12及第六有源鳍片16,且因此可具有可不太小的第三宽度W3(例如,大于第四有源鳍片12、第五有源鳍片14及第六有源鳍片16中的每一个的宽度的三倍)。因此,第四蚀刻掩模22可具有可不大的长宽比,且因此可不会在蚀刻工艺中发生塌陷。可以相对于衬底100的上表面为约85度至约90度的角度执行蚀刻工艺,且因此可仅使与第四有源鳍片12相邻的第五有源鳍片14的下部侧向部分的很小一部分留存来作为第四有源鳍片12的突出部分,所述下部侧向部分的所述很小一部分可具有例如小于第四有源鳍片12的高度的五分之一的高度。
参照图38,可使用第五蚀刻掩模24执行蚀刻工艺来对第五有源鳍片14、第四有源鳍片12及第六有源鳍片16进行蚀刻。
在实施例中,第五蚀刻掩模24可覆盖第四有源鳍片12、以及衬底100的被移除第五有源鳍片14的一部分,且因此在所述蚀刻工艺中可移除第六有源鳍片16。第五蚀刻掩模24可覆盖第四有源鳍片12以及衬底100的被移除第五有源鳍片14的一部分,且因此可具有可不太小的第四宽度W4(例如,大于第四有源鳍片12、第五有源鳍片14及第六有源鳍片16中的每一个的宽度的三倍)。因此,第五蚀刻掩模24可具有可不大的长宽比,且因此可不会在蚀刻工艺中发生塌陷。可以相对于衬底100的上表面为约85度至约90度的角度执行蚀刻工艺,且因此可仅使与第四有源鳍片12相邻的第六有源鳍片16的下部侧向部分的很小一部分留存来作为第四有源鳍片12的突出部分,所述下部侧向部分的所述很小一部分可具有例如小于第四有源鳍片12的高度的五分之一的高度。
如上所说明,移除多个有源鳍片而只留下一个有源鳍片可通过执行两次蚀刻工艺来容易地实现,所述两次蚀刻工艺中的每一次可使用覆盖所述一个有源鳍片及与所述一个有源鳍片相邻的另一个有源鳍片的蚀刻掩模。然而,仅位于一个有源鳍片上的可通过选择性外延生长工艺形成的源极/漏极层所可具有的特性分布可大于位于多个有源鳍片上的可通过选择性外延生长工艺共同形成的源极/漏极层的特性分布,且因此包括分别仅位于所述一个有源鳍片上的源极/漏极层的电路系统的特性可劣化。
参照图39说明防止或减小电路系统的劣化的方法。
图39是说明根据示例性实施例的制造半导体装置的方法的电路图。
参照图39,在设计半导体装置的电路之后,可通过对电路进行时序分析来确定关键路径。
在示例性实施例中,可通过电子设计自动化(electronic design automation,EDA)工具来执行时序分析。关键路径可为在电路的输入与输出之间具有最大延迟的路径。也就是说,如果在特定元件的速度发生延迟时整个电路的速度受到影响,则可将包括所述特定元件的路径确定为关键路径。
在图40中,由阴影线(hatching)示出关键路径中所包括的元件。
可根据所设计的电路在衬底上形成半导体装置。具体来说,包含在关键路径中的第一晶体管中的每一个可形成在多个有源鳍片上,而不包含在关键路径中的第二晶体管中的至少一个可形成在仅一个有源鳍片上。
也就是说,第一晶体管中的每一个的源极/漏极层可共同形成在所述多个有源鳍片上,而第二晶体管中的所述至少一个的源极/漏极层可形成在仅所述一个有源鳍片上。
因此,在半导体装置中,可在一个有源鳍片上形成可不影响电路操作的元件中的每一元件。因此,可防止或减少电路的劣化,且半导体装置可具有高的集成度及小的面积。
图40至图41是分别说明根据比较例的制造半导体装置的方法的平面图及剖视图。
参照图40及图41,可使用第六蚀刻掩模256来执行蚀刻工艺以对第一蚀刻掩模195以及第一有源鳍片212、第二有源鳍片214及第三有源鳍片216进行蚀刻以使得可形成第六凹陷部500。
第六蚀刻掩模256可包括依序堆叠的第十图案236及第十一图案246。第十图案236及第十一图案246可分别包含与第六图案232及第七图案242的材料实质上相同的材料。
第六蚀刻掩模256可覆盖第一有源鳍片212以及第二有源鳍片214的一部分,且进一步覆盖与第一有源鳍片212以及第二有源鳍片214的一部分相邻的第一绝缘层的部分。因此,在蚀刻工艺中可移除第二有源鳍片214的一部分、第三有源鳍片216以及与第二有源鳍片214的一部分及第三有源鳍片216相邻的第一绝缘层220的部分。
第六蚀刻掩模256可在衬底100的至少一个区域中仅覆盖第一有源鳍片,且因此在第二方向上可具有可为小的第五宽度W5。因此,第六蚀刻掩模256的长宽比(即,第五厚度T5相对于第五宽度W5的比率)可为大的,且因此在蚀刻工艺中可发生塌陷。
即使第六蚀刻掩模256在蚀刻工艺中可不塌陷,也无法以相对于衬底100的上表面接近90度的角度β来执行蚀刻工艺。第六蚀刻掩模256可具有小的第五宽度W5,且因此β可小于约85度。
因此,在蚀刻工艺中,具有相当高的顶表面的突出部可留存在第二有源鳍片214的下侧部分处或与第一有源鳍片212相邻的第三有源鳍片216处,且突出部的顶表面可具有大于第一有源鳍片212的顶表面的高度的一半的高度。在这种情形中,突出部可不被隔离图案290覆盖,且因此在后续的源极/漏极层形成过程期间甚至可在突出部上形成源极/漏极层,从而会引起例如电短路等问题。
图42至图48是说明根据示例性实施例的制造半导体装置的方法的各阶段的平面图及剖视图。
具体来说,图42及图46是平面图,且图43至图45以及图47至图48是剖视图。图43、图45及图47是分别沿对应的平面图所示的线F-F'截取的剖视图,且图44及图48是分别沿对应的平面图所示的线G-G'截取的剖视图。
参照图42至图44,在执行与参照图1至图11所说明的工艺实质上相同或相似的工艺之后,可移除第三蚀刻掩模254,且可在衬底100上形成第五绝缘层510以填充第四凹陷部280。
可使用第七蚀刻掩模258来执行蚀刻工艺以对第一蚀刻掩模195以及第二有源鳍片214的一部分进行蚀刻以使得可形成第七凹陷部520。
在示例性实施例中,第七蚀刻掩模258可包括依序堆叠的第十二图案238及第十三图案248。第十二图案238及第十三图案248可分别包含与第六图案232及第七图案242的材料实质上相同的材料。
在示例性实施例中,第七蚀刻掩模258可在第一方向上暴露出第二有源鳍片214的第二部分的端部(即,第二有源鳍片214的第二部分的与第二有源鳍片214的已移除的第一部分相邻的端部),因此在蚀刻工艺中可移除第二有源鳍片214的第二部分的暴露的端部。
在示例性实施例中,可通过蚀刻工艺来局部地移除第二有源鳍片214的第二部分的端部,且可使第二有源鳍片214的第二部分的端部局部地留存。也就是说,第二有源鳍片214在第一方向上的端部可具有阶梯形状。
然而,本发明概念可并非仅限于此。举例来说,参照图45,可通过蚀刻工艺完全移除第二有源鳍片214的第二部分的端部。
通过额外的蚀刻工艺,可移除可能未通过前面的蚀刻工艺充分移除的第二有源鳍片214的端部。
参照图46至图48,可执行与参照图16至图35所说明的工艺实质上相同或相似的工艺来完成半导体装置的制造。
然而,第二源极/漏极层364可不形成于在前面的蚀刻工艺中至少局部地移除的第二有源鳍片214的第二部分的端部上。
在半导体装置中,可充分移除第二有源鳍片214在第一方向上的留存的端部,且因此半导体装置可具有改善的特性。
图49至图51是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
具体来说,图49是平面图,图50是沿图49所示线F-F'截取的剖视图,且图51是沿图49所示线G-G'截取的剖视图。
参照图49至图51,在执行与参照图1至图11所说明的工艺实质上相同或相似的工艺之后,第三蚀刻掩模254可被移除,且可在衬底100上形成第五绝缘层510以填充第四凹陷部280。
可使用第八蚀刻掩模552来执行蚀刻工艺以对第一蚀刻掩模195以及第一有源鳍片212的一部分及第二有源鳍片214的一部分进行蚀刻以使得可形成第八凹陷部560。
在示例性实施例中,第八蚀刻掩模552可包括依序堆叠的第十四图案532及第十五图案542。第十四图案532及第十五图案542可分别包含与第六图案232及第七图案242的材料实质上相同的材料。
在示例性实施例中,第八蚀刻掩模552可在第一方向上暴露出第一有源鳍片212的中心部分以及第二有源鳍片214的第二部分的与第一有源鳍片212的中心部分相邻的端部,可通过蚀刻工艺移除所述中心部分及所述端部。
通过额外的蚀刻工艺,可移除未通过前面的蚀刻工艺充分移除的第二有源鳍片214的端部,如参照图42至图48所说明的方法一样。然而,在参照图42至图48所说明的方法中,可执行额外的蚀刻工艺来仅移除第二有源鳍片214的端部,而在参照图49至图51阐述的方法中,当根据第一有源鳍片212及第二有源鳍片214的原始布局来对第一有源鳍片212及第二有源鳍片214进行局部切割时,可在切割工艺中对第一有源鳍片212的切割部分及第二有源鳍片214的切割部分的位置进行控制,以使得在切割工艺中也可移除第二有源鳍片214的端部。
在半导体装置中,可充分移除第二有源鳍片214在第一方向上的端部,且因此半导体装置可具有改善的特性。
图52至图58是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
图52及图54是平面图,且图53以及图55至图58是剖视图。
图55是沿对应的平面图所示的线A-A'截取的剖视图,图56是沿对应的平面图所示的线D-D'截取的剖视图,图57是沿对应的平面图所示的线E-E'截取的剖视图,且图53及图58分别是沿对应的平面图所示的线H-H'截取的剖视图。
参照图52至图53,在执行与参照图1至图7所说明的工艺实质上相同或相似的工艺之后,可移除第二蚀刻掩模252,且可在衬底100上形成第六绝缘层570以填充第三凹陷部260。
可使用第九蚀刻掩模554来执行蚀刻工艺以对第一蚀刻掩模195以及第二有源鳍片214的一部分进行蚀刻,以使得可形成第九凹陷部580。
在示例性实施例中,第九蚀刻掩模554可包括依序堆叠的第十六图案534及第十七图案544。第十六图案534及第十七图案544可分别包含与第六图案232及第七图案242的材料实质上相同的材料。
在示例性实施例中,第九蚀刻掩模554可局部地暴露出与第二有源鳍片214的第二部分接触的第二有源鳍片214第一部分,所述第一部分可在蚀刻工艺中移除。
参照图54至图58,可执行与参照图16至图35所说明的工艺实质上相同或相似的工艺来完成半导体装置的制造。
在实施例中,可在第一有源鳍片212的在第二方向上与第二有源鳍片214的第一部分相邻的一部分上形成第三源极/漏极层366,且可在第二有源鳍片214的第一部分上形成第四源极/漏极层368以与第三源极/漏极层366合并。
可在第三源极/漏极层366上形成第四接触插塞466,然而,在第四源极/漏极层368上也可不形成接触插塞。
在半导体装置中,即使第二有源鳍片214的第一部分可不用作有源元件,在本实施例中,也可不完全移除第二有源鳍片214而是仅局部地移除第二有源鳍片214。然而,在第四源极/漏极层368上可不形成接触插塞,且因此可不对第四源极/漏极层368施加电信号。
图59至图63是说明根据示例性实施例的制造半导体装置的方法的平面图及剖视图。
图59是平面图,且图60至图63分别是沿图59所示的线A-A'截取的剖视图。
参照图59及图60,可执行与参照图40至图41所说明的工艺实质上相同或相似的工艺。
在实施例中,可使用第十蚀刻掩模556取代第六蚀刻掩模256来对第一蚀刻掩模195以及第一有源鳍片212、第二有源鳍片214及第三有源鳍片216进行蚀刻,以使得可形成第十凹陷部600。
第十蚀刻掩模556可包括依序堆叠的第十八图案536及第十九图案546。第十八图案536及第十九图案546可分别包含与第六图案232及第七图案242的材料实质上相同的材料。
第十蚀刻掩模556可覆盖第一有源鳍片212以及第二有源鳍片214的一部分,且进一步覆盖与第一有源鳍片212以及第二有源鳍片214的一部分相邻的第一绝缘层220的部分。因此,在蚀刻工艺中可移除第二有源鳍片214的一部分、第三有源鳍片216、以及与第二有源鳍片214的一部分及第三有源鳍片216相邻的第一绝缘层220的部分。
在示例性实施例中,第十蚀刻掩模556可在第二方向上具有比第六蚀刻掩模256的第五宽度W5大的第六宽度W6。即使第十蚀刻掩模556如第六蚀刻掩模256一样在衬底100的至少一个区域中仅覆盖第一有源鳍片212,位于第一有源鳍片212与第二有源鳍片214之间的第十蚀刻掩模556的第一边缘也可不位于第一有源鳍片212与第二有源鳍片214之间的空间的中心处,而是位于更靠近第二有源鳍片214的位置处,且位于第一有源鳍片212与第三有源鳍片216之间的第十蚀刻掩模556的第二边缘也可不位于第一有源鳍片212与第三有源鳍片216之间的空间的中心处,而是位于更靠近第三有源鳍片216的位置处。
因此,第十蚀刻掩模556的长宽比(即,第六厚度T6相对于第六宽度W6的比率)可不大,且因此第十蚀刻掩模556可不塌陷。
可以角度γ执行使用第十蚀刻掩模556进行的蚀刻工艺,由于第十蚀刻掩模556的第六宽度W6可不太小(例如,大于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度的三倍),因而角度γ可具有约85度到约90度的值。
在实施例中,第十蚀刻掩模556的第一边缘及第二边缘可分别靠近第二有源鳍片214及第三有源鳍片216。各自具有相当高的顶表面的突出部可留存在第二有源鳍片的下部侧向部分处或与第一有源鳍片212相邻的第三有源鳍片216处。所述突出部中的每一个的顶表面可具有比第一有源鳍片212的顶表面的高度的约一半大的高度。
然而,参照图61,在移除第十蚀刻掩模556之后,可对由第十凹陷部600暴露出的突出部及衬底100的上部部分执行氧化工艺。
因此,可将突出部的表面及衬底100的上部部分的表面转变成氧化物层105(例如,氧化硅层),且突出部中的每一个的顶表面可降低。
参照图62,在移除第十蚀刻掩模556之后,可根据实施例对由第十凹陷部600暴露出的突出部及衬底100的上部部分执行各向异性蚀刻工艺。
因此,可对突出部的表面及衬底100的上部部分的表面进行蚀刻,且突出部中的每一个的顶表面可降低。
各向异性蚀刻工艺可包括例如湿蚀刻工艺。
参照图63,可执行与参照图16至图18所说明的工艺实质上相同或相似的工艺。
可在衬底100上使氧化物层105与隔离图案290合并,且留存在第一有源鳍片212的下部侧壁处的突出部可具有可不太高的顶表面。
图64至图67是说明根据示例性实施例的形成有源鳍片的方法的剖视图。此方法可为参照图1至图7所说明的四重图案化技术方法的修改形式。
参照图64,可执行与参照图1及图2所说明的工艺实质上相同或相似的工艺。
在实施例中,牺牲图案140中的每一个的宽度可例如为第一间隔壁150的宽度的三倍,且在牺牲图案140中的邻近的牺牲图案140之间的相对的两个第一间隔壁150之间的距离也可为第一间隔壁150的宽度的三倍。
参照图65,可执行与参照图3所说明的工艺实质上相同或相似的工艺。
因此,第三图案135可在第一方向上延伸且具有与第一间隔壁150的宽度实质上相等的宽度,且可在第二方向上形成为彼此间隔开给定的距离(例如,第三图案135的宽度的三倍)。
参照图66,在移除第一间隔壁150之后,可在第三图案135的相对的侧壁中的每一个上形成第二间隔壁167。
在示例性实施例中,可通过以下步骤来形成第二间隔壁167:通过原子层沉积工艺在第二层120上共形地形成第二间隔壁层以覆盖第三图案135;以及对第二间隔壁层进行各向异性蚀刻。因此,可将第二间隔壁167形成在第三图案135的相对的侧壁中的每一个上,且第二间隔壁167可在第一方向上延伸。
在示例性实施例中,第二间隔壁167可具有与第三图案135的宽度实质上相等的宽度,且在第三图案135中的邻近的第三图案135之间彼此相对的第二间隔壁167可彼此间隔开第三图案135的宽度。
参照图67,在移除第三图案135之后,可执行与参照图5至图7所说明的工艺实质上相同或相似的工艺。
在实施例中,可使用第二间隔壁167作为蚀刻掩模来对第二层120及第一层110进行蚀刻以形成第一蚀刻掩模195,且可使用第一蚀刻掩模195对衬底100的上部部分进行蚀刻以形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216。
在示例性实施例中,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个可在第一方向上延伸且在第二方向上具有给定的宽度,且可将多个第一有源鳍片212、第二有源鳍片214及第三有源鳍片216形成为彼此间隔开给定的距离(例如,间隔开第一有源鳍片212、第二有源鳍片214及第三有源鳍片216的宽度)。
在参照图1至图7所说明四重图案化技术方法中,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216可彼此间隔开第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度的两倍,而在参照图64至图67所说明的四重图案化技术方法中,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216可彼此间隔开第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度。
即使根据参照图1至图7说明的四重图案化技术方法,也可对牺牲图案140的宽度、各牺牲图案140之间的距离、以及第四层160的厚度进行调整以使得第一有源鳍片212、第二有源鳍片214及第三有源鳍片216可被形成为彼此间隔开第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度。
图68至图73是说明根据示例性实施例的制造半导体装置的方法的各阶段的剖视图。此方法可包括与参照图64至图67所说明的工艺实质上相同或相似的工艺。
参照图68,可执行与参照图64所说明的工艺实质上相同或相似的工艺。
然而,牺牲图案140中的每一个的宽度可小于第一间隔壁150的宽度的三倍,且因此在牺牲图案140中的邻近的牺牲图案140之间的相对的两个第一间隔壁150之间的距离可根据第一间隔壁150的位置而小于或大于第一间隔壁150的宽度的三倍。
参照图69,可执行与参照图64及图65所说明的工艺实质上相同或相似的工艺。
因此,第三图案135可在第一方向上延伸且具有与第一间隔壁150的宽度实质上相等的第七宽度W7,且位于第三图案135的相对的侧壁中的每一个侧壁上的第二间隔壁167可在第一方向上延伸且具有与第三图案135的第七宽度W7实质上相等的宽度。然而,第三图案135中的邻近的第三图案135之间的相对的第二间隔壁167可彼此间隔开比第七宽度W7小的第一距离D1或者间隔开比第七宽度W7大的第二距离D2。
参照图70,可执行与参照图67所说明的工艺实质上相同或相似的工艺,且因此,可形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216以及第十一凹陷部702、第十二凹陷部704及第十三凹陷部706。
在示例性实施例中,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个可在第一方向上延伸且在第二方向上具有给定的宽度(即,第七宽度W7),然而,第一有源鳍片212、第二有源鳍片214及第三有源鳍片216可彼此间隔开变化的距离。第十一凹陷部702、第十二凹陷部704及第十三凹陷部706可具有彼此不同的宽度,即分别为第一距离D1、第七宽度W7及第二距离D2。因此,第一有源鳍片212与第二有源鳍片214可彼此间隔开第一距离D1,第一有源鳍片212与第三有源鳍片216可彼此间隔开第七宽度W7,且各第三有源鳍片216可彼此间隔开第二距离D2。
在示例性实施例中,第十一凹陷部702、第十二凹陷部704及第十三凹陷部706可具有与第十一凹陷部702、第十二凹陷部704及第十三凹陷部706的宽度成比例的深度。因此,第十三凹陷部706、第十二凹陷部704及第十一凹陷部702可具有以此顺序减小的深度。
参照图71,可执行与参照图8及图9所说明的工艺实质上相同或相似的工艺来局部地蚀刻第二有源鳍片214。
参照图72,可执行与参照图10及图11所说明的工艺实质上相同或相似的工艺来局部地蚀刻第三有源鳍片216。
然而,根据位于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216之间的第十一凹陷部702、第十二凹陷部704及第十三凹陷部706的深度,位于第一有源鳍片212的相应的第一侧壁与第二侧壁处的第一突出部的顶表面及第二突出部的顶表面可具有不同的高度。在示例性实施例中,位于与第二有源鳍片214相对的第一有源鳍片212的第一侧壁的下部部分处的第一突出部的顶表面可高于位于第一有源鳍片212的第二侧壁的下部部分处的第二突出部的顶表面。
参照图73,可执行与参照图16至图17所说明的工艺实质上相同或相似的工艺。
隔离图案290在所述隔离图案290的位于第一有源鳍片212之间的部分处的下表面可低于隔离图案290的在隔离图案290的位于第一有源鳍片212与第二有源鳍片214之间的部分处的下表面。
图74至图75是说明根据示例性实施例的制造半导体装置的方法的剖视图。此方法可包括与参照图68至图73所说明的工艺实质上相同或相似的工艺。
参照图74,可执行与参照图68至图70所说明的工艺实质上相同或相似的工艺,且因此,可形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216以及第十四凹陷部712及第十五凹陷部714。
第十四凹陷部712及第十五凹陷部714可具有彼此不同的宽度,即分别为第三距离D3及第四距离D4。因此,第一有源鳍片212与第二有源鳍片214可彼此间隔开第四距离D4,第一有源鳍片212与第三有源鳍片216可彼此间隔开第三距离D3,且各第三有源鳍片216可彼此间隔开第四距离D4。
在示例性实施例中,第十五凹陷部714可具有比第十四凹陷部712的深度大的深度。
参照图75,可执行与参照图71至图73所说明的工艺实质上相同或相似的工艺来对第二有源鳍片214的一部分及第三有源鳍片216的一部分进行蚀刻。
在示例性实施例中,位于第一有源鳍片212的相应的第一侧壁及第二侧壁处的第一突出部的顶表面及第二突出部的顶表面可具有彼此不同的高度。在示例性实施例中,位于与第二有源鳍片214相对的第一有源鳍片212的第一侧壁的下部部分处的第一突出部的顶表面可低于位于第一有源鳍片212的第二侧壁的下部部分处的第二突出部的顶表面。
在示例性实施例中,隔离图案290在隔离图案290的位于第一有源鳍片212之间的部分处的下表面可低于隔离图案290在隔离图案290的位于第一有源鳍片212与第二有源鳍片214之间的部分处的下表面。
图76至图83是说明根据示例性实施例的制造半导体装置的方法的剖视图。此方法可包括与参照图1至图35或图40至图41所说明的工艺实质上相同或相似的工艺。
参照图76及图77,可执行与参照图1至图2所说明的工艺实质上相同或相似的工艺。
然而,可不形成第三层130,且因此,可在衬底100上依序形成第一层110、第二层120、牺牲图案140及第一间隔壁150。
在示例性实施例中,可对牺牲图案140中的每一个的宽度以及第一间隔壁层在第三方向上的厚度(即,第一间隔壁150在第二方向上的宽度)进行调整,以使得牺牲图案140中的每一个在第二方向上的宽度可小于牺牲图案140中的邻近的牺牲图案140之间的相对的第一间隔壁150之间的距离。举例来说,牺牲图案140中的每一个可具有与第一间隔壁150的第八宽度W8的两倍实质上相等的第九宽度W9,且牺牲图案140可彼此间隔开与第八宽度W8的五倍实质上相等的距离。因此,牺牲图案140中的邻近的牺牲图案140之间的相对的第一间隔壁150可彼此间隔开与第八宽度W8的三倍实质上相等的第五距离D5。
在示例性实施例中,可通过以下步骤来形成牺牲图案140:在第二层120上形成牺牲层;在牺牲层上形成光刻胶图案;以及使用光刻胶图案作为蚀刻掩模来蚀刻牺牲层。可通过对光刻胶层进行曝光工艺及显影工艺来形成光刻胶图案,且可使用极紫外线(extremeultra violet,EUV)作为光源来执行曝光工艺。
参照图78,可执行与参照图6至图7所说明的工艺实质上相同或相似的工艺,且因此,可形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216以及第十六凹陷部722至第十七凹陷部724。
第十六凹陷部722及第十七凹陷部724可具有彼此不同的宽度(例如,第九宽度W9及第五距离D5)。因此,第一有源鳍片212与第二有源鳍片214可彼此间隔开第九宽度W9,第一有源鳍片212与第三有源鳍片216可彼此间隔开第五距离D5,且各第三有源鳍片216可彼此间隔开第九宽度W9。
在示例性实施例中,第十七凹陷部724可具有比第十六凹陷部722的深度大的深度。
参照图79至图80,可执行与参照图40至图41所说明的工艺实质上相同或相似的工艺。
然而,可使用第十一蚀刻掩模558来执行蚀刻工艺以对第一蚀刻掩模195以及第一有源鳍片212、第二有源鳍片214及第三有源鳍片216进行蚀刻,以使得可形成第十八凹陷部800。
第十一蚀刻掩模558可包括依序堆叠的第二十图案538及第二十一图案548。第二十图案538及第二十一图案548可分别包含与第六图案232及第七图案242的材料实质上相同的材料。
第十一蚀刻掩模558可覆盖第一有源鳍片212以及第二有源鳍片214的一部分,且进一步覆盖与第一有源鳍片212以及第二有源鳍片214的一部分相邻的第一绝缘层220的部分。因此,在蚀刻工艺中可移除第二有源鳍片214的一部分、第三有源鳍片216、以及与第二有源鳍片214的一部分及第三有源鳍片216相邻的第一绝缘层220的部分。
在示例性实施例中,第十一蚀刻掩模558可具有比第六蚀刻掩模256的第五宽度W5大的第十宽度W10。即使第十一蚀刻掩模558如第六蚀刻掩模256一样在衬底100的至少一个区域中仅覆盖第一有源鳍片212,第一有源鳍片212与第三有源鳍片216之间的距离(即,第五距离D5)也可大于第一有源鳍片212与第二有源鳍片214之间的距离(即,第九宽度W9),且因此从位于第一有源鳍片212与第二有源鳍片214之间的第十一蚀刻掩模558的第一边缘到位于第一有源鳍片212与第三有源鳍片216之间的第十一蚀刻掩模558的第二边缘的第十宽度W10可不太小(例如,大于第一有源鳍片212、第二有源鳍片214及第三有源鳍片216中的每一个的宽度的三倍)。
因此,第十一蚀刻掩模558的长宽比(即,第十厚度T10相对于第十宽度W10的比率)可不大,且因此第十一蚀刻掩模558可不塌陷。
可使用角度δ执行使用第十一蚀刻掩模558进行的蚀刻工艺,由于第十一蚀刻掩模558的第十宽度W10可不太小,因而角度δ可具有约85度到约90度的值。
参照图81至图83,可执行与参照图16至图35所说明的工艺实质上相同或相似的工艺来完成半导体装置的制造。
如上所说明,在制造半导体装置的方法中,可通过双重图案化技术(doublepatterning technology,DPT)方法(其不同于参照图1至图75所说明的包括四重图案化技术方法的方法)来形成第一有源鳍片212、第二有源鳍片214及第三有源鳍片216,且用于形成光刻胶图案的曝光工艺可使用极紫外线以使得牺牲图案140可被形成为具有小的宽度。
因此,可容易地改变牺牲图案140中的每一个的宽度及牺牲图案140之间的距离,且从上面可形成晶体管的第一有源鳍片212到第三有源鳍片216的距离或者到与第一有源鳍片212相邻的第二有源鳍片214的距离可增大以使得蚀刻掩模的长宽比可为小的且可容易地执行蚀刻工艺。
在半导体装置中,从与第一有源鳍片212的第一侧壁的下部部分连接的第一突出部的顶表面到第一有源鳍片212的第一侧壁的对应的部分的距离可不同于从与第一有源鳍片212的第二侧壁的下部部分连接的第二突出部的顶表面到第一有源鳍片212的第二侧壁的对应的部分的距离。在示例性实施例中,从与和第二有源鳍片214相对的第一有源鳍片212的第一侧壁的下部部分连接的第一突出部的顶表面到第一有源鳍片212的第一侧壁的对应的部分的距离可小于从与第一有源鳍片212的第二侧壁的下部部分连接的第二突出部的顶表面到第一有源鳍片212的第二侧壁的对应的部分的距离。
因此,第一突出部的顶表面与第二突出部的顶表面可具有彼此不同的高度。在示例性实施例中,第一突出部的顶表面可高于第二突出部的顶表面。
上述制造半导体装置的方法及所述半导体装置可应用于例如以下各种类型的存储器装置:逻辑装置,例如中央处理器(central processing unit,CPU)、主处理器(mainprocessing unit,MPU)、或应用处理器(application processor,AP);易失性存储器装置,例如动态随机存取存储器(dynamic random access memory,DRAM)装置或静态随机存取存储器(static random access memory,SRAM)装置;或者非易失性存储器装置,例如闪存存储器装置,相变随机存取存储器(phase change random access memory,PRAM)装置、磁性随机存取存储器(magnetic random access memory,MRAM)装置、电阻式随机存取存储器(resistive random access memory,RRAM)装置等等。
上述是对示例性实施例的说明,而不应被视为对示例性实施例的限制。尽管已阐述了几个示例性实施例,但是所属领域中的技术人员将容易理解,在不实质上背离本发明概念的新颖教示及优点的条件下,在示例性实施例中可进行许多修改。因此,所有这些修改均旨在包含于由权利要求所界定的本发明概念的范围内。在权利要求中,手段加功能条款旨在涵盖执行所述功能的本文所述结构,且不仅涵盖结构等效形式且还涵盖等效结构。因此,应理解,上述是对各种示例性实施例的说明,而不应被视为仅限于所公开的具体示例性实施例,且对所公开的示例性实施例的修改形式以及其他示例性实施例也旨在包含于所附权利要求的范围内。

Claims (20)

1.一种制造半导体装置的方法,其特征在于,所述方法包括:
在衬底上形成第一有源鳍片至第三有源鳍片,所述第一有源鳍片至所述第三有源鳍片中的每一个在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述第二有源鳍片、所述第一有源鳍片及所述第三有源鳍片在第二方向上以此顺序设置,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉;
使用第一蚀刻掩模来移除所述第二有源鳍片以形成第一凹陷部,所述第一蚀刻掩模覆盖所述第一有源鳍片及所述第三有源鳍片,其中第一凹陷部在平行于所述第一有源鳍片至所述第三有源鳍片的延伸方向的所述第一方向上延伸;
使用第二蚀刻掩模来移除所述第三有源鳍片以形成第二凹陷部,所述第二蚀刻掩模覆盖所述第一有源鳍片及所述衬底的被移除所述第二有源鳍片的一部分,其中第二凹陷部在平行于所述第一有源鳍片至所述第三有源鳍片的所述延伸方向的所述第一方向上延伸;
在所述第一有源鳍片上形成第一栅极结构;以及
在与所述第一栅极结构相邻的所述第一有源鳍片的一部分上形成第一源极/漏极层。
2.根据权利要求1所述制造半导体装置的方法,其特征在于,所述第一有源鳍片至所述第三有源鳍片在所述第二方向上具有实质上相等的宽度,且
其中所述第二有源鳍片、所述第一有源鳍片及所述第三有源鳍片在所述第二方向上彼此间隔开给定的距离。
3.根据权利要求2所述制造半导体装置的方法,其特征在于,进一步包括在所述衬底上形成在所述第二方向上彼此间隔开所述给定的距离的另一个第三有源鳍片、另一个第一有源鳍片及另一个第二有源鳍片,所述第三有源鳍片与所述另一个第三有源鳍片彼此间隔开所述给定的距离。
4.根据权利要求2所述制造半导体装置的方法,其特征在于,进一步包括在所述衬底上形成在所述第二方向上彼此间隔开所述给定的距离的另一个第二有源鳍片、另一个第一有源鳍片及另一个第三有源鳍片,所述第二有源鳍片与所述另一个第二有源鳍片彼此间隔开所述给定的距离。
5.根据权利要求1所述制造半导体装置的方法,其特征在于,所述第二有源鳍片包括在所述第二方向上彼此相邻的多个第二有源鳍片,或者所述第三有源鳍片包括在所述第二方向上彼此相邻的多个第三有源鳍片。
6.根据权利要求5所述制造半导体装置的方法,其特征在于,所述第一有源鳍片包括设置在所述第二方向上的多个第一有源鳍片,且
其中所述第一有源鳍片中的每一个在所述第二方向上与所述第二有源鳍片或所述第三有源鳍片相邻。
7.根据权利要求1所述制造半导体装置的方法,其特征在于,所述第二有源鳍片包括设置在所述第一方向上的第一部分及第二部分,所述第一部分与所述第二部分彼此接触,
其中所述第一蚀刻掩模覆盖所述第二有源鳍片的所述第二部分,使得在使用所述第一蚀刻掩模来移除所述第二有源鳍片期间所述第二有源鳍片的仅所述第一部分被移除,且
其中所述第二蚀刻掩模覆盖所述第二有源鳍片的所述第二部分,使得在使用所述第二蚀刻掩模来移除所述第三有源鳍片期间所述第二有源鳍片的所述第二部分不被移除。
8.根据权利要求7所述制造半导体装置的方法,其特征在于,进一步包括:
在所述第一有源鳍片及所述第二有源鳍片的所述第二部分上形成在所述第二方向上延伸的第二栅极结构;以及
在所述第一有源鳍片的一部分及与所述第二栅极结构相邻的所述第二有源鳍片的所述第二部分二者上形成第二源极/漏极层。
9.根据权利要求7所述制造半导体装置的方法,其特征在于,进一步包括移除与所述第二有源鳍片的所述第一部分相邻的所述第二有源鳍片的所述第二部分的端部。
10.根据权利要求9所述制造半导体装置的方法,其特征在于,移除所述第二有源鳍片的所述第二部分的所述端部是使用第三蚀刻掩模来执行,所述第三蚀刻掩模暴露出所述第二有源鳍片的所述第二部分的所述端部。
11.根据权利要求10所述制造半导体装置的方法,其特征在于,移除所述第二有源鳍片的所述第二部分的所述端部包括移除所述第二有源鳍片的所述第二部分的所述端部以使得所述第二有源鳍片的所述第二部分的所述端部的上表面低于所述第二有源鳍片的所述第二部分的其他部分的上表面且高于所述衬底的上表面。
12.根据权利要求9所述制造半导体装置的方法,其特征在于,移除所述第二有源鳍片的所述第二部分的所述端部是在移除所述第二有源鳍片的所述第一部分之后执行。
13.根据权利要求9所述制造半导体装置的方法,其特征在于,移除所述第二有源鳍片的所述第二部分的所述端部是在移除所述第二有源鳍片的所述第一部分之前执行。
14.根据权利要求7所述制造半导体装置的方法,其特征在于,进一步包括:在移除所述第三有源鳍片之后,执行蚀刻工艺以局部地移除所述第一有源鳍片及所述第二有源鳍片,
其中与所述第二有源鳍片的所述第一部分相邻的所述第二有源鳍片的所述第二部分的一部分通过所述蚀刻工艺被移除。
15.一种制造半导体装置的方法,其特征在于,所述方法包括:
在衬底上形成有源鳍片,所述有源鳍片中的每一个在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,且所述有源鳍片设置在第二方向上,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉;
使用覆盖所述有源鳍片中的第一有源鳍片的蚀刻掩模来蚀刻所述有源鳍片,在使用覆盖所述有源鳍片中的所述第一有源鳍片的所述蚀刻掩模来蚀刻所述有源鳍片之后,所述有源鳍片中的与所述第一有源鳍片相邻的第二有源鳍片的下部部分的至少一部分得以留存且所述有源鳍片中的与所述第一有源鳍片相邻的所述第二有源鳍片的上部部分被移除;
移除所述第二有源鳍片的所述下部部分的所述至少一部分的表面直到所述衬底 的所述上表面暴露出;
在所述第一有源鳍片上形成栅极结构;以及
在与所述栅极结构相邻的所述第一有源鳍片的一部分上形成源极/漏极层。
16.根据权利要求15所述制造半导体装置的方法,其特征在于,进一步包括:在形成所述有源鳍片之后,在所述衬底上形成绝缘层以覆盖所述有源鳍片的侧壁,且
其中蚀刻所述有源鳍片包括局部地蚀刻所述绝缘层。
17.根据权利要求16所述制造半导体装置的方法,其特征在于,所述有源鳍片中的每一个的上表面被掩模覆盖,且
其中移除所述表面包括对不被所述掩模覆盖的所述第二有源鳍片的所述下部部分的所述至少一部分的所述表面执行氧化工艺。
18.根据权利要求16所述制造半导体装置的方法,其特征在于,所述有源鳍片中的每一个的上表面被掩模覆盖,且
其中移除所述表面包括对不被所述掩模覆盖的所述第二有源鳍片的所述下部部分的所述至少一部分的所述表面执行各向异性工艺。
19.一种制造半导体装置的方法,其特征在于,所述方法包括:
在衬底上形成第一有源鳍片至第三有源鳍片,所述第一有源鳍片至所述第三有源鳍片中的每一个在第一方向上延伸,所述第一方向实质上平行于所述衬底的上表面,所述第二有源鳍片、所述第一有源鳍片及所述第三有源鳍片在第二方向上以此顺序设置,所述第二方向实质上平行于所述衬底的所述上表面且与所述第一方向交叉,所述第一有源鳍片与所述第三有源鳍片相邻,所述第一有源鳍片与所述第三有源鳍片之间的第一距离大于所述第一有源鳍片与所述第二有源鳍片之间的第二距离,且所述第二有源鳍片包括设置在所述第一方向上且彼此接触的第一部分与第二部分;
使用覆盖所述第一有源鳍片及所述第二有源鳍片的所述第二部分的蚀刻掩模来移除所述第三有源鳍片及所述第二有源鳍片的所述第一部分,从位于所述第一有源鳍片与所述第三有源鳍片之间的所述蚀刻掩模的第一边缘到所述第一有源鳍片的第一侧壁的距离大于从位于所述第一有源鳍片与所述第二有源鳍片之间的所述蚀刻掩模的第二边缘到所述第一有源鳍片的第二侧壁的距离;
在所述第一有源鳍片上形成第一栅极结构;以及
在与所述第一栅极结构相邻的所述第一有源鳍片的一部分上形成第一源极/漏极层。
20.根据权利要求19所述制造半导体装置的方法,其特征在于,进一步包括在所述衬底上形成在所述第二方向上彼此间隔开的另一个第三有源鳍片、另一个第一有源鳍片及另一个第二有源鳍片,所述第三有源鳍片与所述另一个第三有源鳍片彼此间隔开第三距离,所述第三距离实质上等于所述第二距离。
CN201710943288.XA 2017-03-06 2017-10-11 半导体装置及其制造方法 Active CN108538786B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0028130 2017-03-06
KR1020170028130A KR102367493B1 (ko) 2017-03-06 2017-03-06 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
CN108538786A CN108538786A (zh) 2018-09-14
CN108538786B true CN108538786B (zh) 2022-10-11

Family

ID=63357429

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710943288.XA Active CN108538786B (zh) 2017-03-06 2017-10-11 半导体装置及其制造方法

Country Status (4)

Country Link
US (5) US10109532B2 (zh)
KR (1) KR102367493B1 (zh)
CN (1) CN108538786B (zh)
TW (1) TWI801341B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US10497628B2 (en) 2017-11-22 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial structures in fin-like field effect transistors
US10361125B2 (en) 2017-12-19 2019-07-23 International Business Machines Corporation Methods and structures for forming uniform fins when using hardmask patterns
CN112864152B (zh) 2019-11-26 2022-06-24 长鑫存储技术有限公司 存储器、存储器的衬底结构及其制备方法
KR20220043945A (ko) 2020-09-28 2022-04-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105374871A (zh) * 2014-08-22 2016-03-02 联华电子股份有限公司 鳍状结构及其形成方法
US9576857B1 (en) * 2016-03-02 2017-02-21 Globalfoundries Inc. Method and structure for SRB elastic relaxation

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
US9190261B2 (en) * 2011-08-25 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Layer alignment in FinFET fabrication
US8741776B2 (en) 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
KR102013842B1 (ko) * 2013-02-08 2019-08-26 삼성전자주식회사 반도체 소자의 제조 방법
US9515184B2 (en) * 2013-09-12 2016-12-06 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with multiple-height fins and substrate trenches
WO2015094305A1 (en) * 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
KR102193493B1 (ko) * 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN104979362B (zh) 2014-04-10 2019-11-19 三星电子株式会社 具有翅片式有源图案和栅极节点的半导体装置
US9257505B2 (en) * 2014-05-09 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and formation methods of finFET device
US9324619B2 (en) 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9431265B2 (en) * 2014-09-29 2016-08-30 International Business Machines Corporation Fin cut for tight fin pitch by two different sit hard mask materials on fin
US9269627B1 (en) 2014-09-30 2016-02-23 International Business Machines Corporation Fin cut on SIT level
KR102150942B1 (ko) 2014-12-01 2020-09-03 삼성전자주식회사 핀펫을 구비하는 반도체 장치
KR102347185B1 (ko) * 2015-02-03 2022-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9589849B2 (en) 2015-02-27 2017-03-07 Globalfoundries Inc. Methods of modulating strain in PFET and NFET FinFET semiconductor devices
KR20160112778A (ko) * 2015-03-20 2016-09-28 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
US9425106B1 (en) 2015-03-31 2016-08-23 Globalfoundries Inc. Methods of performing fin cut etch processes for taper FinFET semiconductor devices and the resulting devices
KR20160125208A (ko) * 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
TWI648857B (zh) * 2015-05-07 2019-01-21 聯華電子股份有限公司 半導體元件及其製作方法
US9779960B2 (en) 2015-06-01 2017-10-03 Globalfoundries Inc. Hybrid fin cutting processes for FinFET semiconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105374871A (zh) * 2014-08-22 2016-03-02 联华电子股份有限公司 鳍状结构及其形成方法
US9576857B1 (en) * 2016-03-02 2017-02-21 Globalfoundries Inc. Method and structure for SRB elastic relaxation

Also Published As

Publication number Publication date
US20190027411A1 (en) 2019-01-24
TWI801341B (zh) 2023-05-11
US10615080B2 (en) 2020-04-07
US20180254219A1 (en) 2018-09-06
US20220208616A1 (en) 2022-06-30
US20200211907A1 (en) 2020-07-02
CN108538786A (zh) 2018-09-14
US11830775B2 (en) 2023-11-28
US20240047275A1 (en) 2024-02-08
KR20180101769A (ko) 2018-09-14
US10109532B2 (en) 2018-10-23
KR102367493B1 (ko) 2022-02-24
TW201835984A (zh) 2018-10-01
US11302585B2 (en) 2022-04-12

Similar Documents

Publication Publication Date Title
CN108538786B (zh) 半导体装置及其制造方法
CN109427774B (zh) 半导体元件及其制造方法
KR102413610B1 (ko) 레이아웃 디자인 시스템, 이를 이용한 반도체 장치 및 그 제조 방법
TWI693681B (zh) 濕氣阻擋結構、護環以及具有上述的半導體元件
KR101637679B1 (ko) Fⅰnfet을 형성하기 위한 메커니즘들을 포함하는 반도체 디바이스및 그 형성 방법
KR102400375B1 (ko) 반도체 장치 및 그 제조 방법
CN110556376A (zh) 包含二维半导电性材料的纳米片场效晶体管
KR102328564B1 (ko) 반도체 장치 및 그 제조 방법
KR102343209B1 (ko) 반도체 장치 및 그 제조 방법
TWI685103B (zh) 半導體元件
TW201719769A (zh) 鰭式場效電晶體的製作方法
KR20160091164A (ko) 미세 패턴 형성 방법 및 이를 이용한 반도체 장치 제조 방법
KR102544153B1 (ko) 반도체 장치 및 그 제조 방법
TW201724281A (zh) 鰭式場效電晶體的製作方法
KR102480002B1 (ko) 반도체 소자 및 그 제조방법, 그리고 패턴 형성 방법
US9324832B1 (en) Methods of manufacturing semiconductor devices using masks having varying widths
TWI822847B (zh) 半導體裝置
US8524545B2 (en) Simultaneous formation of FinFET and MUGFET
US11935952B2 (en) Semiconductor devices
US10790282B2 (en) Semiconductor devices
CN110970503A (zh) 半导体装置
US8524546B2 (en) Formation of multi-height MUGFET
TW202303963A (zh) 半導體裝置
KR102663811B1 (ko) 집적회로 소자 및 이의 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant