CN108122768A - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN108122768A
CN108122768A CN201710557756.XA CN201710557756A CN108122768A CN 108122768 A CN108122768 A CN 108122768A CN 201710557756 A CN201710557756 A CN 201710557756A CN 108122768 A CN108122768 A CN 108122768A
Authority
CN
China
Prior art keywords
fin
spacer
extension
layer
outside
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710557756.XA
Other languages
English (en)
Other versions
CN108122768B (zh
Inventor
黄玉莲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108122768A publication Critical patent/CN108122768A/zh
Application granted granted Critical
Publication of CN108122768B publication Critical patent/CN108122768B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供一种半导体装置的形成方法,包含形成多个鳍状物于基板上,以及形成虚置栅极结构于鳍状物上。间隔物层形成于虚置栅极结构与鳍状物上。使间隔物层凹陷化,以沿着每一鳍状物的侧壁形成不对称的凹陷的间隔物,并露出每一鳍状物的部份。源极/漏极外延成长于鳍状物露出的部份上,且位于第一鳍状物上的第一源极/漏极外延与位于第二鳍状物上的第二源极/漏极外延不对称。还提供一种装置,包含第一鳍状物与第二鳍状物于基板上,而栅极结构形成于第一鳍状物与第二鳍状物上。外延形成于第一鳍状物与第二鳍状物上,且第一鳍状物与第二鳍状物位于栅极结构的相同侧上。第一外延的高度大于第二外延的高度。

Description

半导体装置的形成方法
技术领域
本发明实施例关于半导体装置,且特别关于其不对称的外延与其形成方法。
背景技术
半导体装置结构如鳍状场效晶体管装置的固有结构与尺寸缩小,有利于持续改善集成电路的速度、效能、密度、与单位功能的成本,使其优于前几代的集成电路。在场效晶体管装置的设计与其固有特性中,调整场效晶体管的源极与漏极之间且位于栅极下的通道区长度,可改变通道区的电阻并影响场效晶体管装置的效能。更特别的是,缩短通道区长度可降低场效晶体管的源极至漏极的电阻。假设其他参数维持于相对定值,在施加足够电压至金氧半装置的栅极时,电阻降低可增加源极与漏极之间的电流。
为了进一步增进场效晶体管装置的效能,可将应力导入场效晶体管装置的通道区以改善载子移动率。一般用以施加压缩应力至场效晶体管的通道区的方法,包含在源极区与漏极区中成长应力体。此方法通常包含形成栅极堆叠于半导体基板上,形成栅极间隔物于栅极堆叠的侧壁上,沿着栅极间隔物形成凹陷于硅基板中,以及外延成长应力体于凹陷中。由于应力体与硅的晶格常数不同,应力体将扩张并施加应力至位于源极应力体与漏极应力体之间的通道区。
发明内容
本发明一实施例提供的半导体装置的形成方法,包括:形成多个鳍状物于基板上,每一鳍状物具有顶部与多个侧壁;形成虚置栅极结构于鳍状物上;形成间隔物层于虚置栅极结构的顶部与侧壁上以及鳍状物的顶部与侧壁上;使间隔物层凹陷,以沿着每一鳍状物的侧壁形成不对称的凹陷的间隔物,并露出虚置栅极结构的相反两侧上的每一鳍状物的部份;以及外延成长源极/漏极外延于每一鳍状物露出的部份上,其中第一鳍状物上的第一源极/漏极外延与第二鳍状物上的第二源极/漏极外延不对称。
附图说明
图1是本发明一些实施例中,鳍状场效晶体管的立体图。
图2A至8C是一些实施例中,鳍状场效晶体管于制程的多种中间阶段的附图。
图9至11是一些实施例中,鳍状场效晶体管的鳍状物与外延的多种其他配置。
图12A与12B是一些实施例中,鳍状场效晶体管的鳍状物的多种其他配置。
【符号说明】
A-A、B-B 剖线
d1、d2 距离
fp1、fp2 鳍状物间距
h1、h2、h2i、h2o、h3、h4、h5 高度
w3、w5 宽度
30、100 鳍状场效晶体管
32、101 基板
34 隔离区
36、111 鳍状物
37 栅极结构
38、231 栅极介电物
40、232 栅极
42、43、44 源极/漏极区
103 浅沟槽隔离区
103p 浅沟槽隔离区的投影
103T 顶部
104 沟槽
104B 投影底部
109 半导体带
111i 内侧鳍状物
111o 外侧鳍状物
112 光阻
119 间隔物层
121、121a、121b、121c、121d 间隔物
122 高分子
123 栅极间隔物
125、129 外延
125c、129c 中心
127 外延结构
130 虚置栅极堆叠
131 虚置栅极介电层
131p 虚置栅极介电层的投影
132 虚置栅极
132p 虚置栅极的投影
133 垫层
135、135' 硬掩模
161 层间介电物
163 第二层间介电物
165 接点开口
166 空洞(void)
171 硅化物
181 金属接点材料
181' 接点
230 栅极堆叠
具体实施方式
下述揭露内容提供许多不同实施例或实例以实施本发明的不同结构。下述特定构件与排列的实施例用以简化本发明而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者的间隔有其他额外构件而非直接接触。此外,本发明的多个实例可采用重复标号及/或符号使说明简化及明确,但这些重复不代表多种实施例中相同标号的元件之间具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
本发明实施例提供鳍状场效晶体管的源极/漏极区的应力促进体。源极/漏极区的外延可不对称地成长于鳍状场效晶体管的周边区,以达整体较大的应力促进体于鳍状场效晶体管的源极/漏极区。在一些实施例中,可成长鳍状场效晶体管装置其相邻的鳍状物的源极/漏极外延,以保留相邻鳍状物的源极/漏极外延的晶面之间的空间。在一些实施例中,可成长源极/漏极外延使上述晶面相连,以形成晶体管中合并、放大、及连续的源极/漏极区。在一些实施例中,可让最外侧的间隔物凹陷,使一组相邻鳍状物其较外侧鳍状物的外延增大,进而提供较大表面使源极/漏极外延的外延成长更多。在一些实施例中,可缩小鳍状物两侧上的较外侧鳍状物的间隔物,以增大较外侧鳍状物的外延。
图1是一例中,鳍状场效晶体管30的立体图。鳍状场效晶体管30包含鳍状物36于基板32上。基板32包含隔离区34形成其上,且鳍状物36自相邻的隔离区34之间向上凸起。在此例中,鳍状场效晶体管30包含两个栅极结构37,但鳍状场效晶体管可包含单一栅极结构或更多栅极结构。同样地,此例中的鳍状场效晶体管30含有一鳍状物36,但鳍状场效晶体管可含有多个鳍状物。栅极结构37包含沿着鳍状物36的侧壁与位于鳍状物36的上表面上的栅极介电物38,以及位于栅极介电物38上的栅极40。源极/漏极区42与43以及源极/漏极区43与44,是栅极37的相反两侧的鳍状物36的区域。图1亦包含两条剖线以用于后续附图。剖线A-A沿着鳍状物36的宽度方向与鳍状物36相交,并位于源极/漏极区中。剖线B-B沿着鳍状物36的长度方向平分鳍状物36。后续附图将对应上述剖线。
图2A至8B是一些实施例中,鳍状场效晶体管装置于其制程的多种阶段中的附图。图2A是鳍状场效晶体管100的一组鳍状物的剖视图,其横越鳍状物的宽度。上述剖视图对应图1的A-A剖线。图2A显示四个鳍状物111,其包含两个内侧鳍状物111i与两个外侧鳍状物111o。然而鳍状场效晶体管100可包含更多或更少的鳍状物。鳍状物111超出半导体的基板101。图2A显示制程的中间阶段中的鳍状场效晶体管装置100。
半导体的基板101可为部份的半导体晶圆或半导体装置。在本发明一些实施例中,半导体的基板101包含结晶硅。其他可用于基板101的材料包含硅、锗、镓、硼、砷、氮、铟、及/或磷、或类似物。半导体的基板101亦可包含其他半导体材料如III-V族半导体化合物材料。半导体的基板101可为基体硅或绝缘层上半导体基板。
此外,基板101可包含其他结构。举例来说,基板可包含多种掺杂区,端视设计需求(比如p型基板或n型基板)而定。举例来说,掺杂区可掺杂p型掺质如硼或BF2、n型掺质磷或砷、及/或上述的组合。掺杂区可设置以用于n型鳍状场效晶体管,或另外设置以用于p型鳍状场效晶体管。
基板101可经由光微影技术图案化。举例来说,可形成掩模层(未图示,比如垫氧化物层与其上的垫氮化物层)于基板101的主要表面上。垫氧化物层可为热氧化制程形成的氧化硅薄膜。垫氧化物层可作为基板与其上的垫氮化物层之间的黏着层,并可作为蚀刻垫氮化物层时的蚀刻停止层。在一实施例中,垫氮化物层可为低压化学气相沉积或等离子体增强化学气相沉积形成的氮化硅。
掩模层的图案化方法可为光微影技术。一般而言,光微影技术可沉积、照射(曝光)、与显影光阻材料(未图示),以移除部份的光阻材料。保留的光阻材料保护其下的材料(如此例的掩模层)免于后续制程步骤(如蚀刻)的影响。在此例中,图案化光阻材料以定义垫氧化物层与垫氮化物层。
接着采用图案化的掩模,图案化露出的部份基板101以形成沟槽104,以定义相邻沟槽104之间的半导体带109,如图2A所示。半导体带109可称作鳍状物。接着将介电材料填入沟槽104,以形成与半导体带109相邻的隔离区(如浅沟槽隔离区)。在一些实施例中,上视图中的沟槽104成彼此平行的带状,且彼此紧密排列。在一些实施例中,沟槽104可为连续,并围绕半导体带109。在一些实施例中,围绕半导体带109的连续沟槽可形成于后续制程中,且制程将半导体带109切割成特定长度。
半导体带109的图案化方法可为任何合适方法。举例来说,图案化半导体带109的方法可采用一或多道光微影制程,其包含双重图案化或多重图案化制程。一般而言,双重图案化或多重图案化制程结合光微影与自对准制程,使图案间距可小于单一光微影制程所能得到的最小图案间距。举例来说,一实施例可形成牺牲层(未图示)于基板上,并采用光微影制程图案化牺牲层。接着采用自对准制程,以沿着图案化的牺牲层的侧壁形成间隔物(未图示)。接着移除牺牲层,而保留的间隔物可用以图案化鳍状物。
隔离区(比如凹陷前的浅沟槽隔离区103,见下述说明)可形成于沟槽104中,其自沟槽104的底部延伸至半导体带109的上表面。隔离区可包含衬垫氧化物(未图示)。衬垫氧化物的形成方法,可为热氧化基板101的表面层以形成热氧化物。衬垫氧化物亦可为沉积的氧化硅层,其形成方法可为原子层沉积、高密度等离子体化学气相沉积、或化学气相沉积。浅沟槽隔离区103可包含介电材料于衬垫氧化物上,其中介电材料的形成方法可为可流动的化学气相沉积、旋转涂布、或类似方法。
在一些实施例中,隔离区可为氧化硅,其形成方法可为采用硅烷与氧气作为反应前驱物的高密度等离子体化学气相沉积制程。在其他实施例中,隔离区的形成方法可采用次压化学气相沉积制程或高深宽比制程,其制程气体可包含四乙氧基硅烷与臭氧。在其他实施例中,隔离的形成方法可采用旋转涂布介电物制程,而介电物可为氢倍半硅氧烷或甲基倍半硅氧烷。其他制程与材料亦可用于形成隔离区。平坦化制程如化学机械研磨可用以移除多余的材料以形成隔离区,使半导体带109的上表面与隔离区的上表面实质上共平面(在制程变异中)。
如图2A所示,隔离区凹陷后形成浅沟槽隔离区103,因此半导体带109的顶部凸起高于浅沟槽隔离区103的上表面,以形成附图中凸起的鳍状物111。上述凹陷化的步骤可采用一或多道蚀刻制程。举例来说,当浅沟槽隔离区103的组成为氧化硅时,可采用蚀刻气体为NF3与NH3的干蚀刻制程。上述蚀刻气体亦可包含氩气。在一些实施例中,使隔离区凹陷化以形成浅沟槽隔离区103的步骤可采用湿蚀刻制程,比如采用稀氢氟酸的湿蚀刻。
间隔物层119沉积于鳍状物111上,此步骤将搭配图2B说明如下。
如图2B所示,虚置栅极堆叠130形成于凸起的鳍状物111的上表面与侧壁上。图2B是图2A的结构的剖视图。图2B的剖视图沿着内侧鳍状物111i或外侧鳍状物111o的长度方向,即与图1中剖线B-B的方向类似。在下述说明中,虚置栅极堆叠130形成于鳍状物111上。虚置栅极堆叠130可包含虚置栅极介电层131、虚置栅极132、视情况形成的垫层133、以及硬掩模135。间隔物层119形成于虚置栅极堆叠130上。虽然附图为两个虚置栅极堆叠,但应理解可具有单一虚置栅极堆叠或更多虚置栅极堆叠,比如三或四个虚置栅极堆叠。虚置栅极堆叠130的长度方向实质上垂直(在制程变异中)于鳍状物111的长度方向。额外的栅极堆叠可彼此平行。
图2B中的虚线,用以说明与鳍状物111平行的沟槽104在此剖面的部份投影位置。附图包含沟槽104的虚线底部104B,与浅沟槽隔离区的投影103p的顶部103T。附图亦包含虚置栅极介电层的投影131p与虚置栅极的投影132p。如图2B所示,半导体带109包含高于部份基板101的鳍状物111。但在穿过鳍状物的长度方向的剖视图中,半导体带109与基板101无法分辨。上述结构将不再显示于后续附图中,以简化说明。
为形成虚置栅极堆叠130,可形成虚置介电层于凸起的鳍状物111的上表面与侧壁上。接着可沉积虚置栅极层于虚置介电层上。视情况(非必要)可形成垫层于虚置栅极层上,再沉积硬掩模层于垫层上。
可采用可行的光微影蚀刻技术图案化硬掩模层,以形成硬掩模135。接着采用可行的蚀刻技术将硬掩模层135的图案分别转移至垫层、虚置栅极层、与虚置介电层,以形成垫层133、虚置栅极132、与虚置栅极介电层131,即完成虚置栅极堆叠130。蚀刻技术可包含可行的非等向蚀刻如反应性离子蚀刻、感应耦合等离子体、或类似技术。虚置栅极堆叠130覆盖鳍状物111的个别通道区。虚置栅极130的长度方向可实质上垂直于个别鳍状物111的长度方向。
举例来说,虚置栅极介电层131可为氧化硅、氮化硅、上述的组合、或类似物,且其形成方法可为沉积或热成长等可行技术,比如化学气相沉积、热氧化、或类似技术。虚置栅极层132可经由化学气相沉积或类似方法沉积于虚置栅极介电层131上,再以化学机械研磨等制程平坦化。虚置栅极层132可包含多晶硅,但亦可采用高蚀刻选择性的其他材料。垫层133可经由化学气相沉积或类似方法沉积于虚置栅极层132上。硬掩模层135可经由化学气相沉积或类似方法沉积于虚置栅极层132或视情况采用的垫层133上。垫层133与硬掩模层135可包含氮化硅、氮氧化硅、氮碳化硅、类似物、或上述的组合。
间隔物层119的形成方法可为沉积间隔物材料,且其沉积方法可为低压化学气相沉积、原子层沉积、化学气相沉积、或类似方法。间隔物材料的组成可为介电材料,比如氮化硅、氮碳氧化硅、氮碳化硅、或金属氧化物如氧化铝。在本发明一些实施例中,间隔物层119的组成为氮碳氧化物硅,且可具有单层结构。在一些实施例中,间隔物层119为复合结构,其包含多个层状物。举例来说,间隔物层119可包含氧化硅层,与氧化硅层上的氮化硅层。在一些实施例中,间隔物层119的水平部份与垂直部份可具有实质上相同的厚度。在一些实施例中,间隔物层119的水平部份与垂直部份可具有不同厚度。
如下所述,蚀刻间隔物层119以移除间隔物层119的水平部份。间隔物层119其保留的垂直部份,将形成栅极间隔物123于虚置栅极堆叠130的侧壁上。间隔物层119的保留部份可与鳍状物111相邻,此部份将搭配图3A-1至3A-7详述于下。
在一些实施例中,部份鳍状物可置换为置换鳍状物。在这些例子中,进行非等向蚀刻步骤(之后称作源极/漏极的凹陷化步骤)以蚀刻虚置栅极堆叠130与栅极间隔物123未覆盖的部份鳍状物111。直接位于虚置栅极堆叠130与栅极间隔物123下的部份鳍状物111受到保护而不被蚀刻。在一些实施例中,凹陷的半导体带其上表面,可低于浅沟槽隔离区103的上表面,以形成浅沟槽隔离区103之间的凹陷。凹陷的鳍状物可置换为置换鳍状物(未图示),且置换鳍状物的形成方法可采用外延制程,以选择性的成长半导体材料于凹陷中。置换鳍状物的晶格常数不同于基板101的晶格常数。置换鳍状物可包含硅锗或硅。置换鳍状物可掺杂p型或n型杂质,且掺杂步骤可为临场掺杂(及/或形成置换鳍状物之后再掺杂)。在置换鳍状物填入凹陷之后,进一步外延成长置换鳍状物可让置换鳍状物横向扩张并形成晶面。置换鳍状物可包含形成于浅沟槽隔离区103中的较下部份,以及形成于浅沟槽隔离区103的上表面上的较上部份,且较上部份高于间隔物121(见图3A-1至3A-7,说明如下)的上表面。较下部份的侧壁形状取决于凹陷的形状,且可具有实质上平直的边缘。较下部份亦可具有实质上垂直的边缘,其实质上垂直于基板101的主要表面。
图3A-1至3A-7是实施例中,沿着鳍状物111的侧壁形成不对称间隔物的多种方法。特别的是,图3A-1至3A-3中搭配掩模蚀刻间隔物层119,以形成不对称的间隔物121a与121c于外侧鳍状物111o的两侧上;图3A-4中搭配另一掩模蚀刻间隔物层119,以形成第一高度的间隔物121a与121c于外侧鳍状物111o上,并形成第二高度的间隔物121b于内侧鳍状物111i上;图3A-5中蚀刻间隔物层119以形成不对称的间隔物121a与121c于紧密的鳍状物设置中外侧鳍状物111o其两侧上;图3A-6中对间隔物层119进行制程,以形成不对称的间隔物121a与桥接的间隔物121d;而图3A-7中调整蚀刻,可蚀刻间隔物层119以形成不对称的间隔物121a与121c于外侧鳍状物111o的两侧上。
如图3A-1至3A-3所示,以第一蚀刻非等向地蚀刻间隔物层119(见图2A与2B)的顶部,可自鳍状物111的上表面与浅沟槽隔离区103的上表面移除间隔物层119的水平部份,并产生图3A-1所示的间隔物121。第一蚀刻亦可使沿着鳍状物111的侧壁的间隔物121凹陷。第一蚀刻可包含任何合适的蚀刻技术,比如采用CH3F/O2等离子体蚀刻或另一合适蚀刻品的非等向蚀刻技术。在一些实施例中,间隔物层119的一些间隔物材料可保留于浅沟槽隔离区103的上表面上的沟槽104的底部,如图3A-5所示。为简化说明,这些实施例不会显示保留于沟槽104的底部的间隔物材料,除非特别标示。
如图3A-2所示的一些实施例中,沉积光阻112于鳍状结构上,并图案化光阻112以露出外侧鳍状物111o其外侧的间隔物121。鳍状物的第一区113为外侧鳍状物111o之间的区域。鳍状物的第二区114为包含外侧鳍状物111o其外侧的间隔物的区域。在一些实施例中,在形成光阻112前先形成底抗反射涂层(未分开图示)于鳍状物上。底抗反射涂层可为顺应性或毯覆性的层状物,其组成可为介电材料如氮氧化硅或旋转涂布的SOC材料。光阻112可形成于底抗反射涂层上,接着图案化光阻112。图案化底抗反射涂层的步骤可采用光阻112作为蚀刻掩模。光阻112经图案化后,可保留于鳍状物的第一区113上,并露出鳍状物的第二区114。综上所述,可露出外侧鳍状物111o其外侧的间隔物121。
如图3A-3所示,可采用第二蚀刻使露出的间隔物121进一步凹陷,以形成额外凹陷或修整的间隔物121a。修整间隔物121以产生修整的间隔物121a的步骤,可采用与第一蚀刻相同或不同的蚀刻技术。接着可移除光阻112与视情况形成的底抗反射涂层。
如此一来,可蚀刻外侧鳍状物111o其外侧的间隔物121a,使外侧鳍状物111o露出的部份多于内侧鳍状物111i露出的部份。外侧鳍状物111o的顶部至其露出部份的底部(或凹陷的间隔物121a的顶部)之间的距离定义为高度h2。内侧鳍状物111i的顶部至其露出部份的底部(或凹陷的间隔物121b的顶部)之间的距离定义为高度h1。在间隔物121c凹陷的程度与间隔物121b凹陷的程度几乎相同的实施例中,外侧鳍状物111o的顶部至其露出内侧部份的底部(或凹陷的间隔物121c)之间的距离亦可定义为高度h1。高度h2比高度h1多约10%或更多。高度h2可为约10nm至约100nm之间,而高度h1可为约8nm至约90nm之间。在一些实施例中,高度h1可大于约100nm或小于约10nm。在一些实施例中,高度h2可大于约90nm或小于约8nm。
如后续说明所述,外延材料将成长于露出的内侧鳍状物111i与外侧鳍状物111o上。在外侧鳍状物111o的外侧上的修整的间隔物121a,可露出较多鳍状物的表面以用于外延材料(与内侧鳍状物111i露出的较少表面相较)。较大的露出表面可让外侧鳍状物上的外延材料具有较大体积与与高度。外延材料的体积与高度较大,可让鳍状场效晶体管装置具有较大的通道移动率。
如图3A-4所示的实施例,可使位于外侧鳍状物111o的内侧表面上的间隔物121c凹陷如间隔物121a。图3A-4形成第一凹陷,如图3A-1所示。此实施例与前述的图3A-2类似,可形成光阻112与视情况形成底抗反射涂层于鳍状物111上,接着进第一蚀刻使间隔物121凹陷。接着图案化光阻112与底抗反射涂层,以露出外侧鳍状物111o其内侧与外侧的间隔物121。接着进行第二蚀刻使露出的间隔物121凹陷,以形成进一步凹陷的间隔物121a与121c。修整间隔物121以形成间隔物121a与121c的第二蚀刻,可采用与第一蚀刻相同或不同的蚀刻技术。接着可移除光阻112与底抗反射涂层。在一些实施例中,间隔物121c与间隔物121a凹陷后的高度几乎相同。此结构可用于后续制程,其将搭配图4A至8B详述于后,以形成图9与11所示的结构。
在一些实施例中,间隔物121c凹陷的程度比间隔物121b凹陷的程度大,但小于间隔物121a凹陷的程度。举例来说,外侧鳍状物111o其露出的外侧的高度h2o,比内侧鳍状物111i其露出的侧壁的高度h1多了约10%或更多。外侧鳍状物111o其露出的内侧的高度h2i,比内侧鳍状物111i其露出的侧壁的高度h1多了约10%或更多。举例来说,高度h2o可介于约10nm至约100nm之间。高度h1可介于约8nm至约90nm之间。高度h2i可介于约8nm至约100nm之间。在一些实施例中,高度h2o可大于约100nm或小于约10nm。在一些实施例中,高度h1可大于约90nm或小于约8nm。在一些实施例中,高度h2i可大于约100nm或小于约8nm。
由于外侧鳍状物111o其外侧露出部份的高度h2o大于内侧鳍状物111i其露出部份的高度h1,形成在外侧鳍状物111o上的外延材料的体积与高度,将大于形成在内侧鳍状物111i上的外延材料的体积与高度。外侧鳍状物111o其内侧露出部份的高度h2i亦可大于内侧鳍状物111i其露出部份的高度。
图3A-5的实施例所示的鳍状物之间,具有较密的间隔或间距。较密的鳍状物间距的实施例,将搭配图10说明如下。以图3A-1的实施例为例,鳍状物间距fp1属于较疏的间隔,比如介于约25nm至约100nm之间。与此相较,一实施例中鳍状物间距fp2属于较密的间隔,比如介于约15nm至约25nm之间。鳍状物的第一区113位于外侧鳍状物111o之间。鳍状物的第二区114包含外侧鳍状物111o其外侧的间隔物。由于第一区113中的鳍状物密度较高,因此抑制蚀刻品接触鳍状物111的第一区113中的间隔物121。如此一来,第一区113中的间隔物121的蚀刻速率,不同于与第二区114中的间隔物121的蚀刻速率。在一开始,第一区113与第二区114中的间隔物121可具有几乎相同的蚀刻速率。当第一区113中的鳍状物之间的间隔物121凹陷后,第一区113中的间隔物121的蚀刻速率将降低,但第二区114中的间隔物121的蚀刻速率仍维持相同。举例来说,第二区114中的间隔物121的蚀刻速率,可为第一区113中的间隔物121的蚀刻速率的110%至200%。如此一来,蚀刻可形成进一步凹陷的间隔物121a,而第一区113中的间隔物121b与121c则未凹陷这么多。
在一些实施例中,间隔物层119的一些间隔物可保留于凹陷的间隔物121b与凹陷的间隔物121c之间的浅沟槽隔离区103的顶部上,以形成相邻的间隔物(比如间隔物121b与121c)之间相连的间隔物材料。
如图3A-6所示的一些实施例中,鳍状物的间隔或间距密度,将形成桥接的间隔物层119于第一区113中的鳍状物111之间。换言之,即使顺应性的形成间隔物层119,间隔物层的厚度仍会造成桥接的间隔物层(因为鳍状物的高密度)。以图3A-1的实施例为例,鳍状物间距为较疏的间隔,比如介于约25nm至约100nm之间。与此相较,图3A-5所示的一实施例中鳍状物间距fp2属于较密的间隔,比如介于约15nm至约25nm之间。上述间隔物层119的沉积厚度大于约10nm,因此可产生图3A-6所示的桥接的间隔物层。如上述图3A-5所示的说明,鳍状物密度造成不同的蚀刻速率,并抑制蚀刻品蚀刻第一区113中的间隔物材料,可形成进一步凹陷的间隔物121a于第二区114中。鳍状物之间的间隔物121d可维持桥接。在一些实施例中,间隔物121d的上表面可下凹。
如图3A-7所示的一些实施例,可调整蚀刻使第一区113与第二区114中的间隔物层119具有不同的蚀刻速率。鳍状物的第一区113为外侧鳍状物111o之间的区域。鳍状物的第二区114包含外侧鳍状物111o其外侧的间隔物。举例来说,调整蚀刻速率的方式可为调整蚀刻品气体的浓度,以提供较浓或较稀的蚀刻制程。
在图3A-7的上图中,间隔物层119形成于鳍状物的顶部与侧壁上,以及浅沟槽隔离区103上的沟槽104其底部上,如图2A所示。
如图3A-7的中图所示的一些实施例,可采用含氟蚀刻品(如一氟甲烷)蚀刻间隔物层119,以形成凹陷的间隔物121。以含氟蚀刻品蚀刻间隔物层119可能导致副产物如高分子122,且高分子122沿着间隔物121的侧壁与沟槽104的底部形成。高分子122可抑制凹陷的间隔物121被进一步蚀刻。由于第一区113比第二区114更受限且含有更多高分子122的副产物,第一区113中对凹陷的间隔物121的蚀刻的抑制效果,大于第二区114中对凹陷的间隔物121的蚀刻的抑制效果。如此一来,第一区113中的间隔物层119具有第一蚀刻速率,而第二区114中的间隔物层119具有第二蚀刻速率。在一些实施例中,在第一区113中形成副产物的速率,约为在第二区114中形成副产物的速率的110%至200%之间,这将使间隔物层119的第一蚀刻速率小于间隔物层119的第二蚀刻速率。
在一些实施例中,经由调整蚀刻品与氧气的比例以蚀刻间隔物层119与清洁高分子122的模拟,可控制高分子122的副产物累积。较稀的蚀刻导致较少高分子122累积,因其可同时蚀刻间隔物与清洁高分子122的副产物。举例来说,制程气体可包含多种浓度的CHF3、CH3F、O2、与H2。蚀刻气体CHF3与CH3F可提供氟源作为主要的蚀刻品。H2可将影响高分子122的累积速率。如此一来,增加制程气体中的H2并采用CH3F进行蚀刻,可增加制程中的氢源并增加高分子122的累积速率。采用CHF3进行蚀刻可降低高分子122的累积速率,因为CHF3的单位分子所含的氢浓度较低。增加制程气体中的O2,亦可降低高分子122的累积速率。增加O2可消耗碳(比如产生CO2)且可消耗氢(比如产生H2O),因此可减缓高分子122的累积。如此一来,较高浓度的蚀刻品气体CH3F或CHF3与H2添加物会造成更多高分子122,而较低浓度的蚀刻品CH3F或CHF3与较高浓度的O2会造成较少高分子122。通过调整蚀刻气体的浓度,可控制高分子122的量,进而调整第一区113与第二区114中的蚀刻速率。举例来说,CHF3的浓度可大于CH3F的浓度以在较少高分子的情况下进行蚀刻,或者小于CH3F的浓度以在较多高分子的情况下蚀刻。
如图3A-7的下图所示,之后采用氧等离子体的干蚀刻制程或采用SC1、臭氧、与去离子水的混合物的湿式清洁蚀刻制程,可移除残留的高分子122。
在一些实施例中,可采用分开的步骤蚀刻间隔物层119与清洁高分子122,且必需重复上述步骤以达所需的间隔物121轮廓。
在一些实施例中,可结合其他实施例的技术调整蚀刻,以达第一区与第二区的蚀刻速率不同。举例来说,当鳍状物间距较密时会造成蚀刻速率完全不同,且可进一步调整蚀刻如前述,以增加上述蚀刻速率的不同。
对任何一个上述实施例而言,虚置栅极堆叠130(见图2B)形成于每一鳍状物111的通道区上。露出的鳍状物可掺杂杂质,即源极/漏极区的后续外延结构形成处。在一些实施例中,可在前述移除部份间隔物层119之前,经由间隔物层119掺杂鳍状物。在一些实施例中,可在移除部份间隔物层119之后,再掺杂鳍状物。举例来说,虽然未特别图示,但可进行注入以形成轻掺杂源极/漏极区(未图示)。上述制程可注入p型杂质、n型杂质、或上述两者。用以注入两型掺质的步骤将说明如下,但若必要的话可只注入n型或p型的杂质。掩模如光阻可形成于一些鳍状物111(比如用于p型装置的鳍状物)上,并露出需掺杂的其他鳍状物111(比如用于n型装置的鳍状物)。接着可将n型杂质注入至露出的鳍状物111中。n型杂质可为磷、砷、或类似物,其可注入至所需浓度。在注入完成后可移除掩模,且移除方法可为可行的灰化制程。之后可形成掩模如光阻于之前露出且注入n型杂质的鳍状物111上,且掩模露出之前n型杂质注入中掩模的其他鳍状物111。接着可将p型杂质注入至露出的鳍状物中。p型杂质可为硼、BF2、或类似物,其可注入至所需浓度。在注入后,可移除光阻,且移除方法可为可行的灰化制程。在注入后,可进行回火以活化注入的杂质。
如图3B所示的剖视图,其为图3A-3的结构沿着鳍状物111(如内侧鳍状物111i或外侧鳍状物111o)的长度方向的剖视图,比如沿着图1中的切线B-B。如前所述,间隔物层119形成于虚置栅极堆叠130上,且被蚀刻以产生栅极间隔物123。在图3B中,自鳍状物111的顶部与虚置栅极堆叠130的顶部移除间隔物层119的水平部份,且移除方法如前述的间隔物凹陷化步骤(见图3A-1至3A-7)。蚀刻可让栅极间隔物123具有圆润化的上表面。此外,在间隔物的蚀刻制程中可露出并移除部份的硬掩模135,以形成硬掩模135'。硬掩模135'亦可具有圆润化的上表面。
如图4A所示,形成不对称的外延结构于鳍状物111上。在图4A中,外延125与外延129分别形成于内侧鳍状物111i与外侧鳍状物111o上。外延成长可为任何合适技术,比如有机金属气相沉积、分子束外延、液相外延、气相外延、类似技术、或上述的组合。形成于源极/漏极区中的外延125与外延129可包含任何材料,以用于鳍状场效晶体管的可行应用中。举例来说,外延125与外延129可包含硅或硅锗。外延125与外延129的组成可为半导体材料,其第一晶格常数不同于鳍状物111的第二晶格常数。如图4A所示,外延成长可形成自内侧鳍状物111i与外侧鳍状物111o的原先轮廓凸起的晶面结构。外延125与129其横向的垂直晶面可实质上平行于(在制程变异中)内侧鳍状物111i与外侧鳍状物111o的侧壁。本技术领域中具有通常知识者应理解外延125与外延129的实际形状可不同于附图中的形状。举例来说,若外延125与外延129的成长时间较长,则横向晶面将朝一点形成,如图9至11所示。外延将成长于结晶的鳍状物111其露出的表面上,因此外延不会成长于虚置外延堆叠130下或间隔物121a/121b/121c上。
由于凹陷的间隔物121a露出的外侧鳍状物111o的外侧侧壁,比内侧鳍状物111i其露出的侧壁多,因此成长于外侧鳍状物111o上的外延129的尺寸与体积,大于成长于内侧鳍状物111i上的外延125的尺寸与体积。如此一来,外侧鳍状物111o上的外延129具有较大的整体高度、宽度、体积、与表面积。由于外侧鳍状物111o上的外延体积较大,可形成较大的整体应力促进体于鳍状场效晶体管的源极/漏极区,进而增加载子移动率。
由于一些实施例中的间隔物121a其凹陷程度大于间隔物121c其凹陷程度,外延129其侧边与侧边之间的中心129c将偏离外侧鳍状物111o的中心,且中心129c较接近外侧鳍状物111o的外侧。换言之,外延129与外侧鳍状物111o离轴。在一些实施例中,当间隔物121a的凹陷程度大于间隔物121c的凹陷程度时,外延129其侧边与与侧边之间的中心129c实质上对准鳍状物的中心。外延125其侧边与侧边之间的中心125c实质上对准内侧鳍状物111i的中心。
自凹陷的间隔物121a至外延129的最高点之间的距离,定义为外延129的高度h3。外延129其两侧之间最宽的距离,定义为外延129的宽度w3。自间隔物121b至外延129的最高点之间的距离,定义为外延129的高度h4。自间隔物121b至外延125的最高点之间的距离,定义为外延125的高度h5。外延125其两侧之间最宽的距离,定义为外延125的宽度w5。外侧鳍状物111o的顶部与外延129的最高点之间具有距离d1,而内侧鳍状物111i的顶部与外延125的最高点之间具有距离d2。距离d2小于距离d1。高度h3可介于约20nm至约100nm之间。高度h4可介于约19nm至约95nm之间。高度h5可介于约18nm至约90nm之间。宽度w3可大于25nm。宽度w5可介于约15nm至约25nm之间。距离d1可大于10nm。距离d2可介于约5nm至约10nm之间。上述尺寸仅用以举例,且可采用其他尺寸。
在一些实施例中,外延125与129持续成长至合并在一起,以形成具有连续表面的连续的不对称外延结构,如搭配图10、11、与12A的下述说明。在一些实施例中,与外侧鳍状物111o相邻的间隔物121c(靠近内侧鳍状物111i)可缩小至与间隔物121a类似如前述,以提供更多表面积以进行外延成长于外侧鳍状物111o上(见图11)。
图4B是图4A的结构的剖视图,其沿着外侧鳍状物111o的长度方向,即与图1中剖线B-B的方向类似。在图4B中,外延129的顶部比鳍状物材料高出距离d1。
图4C是图4A的结构的另一剖视图,其沿着内侧鳍状物111i的长度方向,即与图1中剖线B-B的方向类似。在图4C中,外延125的顶部比内侧鳍状物111i高出距离d2,其中图4B中外延129的距离d1大于图4C中外延125的距离d2。外延129的外延成长体积,大于外延125的外延成长体积。应理解的是,剖视图所示的外延125与外延129仅用以举例,其可采用不同设置,端视间隔物如何凹陷。
外延129其较大的体积与高度,可提供应力至装置的通道上,并增加载子移动力。外延其较大的体积与高度亦可提供晶体管的源极/漏极区较大的表面积,其可使连接至源极/漏极区的接点电阻降低。
如图5A至5C所示,沉积层间介电物161。层间介电物161可包含单层或多层。举例来说,一些实施例中沉积层间介电衬垫(未图示),再将层间介电填充材料沉积于层间介电衬垫上。在一些实施例中,层间介电衬垫包含SiO2、SiCN、SiON、Si3N4、或SiNxHy,但亦可采用其他合适的介电材料。层间介电衬垫可进一步包含多个层状物,其包含上述材料的组合。层间介电衬垫物的沉积方法可为一或多道制程,比如物理气相沉积、化学气相沉积、或原子层沉积,但亦可采用任何其他可行制程。此外亦可采用其他材料及/或制程。
在一些实施例中,层间介电衬垫包括SiO2、SiCN、SiOC、SiON、Si3N4、或SiNxHy,但亦可采用其他合适的介电膜。在沉积隔离介电物后,可硬化或处理隔离介电物。举例来说,硬化可包含照射紫外线,而处理可包含在N2、O2、或H2O环境中进行回火,且回火温度高于200℃。举例来说,隔离介电物可为SiO2,且其形成方法可为化学气相沉积、等离子体增强化学气相沉积、原子层沉积、可流动的化学气相沉积、或旋转涂布玻璃等制程。接着可进行平坦化制程如化学机械研磨制程移除多余材料以,形成层间介电物161并露出虚置栅极堆叠130。如图5B与5C所示。
图5B与5C更显示在形成层间介电物161之后,取代虚置栅极堆叠130的栅极堆叠230。图5B是图5A的结构的剖视图,其沿着外侧鳍状物111o的长度方向,即与图1中剖线B-B的方向类似。图5C是图5A的结构的剖视图,其沿着内侧鳍状物111i的长度方向,即与图1中剖线B-B的方向类似。
图5B与5C显示层间介电物161。移除图4B与4C中的虚置栅极堆叠130,并将其取代为栅极堆叠230。移除虚置栅极堆叠的步骤可形成凹陷(未图示)于栅极间隔物123之间,此移除步骤可采用一或多道选择性干式或湿式蚀刻制程移除硬掩模135'、垫层133、虚置栅极132、与虚置栅极介电层131(见图4B与4C)。上述蚀刻采用合适的蚀刻品蚀刻技术,其取决于硬掩模135'、垫层133、虚置栅极132、与虚置栅极介电层131所用的材料。
在一些实施例中,可采用机械方式如化学机械研磨移除部份的虚置栅极堆叠130(比如硬掩模135')。举例来说,一些实施例中的化学机械研磨制程可移除硬掩模135'与垫层133,以露出虚置栅极132。接着可采用蚀刻移除残留的虚置栅极132。
接着可形成栅极堆叠230于扎极间隔物123之间的凹陷(未图示)中。若不再使用虚置栅极介电层131,可形成栅极介电物231于鳍状物111的通道区上。上述栅极介电物231的形成方法可为沉积或氧化。新形成的栅极介电物231或再使用的虚置栅极介电层131将称作置换的栅极介电物。置换的栅极介电物231可为高介电常数的介电材料,其可沿着间隔物121与栅极间隔物123的侧壁衬垫栅极堆叠230。在置换的栅极介电物231上,可形成置换的栅极232如金属栅极材料。视情况可形成置换的栅极垫层(未图示)于置换的栅极232上。
其他层状物(未图示)亦可用于置换的栅极堆叠230中。举例来说,可采用功函数层、阻障层、黏着层、及/或类似层。举例来说,扩散阻障层可形成于置换的栅极介电物231上,其可为掺杂硅或未掺杂硅的氮化钛。功函数层頋形成于扩散阻障层上,以决定栅极的功函数。功函数层可包含至少一层或不同材料的多层。功函数层的材料选择,可依据个别鳍状场效晶体管为n型鳍状场效晶体管或p型鳍状场效晶体管。举例来说,当鳍状场效晶体管为n型鳍状场效晶体管时,功函数层可包含氮化钽层,或氮化钽层与其上的钛铝层。当鳍状场效晶体管为p型鳍状场效晶体管时,功函数层可包含氮化钽层、氮化钽层与其上的氮化钛层、以及氮化钛层与其上的钛铝层。在沉积功函数层后,可形成阻障层。上述阻障层可为另一氮化钛层。
如图6A至6C所示,在形成第二层间介电物163与开启接点区之后,对外延129与125进行硅化制程以产生硅化物171的接点区。第二层间介电物163的沉积方法,与层间介电物161的沉积方法类似,其采用的制程与材料可参考上述形成层间介电物161的说明。可形成接点开口165穿过层间介电物161与第二层间介电物163,以用于源极/漏极接点。接点开口165可露出外延125与129,其形成方法可采用可行的光微影与蚀刻技术。在层间介电物161与第二层间介电物163的组成为氧化硅的实施例中,蚀刻制程可为干蚀刻或者化学蚀刻后进行湿蚀刻。此外可采用其他材料及/或制程。
在一些实施例中,接点开口165露出的外延125与129的数目,可比图6A所示的接点开口165露出的外延125与129数目更多或更少。
通过硅化制程可形成硅化物171,且硅化制程包含沉积金属与回火金属以形成硅化物171。举例来说,可顺应性的沉积导电材料于源极/漏极的接点开口中。导电材料可为铜、铜合金、银、金、钨、铝、镍、上述的组合、或类似物。可进行回火制程以形成硅化物171于源极/漏极的外延125与129的表面中。在一些实施例中,由于外延125与129的尺寸差异,外侧鳍状物111o的硅化物的表面积可大于内侧鳍状物111i的硅化物的表面积。在一些实施例中,在回火制程后可移除多余的导电材料。
在一些实施例中,外延125与129可合并以形成单一的不对称外延结构(见图10),比如搭配图4A的上述说明。在这些实施例中,上述硅化制程可形成连续的硅化物于单一的外延结构上。
在一些实施例中,在形成层间介电物163之前,可形成接点开口(未图示)与第一接点(未图示)。接点蚀刻停止层(未图示)可沉积于层间介电物161上。接着可形成第二层间介电物163如前述,并图案化第二层间介电物163以露出第一接点上的接点蚀刻停止层。接着移除露出的接点蚀刻停止层,以露出第一接点。接着可形成第二接点(未图示)于第一接点上。在这些实施例中,第一接点与第二接点为堆叠配置。第一接点可在前述的硅化制程之后形成,且其采用的制程与材料可与下述接点181'(见图8A)采用的制程与材料类似。
图6B是图6A的结构的剖视图,其沿着外侧鳍状物111o的长度方向,即与图1中剖线B-B的方向类似。图6C是图6A的结构的剖视图,其沿着内侧鳍状物111i的长度方向。图6B与6C中显示接点开口165,以及接触图6B的外延129与图6C的外延125的硅化物171。第二层间介电物163覆盖栅极堆叠230。
如图7A至7C所示,将金属接点材料181填入层间介电物161与第二层间介电物163的开口。金属接点材料181可包含一或多层的导电材料如铜、铜合金、银、金、钨、铝、镍、上述的组合、或类似物。导电材料的沉积方法可为任何合适方法,比如化学气相沉积或等离子体增强化学气相沉积。在一些实施例中,金属接点材料181可沉积于外侧鳍状物111o与内侧鳍状物111i的外延125与129之间与之下,比如沉积于沟槽中并接触间隔物121b与121c以及浅沟槽隔离区103的上表面。在一些实施例中,当外延125与129合并成单一外延时,金属接点材料181将不会填入外延125与129下方的鳍状物之间。金属接点材料181可沉积过多,即金属接点材料181的顶部表面高于层间介电物163的顶部表面。
图7B是图7A的结构的剖视图,其沿着外侧鳍状物111o的长度方向,即与图1中剖线B-B的方向类似。图7C是图7A的结构的另一剖视图,其沿着内侧鳍状物111i的长度方向。在图7B与7C中,金属接点材料181超出第二层间介电物163上。
如图8A至8C所示,平坦化金属接点材料181以形成平坦化的接点181’。上述平坦化制程如化学机械研磨可移除多余的金属接点材料181,并使接点181’的上表面与第二层间介电物163的上表面齐平(即共平面)。在一些实施例中,平坦化制程亦可缩小层间介电物163的厚度,使其具有所需厚度。
图8B是图8A的结构的剖视图,其沿着外侧鳍状物111o的长度方向,即与图1中剖线B-B的方向类似。图8C是图8A的结构的另一剖视图,其沿着内侧鳍状物111i的长度方向。在图8B与8C中,接点181’与第二层间介电物163共平面(在制程变异中)。
上述制程可具有多种变化。举例来说,图9至11是一些实施例的多种设置。特别的是,图9所示的实施例中,位于每一外侧鳍状物111o的内侧与外侧的凹陷化的间隔物121,将进一步凹陷化或修整。图10与11显示一些实施例的鳍状物配置,其中鳍状物的外延合并成单一的不对称外延结构。图10所示的实施例具有较密的鳍状物间距,并进一步修整位于每一外侧鳍状物111o其外侧上的凹陷的间隔物121。图11所示的实施例具有较密的鳍状物间距,并进一步修整位于每一外侧鳍状物111o其内侧与外侧上的凹陷的间隔物121。
如图9所示的实施例的制程阶段,可对应搭配图8A的上述说明的制程阶段。然而图9所示的位于每一外侧鳍状物111o的内侧(如凹陷的间隔物121c)与外侧(如凹陷的间隔物121a)上的凹陷的间隔物121可修整或进一步凹陷化,比如搭配图3A-4的上述说明。举例来说,在第一蚀刻产生凹陷的间隔物121(见图3A-1)后,第二蚀刻可沿着间隔物121a修整间隔物121c,且第二制程采用的制程与材料可如搭配图3A-4的说明所述。图9所示的实施例的其余步骤,则与搭配图4A至8C的上述说明类似,在此不重复说明。
如搭配图4A的上述说明,外延结构的形状可与第4A至8C图中的形状不同。图9至11显示的外延结构其侧壁向外横向延伸至一点。本技术领域中具有通常知识者应理解,外延125与129可具有其他形状,且这些形状亦属本发明的范畴。
如图10所示的一实施例,是对应图8A所示的制程的中间阶段。然而图10的实施例中,鳍状物111的鳍状物间距比其他实施例(比如搭配图3A-5的上述说明)中的鳍状物间距更密。位于外侧鳍状物111o的外侧上的凹陷的间隔物121a将进一步凹陷化或修整,比如搭配图3A-5的上述说明。举例来说,在形成间隔物层119之后(见图3A-5的上图),进行蚀刻以产生凹陷的间隔物121b与121c以及修整或进一步凹陷的间隔物121a(见图3A-5的下图)。上述步骤可采用前述的制程与材料。图10所示的实施例的其余步骤,则与搭配图4A至8C的上述说明类似,在此不重复说明。
图10显示单一的不对称外延结构127,其包含大的外延129与小的外延125的合并物。对此处所述的任一实施例而言,外延125与129可分别向外成长,直到接触并合并成单一的外延结构127。当鳍状物间距较密,这些鳍状物一开始就紧密的一起成长,因此个别的外延125与129更易形成合并的外延结构127。举例来说,可搭配图3A-5中关于鳍状物间距的说明。当鳍状物间距较疏,若外延125与129外延成长至够大尺寸时亦可形成合并的外延结构127。举例来说,外延125与129的侧边至侧边的宽度(见图4A的宽度w3与w5)比鳍状物111的鳍状物间距大时,即可形成合并的外延结构127。
当外延125与129合并成单一的外延结构127时,空洞166可形成于合并的外延结构127下与间隔物121b与121c之间。在一些实施例中,可自鳍状物111的末端处的沟槽104中的开口(未图示),将材料部份地或完全地填入空洞166。举例来说,空洞166可填有层间介电物161的材料,或者可填有后续氧化或蚀刻制程所形成的材料。在一些实施例中,空洞166保留如气袋。
在另一实施例中,图10可改为包含图3A-6所示的间隔物配置。举例来说,图10的间隔物121b与121c可桥接以产生间隔物121d,如图3A-6所示。搭配图3A-6的上述说明中的制程与材料,可形成间隔物121d与121a。其余步骤则与搭配图4A至8C的上述说明类似,在此不重复说明。
如图11所示的实施例,其制程阶段对应图8A所示的制程。图11的实施例显示较密的鳍状物间距与合并的外延结构127,比如搭配图10的上述说明。如图11的实施例所示,可进一步凹陷化或修整位于每一鳍状物110o的内侧(比如凹陷的间隔物121c)与外侧(比如凹陷的间隔物121a)上的凹陷的间隔物121,比如搭配图9的上述说明。图11所示的实施例的其余步骤,则与搭配图4A至8C的上述说明类似,在此不重复说明。
虽然上述制程与其形成的装置具有四个鳍状物,但本技术领域中具有通常知识者应理解上述制程可用于具有其他数目(比如两个、三个、五个、六个、或更多个)的鳍状物的鳍状场效晶体管。
图12A与12B是一些实施例中,具有两个鳍状物的鳍状场效晶体管结构。图12A与12B所示的结构具有两个外侧鳍状物111o,比如搭配图4A至8C的上述实施例。外侧的间隔物121a可进一步凹陷化或修整,如同搭配图3A-1至3A-3与图3A-5至3A-7的上述制程与材料。内侧的间隔物121c其凹陷的程度,小于外侧的间隔物121a其凹陷的程度。在两个外侧鳍状物111o上的外延129,可具有相同的外侧的高度h3。由于间隔物121a进一步凹陷化,因此每一鳍状物上的外延129不对称,即外侧的高度h3大于内侧的高度h4,如同搭配图4A的上述说明。如此一来,外延129的高度与体积将大于间隔物121a未进一步凹陷所形成的外延的高度与体积,且外延129可产生更多应力于晶体管的源极/漏极区上,以改善载子移动率。
图12A所示的一实施例中,鳍状物间距较密,且外延成长因凹陷的间隔物121a而较大。外延129合并乘单一的外延结构127,比如搭配图10的上述说明。用以形成图12A所示的实施例的其余步骤,可为搭配图4A至8C的上述说明,在此不重复说明。
图12B所示的一实施例中,鳍状物间距较疏,且外延成长因凹陷的间隔物121a而较大。外延129具有两个分开的外延物。用以形成图12B所示的实施例的其余步骤,可为搭配第4A至8C图的上述说明,在此不重复说明。
本技术领域中具有通常知识者应理解,图12A与12B中外延129的最终形状可不同于附图中的形状。举例来说,外延的形状可较类似图4A至8C所示的形状。举例来说,图12A中的外延129并未完全合并在一起,如图10与11所示。
本技术领域中具有通常知识者应理解,与图2A至12B类似的结构(比如含有不同数目的鳍状物)其形成方法,亦可采用上述制程与材料。举例来说,三个鳍状物的结构可具有两个外侧鳍状物111o与一个内侧鳍状物111i。同样地,五个鳍状物的结构可具有两个外侧鳍状物111o与三个内侧鳍状物111i。一般而言,n个鳍状物的结构可具有两个外侧鳍状物111o与n-2个内侧鳍状物111i。
上述实施例可产生不对称的外延源极/漏极结构。外侧外延其较高的高度与较大的体积,可促进整体的应力效应,进而增加载子移动率。在一些实施例中,外侧鳍状物两侧上的间隔物可凹陷化。在其他实施例中,只有外侧鳍状物其外侧的鳍状物可凹陷化。上述凹陷化的间隔物可露出更多鳍状物以用于外延成长,且可增加外侧外延的体积。不对称的外延亦可提供较大的表面积,使外延形成的源极/漏极区的接点电阻降低。
一实施例的方法包括形成多个鳍状物于基板上,其中每一鳍状物具有顶部与多个侧壁。形成虚置栅极结构于鳍状物上。形成间隔物层于虚置栅极结构的顶部与侧壁上以及鳍状物的顶部与侧壁上。使间隔物层凹陷,以沿着每一鳍状物的侧壁形成不对称的凹陷的间隔物,并露出虚置栅极结构的相反两侧上的每一鳍状物的部份。外延成长源极/漏极外延于每一鳍状物露出的部份上,其中第一鳍状物上的第一源极/漏极外延与第二鳍状物上的第二源极/漏极外延不对称。
在一些实施例中,上述方法使间隔物层凹陷化以形成不对称的凹陷的间隔物的步骤包括:进行第一蚀刻使每一鳍状物上的间隔物层实质上一致地凹陷化;形成掩模于该些鳍状物的一或多个鳍状物上,以覆盖第一组的凹陷的间隔物;以及进行第二蚀刻,使第二组的凹陷的间隔物进一步凹陷化。
在一些实施例中,上述方法中第二组的凹陷化的间隔物,包含鳍状物的每一外侧鳍状物的内侧间隔物与外侧间隔物。
在一些实施例中,上述方法中第二组的凹陷化的间隔物包括鳍状物的每一外侧鳍状物的外侧间隔物。
在一些实施例中,上述方法中使间隔物层凹陷化的步骤包括:以第一蚀刻速率蚀刻第一组的间隔物,以形成不对称的凹陷的间隔物的第一组的间隔物;以及以第二蚀刻速率蚀刻第二组间隔物,以形成不对称的凹陷的间隔物的第二组的间隔物,其中第一蚀刻速率比第二蚀刻速率慢。
在一些实施例中,降低蚀刻品气体的浓度以达第一蚀刻速率。
一实施例的方法包括形成多个鳍状物于基板上。形成栅极结构于鳍状物上。沉积间隔物材料于鳍状物上与其源极/漏极区中的鳍状物之间。使间隔物材料凹陷化,以露出每一鳍状物的顶部,其中使间隔物材料凹陷化的步骤包括:使鳍状物的第一鳍状物的间隔物材料凹陷化,以露出第一鳍状物的外侧,其中第一鳍状物露出的外侧具有第一高度;以及使鳍状物的第二鳍状物的间隔物材料凹陷化,以露出第二鳍状物的第一侧,其中第二鳍状物露出的第一侧具有第二高度。第一高度大于第二高度。外延成长第一外延于第一鳍状物的露出表面上,以及外延成长第二外延于第二鳍状物的露出表面上,其中第一外延的高度大于第二外延的高度。
在一些实施例中,上述方法使间隔物材料凹陷化以露出鳍状物的顶部的步骤,进一步包括:在第一蚀刻中蚀刻间隔物材料,使间隔物材料降低到低于鳍状物的上表面;形成光阻于鳍状物上;图案化光阻以露出第一鳍状物的外侧;以及在第二蚀刻中蚀刻第一鳍状物的外侧的间隔物材料。
在一些实施例中,上述方法使间隔物材料凹陷化以露出鳍状物的顶部的步骤,进一步包括:蚀刻间隔物材料,使间隔物材料降低到低于鳍状物的上表面;以第一蚀刻速率蚀刻鳍状物之间的间隔物材料;以及以第二蚀刻速率蚀刻第一鳍状物外侧的间隔物材料,其中第一蚀刻速率小于第二蚀刻速率。
在一些实施例中,上述方法降低蚀刻气品气体的浓度,以达第一蚀刻速率。
在一些实施例中,上述方法更包括:沉积层间介电物于栅极结构、第一外延、与第二外延上;形成开口于层间介电物中,且开口位于第一外延与第二外延的一或多者上;以及形成接点以电性耦接至第一外延与第二外延。
一实施例的装置包括半导体基板,其包括相邻且彼此平行的第一鳍状物与第二鳍状物。装置具有栅极结构,其形成于第一鳍状物与第二鳍状物上,且栅极结构垂直于第一鳍状物的长度方向。第一外延形成于栅极结构的第一侧上的第一鳍状物上。第二外延形成于栅极结构的第一侧上的第二鳍状物上。第一外延的高度大于第二外延的高度。
在一些实施例中,上述装置更包括:第一间隔物,接触第一鳍状物的外侧;第二间隔物,接触第一鳍状物的内侧;以及第三鳍状物,接触第二鳍状物的第一侧,且第二鳍状物的第一侧面对第一鳍状物的内侧,其中第一间隔物的上表面与第一鳍状物的上表面之间的第一距离,大于第三间隔物的上表面与第二鳍状物的上表面之间的第二距离。
在一些实施例中,上述装置的第一距离大于第二间隔物的表面与第一鳍状物的上表面之间的第三距离。
在一些实施例中,上述第一外延与第一间隔物的界面,比第二外延与第三间隔物的界面更靠近基板的主要表面。
在一些实施例中,上述装置的第一外延与第二外延合并成单一的外延结构。
在一些实施例中,上述装置更包括第一硅化物形成于第一外延上,以及第二硅化物形成于第二外延上,其中第一硅化物的表面积大于第二硅化物的表面积。
在一些实施例中,上述装置的第一外延与第二外延合并成单一的外延结构,且第一硅化物与第二硅化物具有连续表面于单一的外延结构上。
在一些实施例中,上述装置更包括硅化物形成于第一外延与第二外延上,层间介电物形成于第一外延与第二外延上,且层间介电物具有开口于硅化物上;以及金属接点形成于开口中。
在一些实施例中,上述装置更包括:第三外延形成于栅极结构的第一侧上的基板的第三鳍状物上,其中第三外延的高度与第一外延的高度几乎相同,且第三鳍状物与第一鳍状物位于第二鳍状物的相反两侧上。
本发明已以多个实施例揭露如上,以利本技术领域中具有通常知识者理解本发明。本技术领域中具有通常知识者可采用本发明为基础,设计或调整其他制程与结构,用以实施实施例的相同目的,及/或达到实施例的相同优点。本技术领域中具有通常知识者应理解上述等效置换并未偏离本发明的精神与范畴,并可在未偏离本发明的精神与范畴下进行这些不同的改变、置换、与调整。

Claims (1)

1.一种半导体装置的形成方法,包括:
形成多个鳍状物于一基板上,每一该些鳍状物具有一顶部与多个侧壁;
形成一虚置栅极结构于该些鳍状物上;
形成一间隔物层于该虚置栅极结构的顶部与侧壁上以及该些鳍状物的顶部与侧壁上;
使该间隔物层凹陷,以沿着每一该些鳍状物的侧壁形成不对称的多个凹陷的间隔物,并露出虚置栅极结构的相反两侧上的每一该些鳍状物的部份;以及
外延成长一源极/漏极外延于每一该些鳍状物露出的部份上,其中一第一鳍状物上的一第一源极/漏极外延与一第二鳍状物上的一第二源极/漏极外延不对称。
CN201710557756.XA 2016-11-29 2017-07-10 半导体装置的形成方法 Active CN108122768B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427742P 2016-11-29 2016-11-29
US62/427,742 2016-11-29
US15/592,899 2017-05-11
US15/592,899 US9991165B1 (en) 2016-11-29 2017-05-11 Asymmetric source/drain epitaxy

Publications (2)

Publication Number Publication Date
CN108122768A true CN108122768A (zh) 2018-06-05
CN108122768B CN108122768B (zh) 2022-07-29

Family

ID=62190411

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710557756.XA Active CN108122768B (zh) 2016-11-29 2017-07-10 半导体装置的形成方法

Country Status (3)

Country Link
US (5) US9991165B1 (zh)
CN (1) CN108122768B (zh)
TW (1) TWI722218B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110783268A (zh) * 2018-07-31 2020-02-11 台湾积体电路制造股份有限公司 用于形成半导体的方法以及半导体器件
CN111383917A (zh) * 2018-12-29 2020-07-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113764352A (zh) * 2020-08-13 2021-12-07 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108122976B (zh) * 2016-11-29 2020-11-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、以及sram
US10049936B2 (en) * 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10658370B2 (en) * 2017-06-29 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10325811B2 (en) * 2017-10-26 2019-06-18 Globalfoundries Inc. Field-effect transistors with fins having independently-dimensioned sections
US10269932B1 (en) * 2018-01-18 2019-04-23 Globalfoundries Inc. Asymmetric formation of epi semiconductor material in source/drain regions of FinFET devices
KR102476142B1 (ko) * 2018-03-14 2022-12-09 삼성전자주식회사 반도체 장치
CN110634951B (zh) * 2018-06-25 2022-12-16 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
DE102018127585A1 (de) * 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Erhöhen des volumens von epitaxiebereichen
US10748775B2 (en) * 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with silicide and method for forming the same
US10692775B2 (en) * 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10686033B2 (en) 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US11037818B2 (en) * 2019-05-30 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having epitaxial structure and method for forming the same
US11133223B2 (en) 2019-07-16 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selective epitaxy
DE102020121514A1 (de) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11527650B2 (en) 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11348840B2 (en) * 2019-10-30 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN112951722A (zh) * 2019-12-10 2021-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11239366B2 (en) 2020-01-30 2022-02-01 Globalfoundries U.S. Inc. Transistors with an asymmetrical source and drain
US11315834B2 (en) * 2020-08-13 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFETs with epitaxy regions having mixed wavy and non-wavy portions
US11984478B2 (en) * 2020-08-14 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Forming source and drain features in semiconductor devices
KR20220049088A (ko) 2020-10-13 2022-04-21 삼성전자주식회사 반도체 장치
KR20220079730A (ko) 2020-12-04 2022-06-14 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11757024B2 (en) * 2021-04-07 2023-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Etch selectivity control for epitaxy process window enlargement in semiconductor devices
US11949016B2 (en) 2021-05-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and related methods
US11862519B2 (en) * 2021-08-30 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device with epitaxial features having adjusted profile and method for manufacturing the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103378152A (zh) * 2012-04-24 2013-10-30 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN104733312A (zh) * 2013-12-18 2015-06-24 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9159630B1 (en) * 2014-07-14 2015-10-13 Globalfoundries Inc. Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
US20160315193A1 (en) * 2015-04-27 2016-10-27 Samsung Electronics Co., Ltd. Semiconductor devices including fin bodies with varied epitaxial layers
US20160315081A1 (en) * 2015-04-21 2016-10-27 Miseon PARK Semiconductor device having fin active regions and method of fabricating the same
US20160315172A1 (en) * 2015-04-24 2016-10-27 GlobalFoundries, Inc. Finfet devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8278179B2 (en) * 2010-03-09 2012-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. LDD epitaxy for FinFETs
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9281378B2 (en) * 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8809139B2 (en) * 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
JP6143663B2 (ja) * 2013-12-19 2017-06-07 株式会社東芝 多孔質触媒層、その製造方法、膜電極接合体および電気化学セル
KR102146469B1 (ko) * 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102160100B1 (ko) * 2014-05-27 2020-09-25 삼성전자 주식회사 반도체 장치 제조 방법
CN105470133B (zh) * 2014-09-06 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103378152A (zh) * 2012-04-24 2013-10-30 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN104733312A (zh) * 2013-12-18 2015-06-24 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9159630B1 (en) * 2014-07-14 2015-10-13 Globalfoundries Inc. Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
US20160315081A1 (en) * 2015-04-21 2016-10-27 Miseon PARK Semiconductor device having fin active regions and method of fabricating the same
US20160315172A1 (en) * 2015-04-24 2016-10-27 GlobalFoundries, Inc. Finfet devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
US20160315193A1 (en) * 2015-04-27 2016-10-27 Samsung Electronics Co., Ltd. Semiconductor devices including fin bodies with varied epitaxial layers

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110783268A (zh) * 2018-07-31 2020-02-11 台湾积体电路制造股份有限公司 用于形成半导体的方法以及半导体器件
CN110783268B (zh) * 2018-07-31 2023-03-10 台湾积体电路制造股份有限公司 用于形成半导体的方法以及半导体器件
CN111383917A (zh) * 2018-12-29 2020-07-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111383917B (zh) * 2018-12-29 2023-02-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113764352A (zh) * 2020-08-13 2021-12-07 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11990377B2 (en) 2020-08-13 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric epitaxy regions for landing contact plug

Also Published As

Publication number Publication date
TW201830494A (zh) 2018-08-16
TWI722218B (zh) 2021-03-21
US20180286759A1 (en) 2018-10-04
CN108122768B (zh) 2022-07-29
US10312145B2 (en) 2019-06-04
US20180151439A1 (en) 2018-05-31
US20190287859A1 (en) 2019-09-19
US11037827B2 (en) 2021-06-15
US20210313232A1 (en) 2021-10-07
US20240105516A1 (en) 2024-03-28
US11854897B2 (en) 2023-12-26
US9991165B1 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
CN108122768A (zh) 半导体装置的形成方法
US11158744B2 (en) Fin field effect transistor (FinFET) device and method for forming the same
TWI814918B (zh) 半導體裝置與其形成方法
KR101208797B1 (ko) 피치 분할 패터닝 방법
TWI682443B (zh) 半導體結構與其形成方法
US9159630B1 (en) Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
CN109427870A (zh) 半导体结构及其形成方法
CN108231588A (zh) 晶体管及其形成方法
CN108122960A (zh) 半导体装置
US11631754B2 (en) Method of fabricating semiconductor device
US10249536B2 (en) Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
TWI714853B (zh) 在電晶體裝置上形成取代閘極結構之方法
CN109585305B (zh) 一种形成半导体器件的方法
CN108122765A (zh) 半导体装置的形成方法
CN103177950A (zh) 制造鳍器件的结构和方法
CN108122978A (zh) 半导体装置
KR20070094616A (ko) Sio 웨이퍼에 리세스된 소스/드레인 영역들을 포함한반도체 제조 공정
CN108122986A (zh) 半导体装置结构的形成方法
CN110034180A (zh) 半导体装置结构的形成方法
US11037788B2 (en) Integration of device regions
CN112309856B (zh) 半导体结构的形成方法
US20080105899A1 (en) Semiconductor device with epitaxially grown layer and fabrication method
CN111162045A (zh) 一种用于在半导体翅片的阵列上产生栅极切割结构的方法
KR102488070B1 (ko) 반도체 장치 및 그 제조 방법
TW202002043A (zh) 半導體裝置的形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant