CN108122960A - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN108122960A
CN108122960A CN201710464387.XA CN201710464387A CN108122960A CN 108122960 A CN108122960 A CN 108122960A CN 201710464387 A CN201710464387 A CN 201710464387A CN 108122960 A CN108122960 A CN 108122960A
Authority
CN
China
Prior art keywords
fin
sub
district
epitaxial
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710464387.XA
Other languages
English (en)
Other versions
CN108122960B (zh
Inventor
宋学昌
李昆穆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108122960A publication Critical patent/CN108122960A/zh
Application granted granted Critical
Publication of CN108122960B publication Critical patent/CN108122960B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

具有第一鳍状结构与第二鳍状结构于基板上的半导体装置其形成方法包括:形成第一外延区于第一鳍状结构上;以及形成第二外延区于第二鳍状结构上。方法亦包括形成缓冲区于第一鳍状结构的第一外延区上;以及回蚀刻部份的第二外延区。缓冲区有助于避免回蚀刻步骤蚀刻第一外延区的上表面。此外,盖区形成于缓冲区与蚀刻后的第二外延区上。

Description

半导体装置
技术领域
本发明实施例关于半导体装置与其制作方法。
背景技术
随着半导体技术进步,对较高储存能力、较快处理系统、较高效能、与较低成本的需求也提高。为达上述需求,半导体产业持续缩小半导体装置(如金氧半场效晶体管,包括平面的金氧半场效晶体管与鳍状场效晶体管)的尺寸。
上述尺寸缩小亦增加半导体制程的复杂性。
发明内容
本发明一实施例提供的半导体装置,包括:鳍状结构位于基板上,鳍状结构包含源极/漏极区,且源极/漏极区包含:第一外延区,具有第一掺质浓度;第二外延区,具有第一掺质浓度;合并外延区,位于第一外延区与第二外延区上,且具有第二掺质浓度,且第一掺质浓度不同于第二掺质浓度;外延缓冲区,位于合并外延区上,且具有第三掺质浓度,且第三掺质浓度不同于第一掺质浓度与第二掺质浓度;以及外延盖区,位于合并外延区与缓冲外延区上,且具有第四掺质浓度,且第四掺质浓度不同于第一掺质浓度、第二掺质浓度、与第三掺质浓度;介电区,位于基板上且位于第一外延区与第二外延区之间;以及置换栅极结构,位于鳍状结构上。
附图说明
图1是例示性的鳍状场效晶体管的等角视图。
图2是图1的例示性的鳍状场效晶体管沿着线段A-A的剖视图。
图3是例示性的鳍状场效晶体管的等角视图。
图4至8是鳍状场效晶体管于例示性的制程的多种阶段中的等角视图。
图9A至12A与9B至12B是鳍状场效晶体管于例示性的制程的多种阶段的剖视图。
图13是制作鳍状场效晶体管的例示性的方法其流程图。
【符号说明】
A-A、B-B、C-C 线段
D 深度
S、960、962、964 空间
W 宽度
100、300 鳍状场效晶体管
102 基板
104A、104B、304 鳍状结构
106 浅沟槽隔离区
106t、313s、313s*、546At、546Bt、750s 上表面
108 栅极结构
110A、110B、310 外延区
112A、112B、113A、113A*、113B、113B*、114A、114B、311、312、313、313*、314、315、912A、912B、913A*、913B* 子区
116 介电层
116t、120t、122t、314t、315t、315t* 厚度
118 栅极
120 间隔物
120* 未图案化的间隔物
120a、120b、120c 间隔物部份
121A、123、221A、313i、321A、321B、852A、852B、913i 界面
122 栅极功函数金属层
124 栅极金属填充层
313a、313b、313c*、313d* 部份
313a*、313b* 斜向表面
313e、313f 表面
315* 缓冲区
326 空洞
436a 垫层
436b 硬掩模层
438 图案化的光阻层
504A、504B 鳍状区
546A、546B 较上鳍状部份
748 结构
750 图案化的多晶硅结构
854A、854B 凹陷的鳍状区
956 高度水平
958、1158 合并窗口
1300 方法
1310、1320、1330、1340、1350、1360、1370、1380、1390 步骤
具体实施方式
下述内容提供的不同实施例或实例可实施本发明的不同结构。特定构件与排列的实施例用以简化本发明而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者的间隔有其他额外构件而非直接接触。此外,本发明的多种例子中可重复标号,但这些重复仅用以简化与清楚说明,不代表不同实施例及/或设置之间具有相同标号的单元之间具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
鳍状物可经由合适方法图案化。举例来说,鳍状物的图案化方法可采用一或多道光微影制程,比如双重图案化或多重图案化制程。一般而言,双重图案化或多重图案化制程结合光微影与自对准制程,其形成的图案间距小于单一且直接的光微影制程形成的图案间距。举例来说,一些实施例形成牺牲层于基板上,并以光微影制程图案化牺牲层。接着以自对准制程沿着图案化的牺牲层的侧边形成间隔物。接着移除牺牲层,再采用保留的间隔物以图案化鳍状物。
值得注意的是,说明书中的「一个实施例」、「一实施例」、「例示性的实施例」、「例如」等用语,其指的实施例可包含特定结构或特性,但每一实施例不需包含特定结构或特性。此外,这些句子指的不必是相同实施例。另一方面,当特定结构或特性的说明连结至一实施例时,本技术领域中具有通常知识者自可将这些结构与特性连结至其他实施例,即使未特别说明。
应理解的是,用语或术语仅用以说明而非局限本发明实施例,因此本技术领域中具有通常知识者可根据下述内容解读这些用语或术语。
此处所述的用语「约」若无特别说明,指的是揭露数值±10%内的数值。
此处所述的用语「选择性」指的是相同蚀刻条件下两种材料的蚀刻速率之间的比例。
此处所述的用语「基板」指的是后续材料层添加其上的材料。基板本身可图案化或维持未图案化,且添加其顶部上的材料亦可图案化。此外,「基板」可为任何半导体材料如硅、锗、砷化镓、磷化铟、或类似物。在其他实施例中,基板可为非导体如玻璃或蓝宝石晶圆。
此处所述的用语「高介电常数」在半导体装置结构与其形成制程的领域中,指的是介电常数大于氧化硅的介电常数(比如大于3.9)。
此处所述的用语「垂直」指的是垂直于基板表面。
此处所述的用语「合并的鳍状结构」指的是鳍状结构具有合并的外延区,其自鳍状结构的两个或多个未合并的外延区外延成长而成。
此处所述的用语「间隔」指的是单元之间相隔的水平尺寸。
概述
本发明实施例提供多种例示性的集成电路中的鳍状场效晶体管的外延鳍状结构。本发明实施例亦提供集成电路中的不同鳍状场效晶体管所需的外延鳍状结构其例示性的制作方法,以改善外延鳍状结构的权衡。举例来说,此处所述的制作方法可改善现有制程的权衡,比如合并的鳍状结构上的接点区域所需的尺寸,以及集成电路中鳍状场效晶体管的未合并的鳍状结构所需的体积之间的权衡。
例示性的鳍状场效晶体管
图1是进行栅极置换制程后,集成电路中一对例示性的鳍状场效晶体管100的等角视图。
鳍状场效晶体管100形成于基板102上,且包含鳍状结构104A与104B、浅沟槽隔离区106、鳍状结构104A与104B上的栅极结构108、以及间隔物120。图1是以栅极置换制程形成栅极结构108后的等角视图。图1显示单一的栅极结构,然而类似的额外栅极结构(未图示)可平行于栅极结构108。此外,鳍状场效晶体管100可经由其他结构构件如源极/漏极接点、栅极接点、通孔、内连线金属层、介电层、钝化层、或类似物整合至集成电路中。其他结构构件已省略于附图中,以达清楚说明的目的。
鳍状场效晶体管100可设置为两个单鳍的鳍状场效晶体管,其各自具有鳍状结构104A与104B。在其他实施例中,鳍状场效晶体管100可设置为多鳍的鳍状场效晶体管,其具有鳍状结构104A与104B。鳍状结构104A与104B的剖视形状仅用以说明而非局限本发明实施例。
基板102为鳍状场效晶体管100形成其上的物理材料。基板102包含半导体材料比如(但不限于)硅或锗;半导体化合物如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、或锑化铟;合金如碳化硅锗、硅锗、磷砷化镓、磷化镓铟、砷化镓铟、磷砷化镓铟、砷化铝铟、或砷化铝镓;或上述的组合。在一些实施例中,基板102包含结晶硅基板(如硅晶圆)。在一些实施例中,基板102包含外延层及/或绝缘层上硅结构。此外,基板102可掺杂p型掺质如硼、铟、铝、或镓;或n型掺质如磷或砷。掺杂的基板102可设置以用于n型鳍状场效晶体管,或另外设置以用于p型鳍状场效晶体管。
浅沟槽隔离区106提供鳍状结构104A与104B彼此之间的电性隔离,以及上述鳍状结构与整合至或沉积于基板102上的其他相邻有源与无源单元(未图示于图1中)之间的电性隔离。浅沟槽隔离区106的组成可为介电材料。在一些实施例中,浅沟槽隔离区106包含氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃、低介电常数的介电材料、及/或其他合适的绝缘材料。在一些实施例中,浅沟槽隔离区106包含多层结构。
栅极结构108横越鳍状结构104A与104B。位于栅极结构108下的鳍状结构104A与104B其鳍状区,可定义鳍状场效晶体管100的通道区。栅极结构108包含栅极118,以及与栅极118相邻且接触的介电层116。在一些实施例中,介电层116的厚度116t介于1nm至5nm之间。在多种实施例中,栅极结构108可进一步包含盖层、蚀刻停止层、及/或其他合适材料。
介电层116横越鳍状结构104A与104B。在一些实施例中,介电层116包含一或多层的氧化硅、氮化硅、氮氧化硅、或高介电常数介电材料如HfO2、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、或上述的组合。在其他实施例中,高介电常数介电材料可包含金属氧化物。用于高介电常数介电材料的金属氧化物可包含Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、及/或上述的混合物的氧化物。在一些实施例中,介电层116包含单层或堆叠的绝缘材料层。介电层116的形成方法可为化学气相沉积、原子层沉积、物理气相沉积、电子束蒸镀、或其他合适制程。间隔物120包含绝缘材料如氧化硅或氮化硅,且可在鳍状场效晶体管的后续制程中保护其下方区域。间隔物120包含间隔物部份120a以形成栅极结构108的侧壁,间隔物部份120b以形成鳍状结构104A与104B的侧壁,以及间隔物部份120c以形成浅沟槽隔离区106上的保护层。
栅极118可包含栅极功函数金属层122与栅极金属填充层124。在一些实施例中,栅极功函数金属层122位于介电层116上。栅极功函数金属层122可包含金属层的单层或堆叠。金属层的堆叠可包含类似或不同功函数的金属层。在一些实施例中,栅极功函数金属层122包含任何合适材料,比如铝、铜、钨、钛、钽、氮化钛、氮化钽、镍硅、钴硅、银、碳化钽、氮化钽硅、氮碳化钽、钛铝、氮化钛铝、氮化钨、金属合金、及/或上述的组合。在p型装置内,栅极功函数金属层122中包含的例示性功函数金属层可为TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合适的p型功函数材料、或上述的组合。在n型装置内,栅极功函数金属层122中包含的例示性功函数金属层可为Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合适的n型功函数材料、或上述的组合。栅极功函数金属层122的形成方法可采用合适制程,比如原子层沉积、化学气相沉积、物理气相沉积、电镀、或上述的组合。在一些实施例中,栅极功函数金属层122的厚度122t介于2nm至15nm之间。
栅极金属填充层124可包含单层或堆叠的金属层。金属层的堆叠可包含彼此不同的金属。在一些实施例中,栅极金属填充层124包含任何合适的导电材料,比如Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN,Cu、W、Co、Ni、TiC、TiAlC、TaAlC、金属合金、及/或上述的组合。栅极金属填充层124的形成方法可为原子层沉积、物理气相沉积、化学气相沉积、或其他合适的导电材料沉积制程。
为了易于说明图1,后续说明主要以鳍状结构104A为例。鳍状结构104A与104B具有类似结构与组成,应理解若无特别说明,则鳍状结构104A的相关内容亦适用于鳍状结构104B。
鳍状结构104A包含外延区110A位于栅极结构108的两侧上。栅极结构108下的鳍状结构104A的鳍状区,由基板102的图案化部份形成如下述。外延区110A与基板102之间可形成界面121A,且外延区110A与栅极结构108下的部份鳍状结构104A之间可形成界面221A(见图2,未图示于图1)。在一些实施例中,浅沟槽隔离区106与基板102之间的界面123以及界面121A共平面。在一些实施例中,界面121A可高于界面123或低于界面123。在一些实施例中,外延区110A可形成于基板102上部份凹陷的鳍状区(未图示)上。这些部份凹陷的鳍状区可为不位于栅极结构108下的部份鳍状结构104A。这些部份凹陷的鳍状区的上表面,可与外延区110A之间具有界面(未图示),且这些界面可高于界面123。这些部份凹陷的鳍状区的下表面,可与基板102之间形成界面(未图示),且这些界面可高于或低于界面123。
外延区110A设置以作为鳍状场效晶体管100的源极/漏极区,且包含外延成长的半导体材料。在一些实施例中,外延成长的半导体材料与基板102的材料相同。在一些实施例中,外延成长的半导体材料与基板102的材料不同,因此施加应力至栅极结构108下的通道区上。由于这些外延成长的半导体材料的晶格常数,不同于基板102的材料的晶格常数,因此通道区具有应力,并有利于增加鳍状场效晶体管100的通道区中的载子移动率。外延成长的半导体材料可包含半导体材料如锗或硅;半导体化合物材料如砷化镓或砷化铝镓;或半导体合金如硅锗或磷砷化镓。
在一些实施例中,外延区110A的成长方法为化学气相沉积如低压化学气相沉积、原子层化学气相沉积、超高真空化学气相沉积、减压化学气相沉积、或任何合适的化学气相沉积;分子束外延制程、任何合适的外延制程;或上述的组合。在一些实施例中,外延区110A的成长方法为外延沉积/部份蚀刻制程,其重复外延沉积/部份蚀刻制程至少一次。重复的沉积/部份蚀刻制程又称作循环沉积蚀刻制程。在一些实施例中,外延区110A的成长方法为选择性外延成长,其中蚀刻气体可促进半导体材料选择性成长于基板102的露出表面上,而不成长于绝缘材料如浅沟槽隔离区106的介电材料上。
在外延成长制程中,可临场掺杂外延区110A。在多种实施例中,外延区110A可掺杂p型掺质如硼、铟、或镓、n型掺质如磷或砷、及/或上述的组合。硅锗的外延区110A可掺杂p型掺质如硼、镓、或铟、n型掺质如磷或砷、及/或上述的组合;硅的外延区110A可掺杂碳及/或磷。对p型的临场掺杂来说,p型掺杂的前驱物可为但不限于二硼烷、三氟化硼、及/或其他p型掺杂前驱物。对n型的临场掺杂来说,n型掺杂的前驱物可为但不限于磷化氢、砷化氢、及/或其他n型掺杂前驱物。通过临场掺杂制程,可控制外延成长的半导体材料以达所需的掺质浓度。在一些实施例中,未临场掺杂外延区110A,而是进行布植制程以掺杂外延区110A。
每一外延区110A可包含子区112A、113A、与114A,其形成方法可为外延成长。在一些实施例中,子区112A、113A、与114A具有硅锗且彼此不同,比如掺杂浓度、外延成长制程条件、及/或硅与锗的相对浓度不同。举例来说,子区112A的锗原子%小于子区113A的锗原子%,且大于子区114A中的锗原子%。在一些实施例中,子区112A的锗原子%等于子区113A的锗原子%,但大于子区114A的锗原子%。在一些实施例中,子区112A包含15原子%至35原子%的锗,子区113A包含35原子%至70原子%的锗,且子区114A包含小于约25原子%的锗,而子区112A、113A、与114A中的其他原子%为硅。
子区112A、113A、与114A的外延成长其压力可介于10Torr至300Torr之间,温度可介于500℃至700℃之间,且反应气体可包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼前驱物、氢气、及/或氮气。为了达到子区112A、113A、与114A中不同的锗浓度,在个别的成长制程中可改变锗与硅前驱物的流速比例。举例来说,在外延成长子区113A时的锗/硅前驱物流速比例介于9至25之间,且在外延成长子区114A时的锗/硅前驱物流速比例小于约6。子区114A的厚度114At介于2nm至7nm之间,其于集成电路中的鳍状场效晶体管100及/或其他装置的后续制程中,可作子区113A的蚀刻停止层。
子区112A、113A、与114A彼此之间可具有不同的掺质浓度。举例来说,子区112A可为未掺杂,或其掺杂浓度低于子区113A与114A的掺杂浓度。在一些实施例中,子区112A的掺质浓度小于5×1020原子/cm3,子区113A的掺质浓度介于1×1020原子/cm3至2×1021原子/cm3之间,且子区114A的掺质浓度介于1×1020原子/cm3至3×1021原子/cm3之间。
在一些实施例中,鳍状结构104B包含外延区110B,其具有子区112B、113B、与114B。具有子区112B、113B、与114B的外延区110B其结构、组成、与功能,均与具有子区112A、113A、与114A的外延区110A类似。
图2是图1的鳍状场效晶体管100沿着线段A-A的剖视图。应注意图1与2中例示性的鳍状场效晶体管100可不依比例绘示。剖面图显示栅极结构108、间隔物部份120a、与鳍状结构104A的轮廓,且鳍状结构104A包含子区112A、113A、与114A。鳍状场效晶体管100与基板102之间具有界面121A,且与栅极结构108下的鳍状结构104A的区域之间具有界面221A。
图3是栅极置换制程后,集成电路中的例示性鳍状场效晶体管300的等角视图。图3是用以说明且未依比例绘示。鳍状场效晶体管100与300可为相同的集成电路中的一部份,且可具有图2所示的类似结构。
鳍状场效晶体管300包含鳍状结构304。与鳍状场效晶体管100类似,鳍状场效晶体管300包含浅沟槽隔离区106、鳍状结构304上的栅极结构108、与间隔物120。图2仅显示单一的栅极结构108,然而类似的额外栅极结构(未图示)可平行于栅极结构108。此外,鳍状场效晶体管300可经由其他结构构件如源极/漏极接点、栅极接点、通孔、内连线金属层、介电层、钝化层、或类似物整合至集成电路中。其他结构构件已省略于附图中,以达清楚说明的目的。
鳍状结构304包含外延区310于栅极结构108的相反两侧上。栅极结构108下的鳍状结构304的鳍状区,由基板102的图案化部份形成如下述。外延区310与基板102之间可形成界面321A与321B,且外延区310与栅极结构108下的鳍状结构304的区域之间可形成界面(未图示)。在一些实施例中,浅沟槽隔离区106与基板102之间的界面123、界面321A、与界面321B共平面。在一些实施例中,界面321A与321B可高于界面123或低于界面123。
外延区310设置以作为鳍状场效晶体管300的源极/漏极区,且包含外延成长的半导体材料。在一些实施例中,外延成长的半导体材料与基板102的材料相同。在一些实施例中,外延成长的半导体材料与基板102的材料不同,因此施加应力至栅极结构108下的通道区上。外延成长的半导体材料可包含半导体材料如锗或硅;半导体化合物材料如砷化镓或砷化铝镓;或半导体合金如硅锗或磷砷化镓。在一些实施例中,鳍状场效晶体管100的外延区110A与110B的组成,与鳍状场效晶体管300的外延区310的组成彼此相同。
在一些实施例中,外延区310的成长方法为化学气相沉积如低压化学气相沉积、原子层化学气相沉积、超高真空化学气相沉积、或减压化学气相沉积;分子束外延制程、任何合适的外延制程;或上述的组合。
在一些实施例中,外延区310的成长方法为循环沉积蚀刻制程。此外,可在外延成长制程中临场掺杂外延区310,或者在外延成长制程后进行离子布植制程以掺杂外延区310。在多种实施例中,外延区310可掺杂p型掺质如硼、铟、或镓;n型掺质如磷或砷;及/或上述的组合。硅锗的外延区310可掺杂p型掺质、n型掺质、及/或上述的组合。硅的外延区310可掺杂碳及/或磷。对p型的临场掺杂来说,p型掺杂的前驱物可为但不限于二硼烷、三氟化硼、及/或其他p型掺杂前驱物。对n型的临场掺杂来说,n型掺杂的前驱物可为但不限于磷化氢、砷化氢、及/或其他n型掺杂前驱物。
每一外延区310可包含子区311、312、313、314、与315。子区311与312外延成长于基板102的不同部份上,且各自与基板102之间具有界面321A与321B。如图3所示,合并的子区313可包含部份313a与313b,其自未合并的子区311与312外延成长并合并于界面313i处。鳍状结构304可称作合并的鳍状结构,因为其合并外延的部份313a与313b。图1的鳍状场效晶体管100的鳍状结构104A与104B可称作未合并的鳍状结构。此外,子区315可外延成长于子区313的上表面313s上。上表面313s可为子区313的(100)晶面。子区314外延成长于子区313与315上。子区314的厚度314t可介于2nm至7nm之间,且子区315的厚度315t可介于1nm至3nm之间。
在鳍状场效晶体管300的后续制程中,子区314可作为子区313与315的蚀刻停止层。在一些实施例中,子区315设置以作为缓冲层,比如在集成电路中跟着鳍状场效晶体管300一起形成的其他装置(如鳍状场效晶体管装置100)于后续回蚀刻制程时,子区315可保护子层313免于回蚀刻,并保护上表面313s的面积免于缩小。举例来说,后续的回蚀刻制程可控制集成电路中,跟鳍状场效晶体管300一起制作的其他鳍状场效晶体管(如鳍状场效晶体管100)的鳍状结构(如鳍状结构104A与104B)的形状与体积。如此一来,一些实施例中的子区315有助于改善或消除集成电路中,鳍状场效晶体管100其未合并的鳍状结构104a与104B的外延区110A与110B所需的体积,以及上表面313s所需的面积(后续用于合并的鳍状结构304上的接点结构)之间的权衡。在一些实施例中,鳍状场效晶体管100具有未合并的较小鳍状结构如鳍状结构104A与104B,其可用于静态随机存取存储器的位单元中的上拉式晶体管。
在一些实施例中,子区311、312、313、314、与315具有硅锗且彼此不同,比如掺杂浓度、外延成长制程条件、及/或硅与锗的相对浓度不同。举例来说,子区311与312的锗原子%小于子区313的锗原子%,且大于子区314与315中的锗原子%。在一些实施例中,子区311与312的锗原子%等于子区313的锗原子%,但大于子区314与315的锗原子%。在一些实施例中,子区311与312包含15原子%至35原子%的锗,子区313包含35原子%至70原子%的锗,而子区311、312、与313中的其他原子%为硅。在一些实施例中,子区315包含10原子%至15原子%的锗,子区314包含小于25原子%的锗,而子区314与315中的其他原子%为硅。
子区311、312、313、314、与315的外延成长其压力可介于10Torr至300Torr之间,温度可介于500℃至700℃之间,且反应气体可包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼前驱物、氢气、及/或氮气。为了达到子区311、312、313、314、与315中不同的锗浓度,在个别的成长制程中可改变锗与硅前驱物的流速比例。举例来说,在外延成长子区313时的锗/硅前驱物流速比例介于9至25之间,且在外延成长子区315时的锗/硅前驱物流速比例介于3至9之间,且在外延成长子区314时的锗/硅前驱物流速比例小于约6。
子区311、312、313、314、与315彼此之间可具有不同的掺质浓度。举例来说,子区311与312可为未掺杂,或其掺杂浓度各自低于子区313、314、与315的掺杂浓度。在一些实施例中,子区311与312的掺质浓度小于约5×1020原子/cm3,且子区313的掺质浓度介于1×1020原子/cm3至2×1021原子/cm3之间。在一些实施例中,子区314的掺质浓度介于1×1020原子/cm3至3×1021原子/cm3之间,且子区315的掺质浓度小于约2×1021原子/cm3
如图3所示,鳍状场效晶体管300中包含绝缘材料(如大气),其可包含空洞326。空洞326限制于部份的子区311、312、313、浅沟槽隔离区106、与间隔物120中。空洞326的剖面轮廓不限于图3所示的五角形,其可具有任何几何形状(如圆形、三角形、或矩形)。
制作装置的方法例
图4至8是鳍状场效晶体管100或300(如图1至3所示)于例示性的制作方法其多种阶段中的等角视图。图9A至12A与图9B至12B是鳍状场效晶体管100与300于例示性的制作方法其多种阶段中,各自沿着线段B-B与C-C的剖视图。
图4是图案化基板102上的光阻,用以形成鳍状结构104A与104B(或鳍状结构304)之后的鳍状场效晶体管100或300的半成品的等角视图。蚀刻至基板102中,以形成鳍状结构104A与104B(或鳍状结构304)。垫层436a与硬掩模层436b形成于基板102上。垫层436a可为薄膜如氧化硅,且其形成方法可为热氧化制程。垫层436a亦可作为蚀刻硬掩模层436b时的蚀刻停止层。在一实施例中,硬掩模层436b的组成可为氮化硅,且其形成方法可为低压化学气相沉积或等离子体增强化学气相沉积。在后续光微影制程时,硬掩模层436b可作为硬掩模。图案化的光阻层438形成于硬掩模层436b上。
图5是形成鳍状区504A与504B之后的鳍状场效晶体管100或300的半成品的等角视图。蚀刻图案化的光阻层438未覆盖的部份硬掩模层436b与垫层436a,以露出下方的基板102。接着蚀刻露出的基板102以形成鳍状区504A与504B。接着移除图案化的光阻438。在一些实施例中,鳍状区504A与504B各自具有宽度W与深度D,宽度小于约30nm,且深度D介于210nm至250nm之间。鳍状区504A与504B之间的空间S小于约50nm。鳍状区504A与504B之间的空间可依制作于集成电路中的不同鳍状结构(如鳍状结构104A、104B、或304)而变化。
图6是形成浅沟槽隔离区106之后的鳍状场效晶体管100或300的半成品的等角视图。浅沟槽隔离区106的形成方法可为沉积与蚀刻介电材料。介电材料可包含氧化硅。在一些实施例中,亦可采用其他介电材料如氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃、或低介电常数介电材料。在一些实施例中,介电材料的形成方法可采用可流动的化学气相沉积制程或高密度等离子体化学气相沉积制程,其采用硅烷与氧气作为反应前驱物。在其他实施例中,介电材料的形成方法可采用次压化学气相沉积制程或高深宽比制程,其中制程气体可包含四乙氧基硅烷及/或臭氧。在又一实施例中,介电材料可采用旋转涂布介电物如氢倍半硅氧烷或甲基倍半硅氧烷。
接着可进行化学机械研磨或湿蚀刻以移除硬掩模层436b与垫层436a。移除上述层状物后可接着蚀刻沉积于基板102上的介电材料,以形成图6所示的浅沟槽隔离区106。蚀刻介电材料的方法可采用湿蚀刻制程,比如将基板102浸入氢氟酸中。在其他实施例中,蚀刻步骤可采用干蚀刻制程,比如以氟仿或三氟化硼作为蚀刻气体。鳍状区504A与504B的较上鳍状部份546A与546B,各自凸起超出浅沟槽隔离区106其平坦的上表面106t,以作为鳍状场效晶体管100或300的通道区。较上鳍状部份546A与546B可各自包含上表面546At与546Bt。在一些实施例中,浅沟槽隔离区106其平坦的上表面106t低于上表面546At与546Bt。在一些实施例中,每一较上鳍状部份546A与546B的垂直尺寸介于15nm至50nm之间。在另一实施例中,每一较上鳍状部份546A与546B的垂直尺寸介于20nm至40nm之间。在另一实施例中,每一较上鳍状部份546A与546B的垂直尺寸介于25nm至35nm之间。
图7是形成结构748于鳍状区504a、鳍状物504B、与浅沟槽隔离区106上之后的鳍状场效晶体管100或300的半成品的等角视图。结构748包含图案化的多晶硅结构750与未图案化的间隔物120*。图案化的多晶硅结构750形成于浅沟槽隔离区106的上表面106t上,并围绕自浅沟槽隔离区106凸起的鳍状区504A与504B的表面。未图案化的间隔物120*覆盖图案化的多晶硅结构750的露出表面、浅沟槽隔离区106的上表面106t、以及凸出超过浅沟槽隔离区106且图案化的多晶硅结构750未覆盖的鳍状物504A与504B的表面。
图案化的多晶硅结构750的形成方法可为任何合适制程。举例来说,图案化的多晶硅结构750的形成制程包含沉积、光微影、与蚀刻。沉积制程包含化学气相沉积、物理气相沉积、原子层沉积、其他合适方法、及/或上述的组合。光微影包含涂布光阻(如旋转涂布)、软烘烤、对准光罩、曝光、曝光后烘烤、显影光阻、冲洗、干燥(如硬烘烤)、其他合适制程、及/或上述的组合。蚀刻制程包含干蚀刻、湿蚀刻、及/或其他蚀刻方法(如反应性离子蚀刻)。未图案化的间隔物120*可包含介电材料如氧化硅、碳化硅、氮化硅、氮氧化硅、或其他合适材料。介电材料的毯覆层形成于图案化的多晶硅结构750的方法,可为化学气相沉积、物理气相沉积、原子层沉积、或其他合适技术。
图8是形成间隔物120与凹陷的鳍状区854A与854B之后的鳍状场效晶体管100或300的半成品的等角视图。未图案化的间隔物120*经干蚀刻如反应性离子蚀刻后,可形成图8所示的间隔物120,其具有间隔物部份120a、120b、与120c。间隔物120的厚度120t可介于5nm至15nm之间。图案化的多晶硅结构750与间隔物部份120a未覆盖的部份鳍状区504A与504B,将凹陷以形成凹陷的鳍状区854A与854B。未凹陷的部份鳍状区504A与504B位于间隔物部份120a与图案化的多晶硅结构750下方,且分别与间隔物部份120a及图案化的多晶硅结构750之间具有界面852A与852B。在一实施例中,进行偏压蚀刻制程以形成凹陷的鳍状区854A与854B。蚀刻制程的压力可介于1mTorr至1000mTorr之间、功率可介于50W至1000W之间、偏电压介于20V至500V之间、温度介于40℃至60℃之间、并采用HBr及/或氯气作为蚀刻气体。在一些实施例中,可形成硬掩模层(未图示)于图案化的多晶硅结构750的上表面750s上,以在蚀刻制程时保护上表面750s免于蚀刻。在一些实施例中,图案化的多晶硅结构750与间隔物部份120a未覆盖的部份鳍状区504A与504B将部份地凹陷化(未图示),且这些部份凹陷的鳍状区的上表面高于界面123。
图9A是形成鳍状场效晶体管300的外延的子区311与312及合并的外延的子区313*于图8的结构之后的剖视图,其沿着图8中的线段D-D。图9B是形成鳍状场效晶体管100的外延的子区112A、112B、113A*、与113B*于图8的结构之后的剖视图,其沿着图8中的线段D-D。外延的子区112A至112B与外延的子区113A*至113B*的结构与组成彼此类似。应理解的是,子区112A与113A*的内容亦适用于子区112B与113B*,除非额外说明。应注意的是,图8的结构的剖面轮廓可不同于图9A至9B。图9A与9B的结构可同时形成于基板102的不同部份上,以制作相同集成电路中的鳍状场效晶体管300与100。
子区311、312、112A、与112B分别自凹陷的鳍状区854A与854B以及鳍状区504A与504B的未凹陷部份外延成长。子区113A*与113B*分别自子区112A与112B外延成长。子区313a*与313b*合并于界面313i以形成子区313*,且子区313a*与313b*分别由子区311与312外延成长至所需的高度水平956。即使子区113A*、113B*、313a*、与313b*同时外延成长,子区113A*与113B*在成长至高度水平956时仍未合并,不同于合并的子区313A*与313B*。通过子区112A与112B之间的空间962大于子区311与312之间的空间960,可在外延成长时避免子区113A*与113B*合并。这些子区311、312、313*、112A、112B、113A*、与113B*各自包含外延成长的半导体材料,比如但不限于硅锗。
在一些实施例中,子区311、312、313*、112A、112B、113A*、与113B*的成长方法为外延沉积/部份蚀刻制程,其重复至少一次。这些重复的沉积/部份蚀刻制程又称作循环沉积蚀刻制程。在一些实施例中,这些子区的成长方法为选择性成长,其中蚀刻气体可促进半导体材料选择性成长于凹陷的鳍状区854A与854B以及鳍状区504A与504B其未凹陷的部份的露出表面上,而不成长于浅沟槽隔离区106的绝缘材料上。子区311、312、313*、112A、112B、113A*、与113B*的外延成长其压力可介于10Torr至300Torr之间、温度可介于500℃至700℃之间、且采用的反应气体可包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼掺质前驱物、氢气、及/或氮气。为了达到这些子区中不同的锗浓度,可改变个别成长制程中锗与硅前驱物的流速比例。举例来说,在外延成长子区313*、113A*、与113B*时,锗对硅的前驱物流速比例可介于9至25之间。在一些实施例中,子区311、312、112A、与112B各自包含的锗介于15原子%至35原子%之间,而子区313*、113A、与113B*各自包含的锗介于35原子%至70原子%之间,且上述子区的其他原子%为硅。
子区311、312、313*、112A、112B、113A*、与113B*可在外延成长制程时临场掺杂p型掺质、p型掺质可为但不限于硼,且其采用的掺杂前驱物比如但不限于二硼烷或三氟化硼。子区112A、112B、311、与312的掺质浓度小于约5×1020原子/cm3,而子区113A*、113B*、与313*的掺质浓度介于1×1020原子/cm3至2×1021原子/cm3之间。
如图9A与9B所示,可同时成长子区313*、113A*、与113B*。然而同时成长子区313*、113A*、与113B*时无法使上述子区达到所需的形状与体积。在一些实施例中,需缩小子区113A*与113B*的体积,但实质上不缩小子区313*及/或缩小上表面313s*的面积。上表面313s*可定义后续形成于子区313*上的接点结构的面积。同样地,需增加子区113A*与113B*之间的合并窗口958,而不实质上减少子区313*的体积及/或缩小上表面313s*的面积。合并窗口958需增加,以避免在后续沉积层状物及/或外延区于子区113A*与113B*上时,造成子区113A*与子区113B*在合并窗口958中合并。
为了减少子区113A*与113B*的体积、增加合并窗口958、实质上不减少子区313*的体积、及/或实质上不减少上表面313s*的面积,可选择性地外延成长缓冲区315*于子区313*上,如图10A与10B所示。在形成缓冲区315*后,接着进行蚀刻制程。
在一些实施例中,除了图9B的结构,图9C的结构亦可与图9A的结构同时形成于基板102的不同部份上。在一些实施例中,图9C的结构可为基板102上的部份鳍状场效晶体管100或300,或者另一鳍状场效晶体管(未图示)。在后续制程之后,子区913A*与913B*可形成未合并的子区,其分别与未合并的子区113A与113B类似。上述子区113A*、113B*、112A、与112B的内容亦可用于子区913A*、913B*、912A、与912B,除非另外说明。图9C中与图9A至9B中相同标号的单元已说明如上。
如图9C所示的一些实施例,子区913A*与913B*可分别自子区912A与912B外延成长并合并在界面913i。在一些实施例中,界面913i的垂直尺寸可小于界面313i的垂直尺寸。在一些实施例中,子区912A与912B之间的空间964可大于子区311与312之间的空间960,并小于子区112A与112B之间的空间962。为了减少子区913A*与913B*的体积并在界面913i分开上述子区,可选择性地外延成长缓冲区315*于子区313*上如图10A所示,接着进行蚀刻制程。形成大于或等于合并窗口958的合并窗口,可降低子区913A*与913B*的体积并使其分开。缓冲区315*在分开子区913A*与913B*的制程中,有利于实质上避免减少子区313*的体积及/或上表面313s*的面积。
图10A与10B在图9A与9B所示的结构形成外延的缓冲区315*之后的剖视图。外延的缓冲区315*可保护子区313*实质上免于鳍状场效晶体管100的后续回蚀刻制程影响,且回蚀刻制程形成图1所示的子区113A与113B。缓冲区315*包含外延成长的半导体材料,比如但不限于硅锗,且其成长方法可为合适的外延制程。缓冲区315*的外延成长其压力可介于10Torr至300Torr之间,温度可介于500℃至700℃之间,且采用的反应气体包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼前驱物、氢气、及/或氮气。一些实施例在外延成长缓冲区315*时,硅烷/二氯硅烷的流速比例可介于2至5之间。一些实施例在外延成长缓冲区315*时,锗/硅的前驱物流速比例可介于3至9之间。在一些实施例中,缓冲区315*包含的锗介于10原子%至15原子%之间,且其他原子%为硅。此外,在外延成长缓冲区315*时可临场掺杂p型掺质(比如但不限于硼),其采用的掺杂前驱物可为但不限于二硼烷或三氟化硼,且其掺质浓度小于约2×1021原子/cm3
位于上表面313s*上的部份缓冲区315*为外延的子区313*的(100)晶面,其厚度315t*介于2nm至5nm之间。位于斜向表面313a*与313b*上的部份缓冲区315*为外延的子区313*的(111)晶面,其厚度实质上比上表面313s*上的部份缓冲区315*的厚度少约0.5nm。在一些实施例中,缓冲区315*最后形成于(100)晶面而不形成于(111)晶面上。此外,应理解缓冲区最后未外延成长于子区113A*与113B*及/或子区913A*与913B*的表面上。在一些实施例中,有利于缓冲区315*达到上述轮廓的参数的一,在成长缓冲区315*时使蚀刻/沉积比例维持在0.5至1之间。蚀刻/沉积比例的定义可为外延成长缓冲区315*时,用于蚀刻的前驱物流速与用于沉积材料的前驱物的流速之间的比例。举例来说,蚀刻/沉积比例可为外延成长缓冲区315*时,盐酸的流速与锗烷、硅烷、与二氯硅烷的组合的流速之间的比例。蚀刻/沉积比例经调整后可让外延材料沿着(100)晶面的成长速率高于沿着(111)晶面的成长速率,并让外延材料沿着(111)晶面的蚀刻速率高于沿着(100)晶面的蚀刻速率。在一些实施例中,沿着(100)晶面的成长速率与沿着(111)晶面的成长速率之间的比例介于5至10之间。由于子区113A*与113B*及/或子区913A*与913B*中不具有(100)晶面,缓冲区315*可选择性地成场于上表面313s*上。
图11A与11B分别为对图10A与10B的结构进行回蚀刻制程之后的剖视图。此外,在对图9C的结构进行回蚀刻制程后,亦可形成与图11B类似的结构。可同时在图10A与10B的结构、图10A与9C的结构、或图9C与图10A至10B的结构上进行回蚀刻制程,其压力介于10Torr至300Torr之间,温度介于500℃至700℃之间,且采用反应气体如盐酸、锗烷、氢气、及/或氮气。如图11A与11B所示,回蚀刻制程缩小子区113A*与113B*的体积并增加合并窗口958,以形成较小的子区113A与113B与较宽的合并窗口1158,而不自高度水平956回蚀刻子区313*。在子区913A*与913B*上进行回蚀刻制程后,可形成类似的未合并且较小的子区113A与113B。在一些实施例中,在后蚀刻制程中可自子区313*形成子区313。回蚀刻子区313*(见图10A)的部份313c*与313d*后,形成的子区313其表面313e与313f垂直于基板102的上表面102s。在回蚀刻制程后,可自缓冲区315*的剩余部份形成子区315。
图12A与12B分别为在图11A与11B的结构上形成子区314、114A、与114B(如图3与1所述)之后的剖视图。子区314、114A、与114B各自包含外延成长的半导体材料,比如但不限于硅锗,且其成长方法可为任何合适的外延制程。子区314、114A、与114B的形成方法可为外延成长,其压力介于10Torr至300Torr之间,温度介于500℃至700℃之间,且采用的反应气体包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼前驱物、氢气、及/或氮气。在一些实施例中,子区314、114A、与114B各自包含小于约25原子%的锗,且其他原子%为硅。此外,可在外延成长每一子区314、114A、与114B时临场掺杂p型掺质比如但不限于硼(其采用的前驱物可为但不限于二硼烷或三氟化硼),且其掺质浓度介于1×1020原子/cm3至3×1021原子/cm3之间。
形成图12A与12B所示的结构后,可形成鳍状场效晶体管100与300的其他单元,比如以置换金属栅极制程形成的栅极与栅极介电物、层间介电层、源极/漏极接点、栅极接点、金属栅极结构、通孔、内连线金属层、介电层、钝化层、与类似物。上述其他单元并未图示,以达简化目的。
制作鳍状场效晶体管的步骤例
图13是用以制作鳍状场效晶体管100与300的例示性方法1300其流程图。图13所示的步骤将搭配第4-8、9A-12A、与9B-12B图所示的制程说明。可采用不同顺序进行下述步骤,或者不进一些步骤,端视特定应用而定。值得注意的是,方法1300并未产生完整的鳍状场效晶体管100与200。综上所述,应理解在方法1300之前、之中、与之后可进行额外制程,且一些其他制程仅简述于此。
在步骤1310中,形成多个鳍状区于基板上。以图5为例,形成与鳍状区504A类似的多个鳍状区于基板102上。鳍状区504A的形成方法可包含图案化与蚀刻基板102。图案化制程可包含光微影制程,且蚀刻制程可包含干蚀刻如反应性离子蚀刻。
在步骤1320中,形成隔离区于基板上的多个鳍状区其相邻的鳍状区之间。以图6为例,形成浅沟槽隔离区106于基板102上。浅沟槽隔离区106的形成方法可为沉积与蚀刻介电材料。介电材料可包含氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃、或低介电常数的介电材料。在一些实施例中,介电材料的形成方法可为可流动的化学气相沉积制程或高密度等离子体化学气相沉积制程,其可采用硅烷与氧作为反应前驱物。在其他实施例中,介电材料的形成方法可为次压化学气相沉积制程或高深宽比制程,其中制程气体可包含四乙氧基硅烷及/或臭氧。蚀刻介电材料的方法可采用湿蚀刻制程,比如将基板102浸入氢氟酸中。在其他实施例中,蚀刻步骤可采用干蚀刻制程,比如采用氟仿或三氟化硼作为蚀刻气体。
在步骤1330中,形成多晶硅结构与间隔物于鳍状区与隔离区上。以图7为例,多晶硅结构750与间隔物120形成于鳍状区504A与浅沟槽隔离区106上。多晶硅结构750的形成制程包含沉积、光微影、与蚀刻。沉积制程可包含化学气相沉积、物理气相沉积、原子层沉积、其他合适方法、及/或上述的组合。蚀刻制程可包含干蚀刻、湿蚀刻、及/或其他蚀刻方法如反应性离子蚀刻。间隔物120的形成方法可为毯覆性地沉积介电材料如氧化硅、碳化硅、氮化硅、氮氧化硅于多晶硅结构750上,且沉积方法可为化学气相沉积、物理气相沉积、原子层沉积、或其他合适技术。接着对介电材料进行非等向蚀刻以形成间隔物120。
在步骤1340中,蚀刻多个鳍状区以形成凹陷的鳍状区。以图8为例,形成与凹陷的鳍状区854A类似的凹陷的鳍状区。凹陷的鳍状区854A的形成方法包括蚀刻多晶硅结构750与间隔物120未覆盖的部份鳍状区504A。蚀刻制程的压力可介于1mTorr至1000mTorr之间,功率可介于50W至1000W之间,偏电压可介于20V至500V之间,温度可介于40至60之间,且可采用HBr及/或氯气作为蚀刻气体
在步骤1350中,含锗区外延成长于步骤1340所形成的凹陷的鳍状区中。比如以图9A与9B为例,子区112A、112B、311、与312形成于与凹陷的鳍状区854A类似的凹陷的鳍状区中。子区112A、112B、311、与312可包含硅锗,其外延成长的压力介于10Torr至300Torr之间,温度介于500℃至700℃之间,且采用的反应气体包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼前驱物、氢气、及/或氮气。子区112A、112B、311、与312可未掺杂或临场掺杂p型掺质如硼,且其掺质浓度可小于5×1020原子/cm3
在步骤1350中,图9C所示的子区912A与912B可另外形成于与凹陷的鳍状区854A类似的凹陷鳍状区中。
在步骤1360中,外延成长合并区以连接一对含锗区。此外,未彼此合并的外延区可成长于两个或更多的含锗区上。以图9A与9B为例,外延成长合并的子区313*以连接子区311与312,且同时地分别外延成长未合并的子区113A*与113B*于子区112A与112B上。子区112A与112B之间的空间962大于子区311与312之间的空间,可避免在外延成长时合并子区113A*与113B*。合并的子区313*与未合并的子区113A*与113B*可包含硅锗,其外延成长的压力介于10Torr至300Torr之间,温度介于500℃至700℃之间,且采用的反应气体包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼前驱物、氢气、及/或氮气。合并的子区313*以及未合并的子区113A*与113B*可临场掺杂p型掺质如硼,且其掺质浓度介于1×1020原子/cm3至2×1021原子/cm3之间。
在步骤1360中,除了成长未合并的外延区外,可外延成长合并区于两个或更多的含锗区上,且这些合并区在后续制程步骤中将分开。以图9C为例,同时形成外延的子区913A*与913B*以及合并的子区313*,如图9A所示。子区913A*与913B*可合并于界面913i。在一些实施例中,界面913i的垂直尺寸可小于合并的子区313*的界面313i的垂直尺寸。用以形成子区913A*与913B*的成长制程参数与掺杂制程参数,可与形成子区113A*与113B*的成长制程参数与掺杂制程参数类似。
在步骤1370中,外延成长缓冲区于合并区上。以图10A与10B为例,缓冲区315*形成于合并的子区313*上。缓冲区315*可包含硅锗,其外延成长的压力可介于10Torr至300Torr之间,温度可介于500℃至700℃之间,且采用的反应气体可包括盐酸作为蚀刻剂、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼掺质前驱物、氢气、及/或氮气。在成长缓冲区315*时,硅烷/二氯硅烷的流速比可介于2至5之间,而Ge/Si的前驱物流速比可介于3至9之间。在成长缓冲区315*时,蚀刻/沉积比如盐酸的流速与锗烷、硅烷、与二氯硅烷的组合物的流速比例可介于0.5至1之间。可调整蚀刻/沉积比使缓冲区材料在(100)晶面上的成长速率比在(111)晶面上的成长速率快,并使缓冲区材料在(111)晶面上的蚀刻速率比在(100)晶面上的蚀刻速率快。缓冲区315*可临场掺杂p型掺质如硼,其掺质浓度可小于约2×1021原子/cm3
在步骤1380中,回蚀刻部份的未合并区。以图11A与11B为例,回蚀刻外延的子区113A*与113B*以形成未合并的子区113A与113B。在图10A与10B的结构上进行的回蚀刻制程,其压力介于10Torr至300Torr之间,温度介于500℃至700℃之间,且采用的反应气体包括盐酸、锗烷、氢气、及/或氮气。
在步骤1380中,可额外蚀刻未合并区,且一些合并区(如图9C所示的合并的子区913A*与913B*)可蚀刻成未合并区。举例来说,图9C的结构可蚀刻成图11B所示的结构。蚀刻图9C的结构的制程参数,可与蚀刻结构图9B或图10B的结构的制程参数类似。
在步骤1390中,外延成长盖区于缓冲区及蚀刻的未合并区上。举例来说,盖区如子区314形成于缓冲区315上,缓冲区315形成于步骤1380的蚀刻制程后,且盖区如子区114A及114B形成于回蚀刻后的未合并的子区113A与113B上。盖区如子区314、114A、与114B可包含硅锗,其外延成长的压力介于10Torr至300Torr之间,温度介于500℃至700℃之间,且采用的反应气体包括盐酸作为蚀刻气体、锗烷作为锗前驱物、二氯硅烷及/或硅烷作为硅前驱物、二硼烷作为硼掺质前驱物、氢气、及/或氮气。此外,盖区如子区314、114A、与114B可临场掺杂p型掺质(如硼),且其掺质浓度介于1×1020原子/cm3至3×1021原子/cm3之间。
如此一来,本发明实施例说明集成电路中鳍状场效晶体管的多种设置与其制作方法,同时免于权衡多种鳍状场效晶体管所需的设置。在一实施例中,方法包括选择性蚀刻第一鳍状场效晶体管的第一鳍状结构以控制其形状与设置,但实质上不影响第二鳍状场效晶体管的第二鳍状结构的形状与设置。上述方法同时制作第二鳍状场效晶体管与第一鳍状场效晶体管。通过选择性外延成长缓冲区于第一结构上,可在蚀刻第一鳍状结构时保护第二鳍状结构。
实施例与功效
在一实施例中,半导体装置包括鳍状结构于基板上,置换栅极结构于鳍状结构上,以及介电区于基板上且位于第一外延区与第二外延区之间。鳍状结构包含源极/漏极区,其包含第一外延区与第二外延区,具有第一掺质浓度;以及合并外延区位于第一外延区与第二外延区上。合并外延区具有第二掺质浓度,且第一掺质浓度不同于第二掺质浓度。源极/漏极区亦包括外延缓冲区于合并外延区上,以及外延盖区于合并外延区与缓冲外延区上。外延缓冲区具有第三掺质浓度,且第三掺质浓度不同于第一掺质浓度与第二掺质浓度。外延盖区具有第四掺质浓度,且第四掺质浓度不同于第一掺质浓度、第二掺质浓度、与第三掺质浓度。
在一实施例中,上述半导体装置的缓冲外延区存在于合并外延区的(100)晶面且不存在于合并外延区的(111)晶面。
在一些实施例中,上述半导体装置的外延盖区位于合并外延区的(111)晶面上。
在一些实施例中,上述半导体装置的第一与第二外延区包含第一锗原子浓度;合并外延区包含第二锗原子浓度,且第二锗原子浓度大于第一锗原子浓度;以及缓冲外延区包含第三锗原子浓度,且第三锗原子浓度小于第一锗原子浓度与第二锗原子浓度。
在一些实施例中,上述半导体装置更包含第一对的未合并外延区于基板上,其具有第一掺质浓度;以及第二对的未合并外延区于第一对的未合并外延区上,其具有第二掺质浓度。
在另一实施例中,具有第一鳍状结构与第二鳍状结构于基板上的半导体装置其形成方法包括:形成第一外延区于第一鳍状结构上;以及形成第二外延区于第二鳍状结构上。方法亦包括形成缓冲区于第一鳍状结构的第一外延区上;回蚀刻部份的第二外延区,以及形成盖区于缓冲区与蚀刻后的第二外延区上。缓冲区避免回蚀刻步骤蚀刻第一外延区的上表面。
在一些实施例中,上述方法形成外延的缓冲区于第一外延区上的步骤包括:同时外延成长缓冲区的材料于第一外延区的第一面与第二面上,第一面不同于第二面,缓冲区以第一成长速率成长于第一面上,且缓冲区以第二成长速率成长于第二面上;以及提供外延成长材料于第一面与第二面上的制程条件,使第一成长速率大于第二成长速率。
在一些实施例中,上述方法中的第一面为(100)晶面,且第二面为(111)晶面。
在一些实施例中,上述方法形成外延的缓冲区于第一外延区的步骤包括:同时外延成长缓冲区的材料于第一外延区的第一面与第二面上,第一面不同于第二面,缓冲区以第一成长速率成长于第一面上,且缓冲区以第二成长速率成长于第二面上;同时蚀刻第一外延区的第一面与第二面上的缓冲区其外延成长材料,以第一蚀刻速率蚀刻第一面上的缓冲区,并以第二蚀刻速率蚀刻第二面上的缓冲区;以及提供外延成长与蚀刻第一面与第二面上的材料的制程条件,使第一成长速率大于第二成长速率,且第一蚀刻速率小于第二蚀刻速率。
在一些实施例中,上述方法提供外延成长与蚀刻第一面与第二面上的材料的制程条件包括:提供蚀刻气体;以及提供缓冲区的材料其前驱物,其中蚀刻气体的流速与前驱物的流速之间的比例介于0.5至1之间。
在一些实施例中,上述方法提供蚀刻气体的步骤包括提供盐酸气体;以及提供缓冲区的材料其前驱物的步骤包括提供锗烷、硅烷、与二氯硅烷。
在一些实施例中,上述方法中的第一平面为(100)晶面;以及第二平面为(111)晶面。
在一些实施例中,上述方法形成外延的缓冲区于第一外延区上的步骤包括:形成外延的缓冲区的第一部份于第一外延区的(100)晶面上;以及形成外延缓冲区的第二部份于第一外延区的(111)晶面上,其中第一部份的成长速率与第二部份的成长速率之间的比例介于5至10之间。
在一些实施例中,上述方法进行的蚀刻制程包括:提供含有氢气、氮气、盐酸、与锗烷的反应混合物。
在一些实施例中,上述方法形成缓冲区于第一外延区上的步骤包括;外延成长含锗材料于第一外延区上,且含锗材料的锗含量介于10原子%至15原子%之间;以及临场掺杂缓冲区,使其p型掺质的浓度小于约2×1021原子/cm3
在又一实施例中,半导体装置的鳍状结构的形成方法包括:形成绝缘区于基板上;外延成长第一区于基板上;以及外延成长第二区于基板上。第一区与第二区之间横向地隔有绝缘区。方法亦包括外延成长合并区于第一区与第二区上;外延成长缓冲区于合并区上;以及外延成长盖区于缓冲区上。缓冲区有助于避免后续蚀刻制程回蚀刻合并区的上表面。
在一些实施例中,上述方法外延成长缓冲区的步骤包括:以第一成长速率与第二成长速率分别外延成长缓冲区的材料于第一区的第一面与第二面上,且第一面不同于第二面;以第一蚀刻速率与第二蚀刻速率分别蚀刻第一区的第一面与第二面上的缓冲区的外延成长材料;以及提供外延成长与蚀刻第一面与第二面上的材料的制程条件,使第一成长速率大于第二成长速率,且第一蚀刻速率小于第二蚀刻速率。
在一些实施例中,上述方法外延成长合并区于第一区与第二区上的步骤包括:外延成长含锗材料于第一区与第二区上,且含锗材料的锗含量介于35原子%至70原子%之间;以及临场掺杂合并区,使其p型掺质浓度介于1×1020原子/cm3至2×1021原子/cm3之间。
在一些实施例中,上述方法外延成长盖区于缓冲区上的步骤包括:外延成长含锗材料于缓冲区上,且含锗材料的锗含量小于约25原子%;以及临场掺杂第一区,使其p型掺质浓度介于1×1020原子/cm3至3×1021原子/cm3之间。
在一些实施例中,上述方法更包括外延成长第一对的未合并区于基板上;以及外延成长第二对的未合并区于第一对的未合并区上。
上述实施例的特征有利于本技术领域中具有通常知识者理解本发明。本技术领域中具有通常知识者应理解可采用本发明作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通常知识者亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的申请专利范围的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种半导体装置,包括:
一鳍状结构位于一基板上,该鳍状结构包含一源极/漏极区,且该源极/漏极区包含:
一第一外延区,具有一第一掺质浓度;
一第二外延区,具有该第一掺质浓度;
一合并外延区,位于该第一外延区与该第二外延区上,且具有一第二掺质浓度,且该第一掺质浓度不同于该第二掺质浓度;
一外延缓冲区,位于该合并外延区上,且具有一第三掺质浓度,且该第三掺质浓度不同于该第一掺质浓度与该第二掺质浓度;以及
一外延盖区,位于该合并外延区与该缓冲外延区上,且具有一第四掺质浓度,且该第四掺质浓度不同于该第一掺质浓度、该第二掺质浓度、与该第三掺质浓度;
一介电区,位于该基板上且位于该第一外延区与该第二外延区之间;以及
一置换栅极结构,位于该鳍状结构上。
CN201710464387.XA 2016-11-30 2017-06-19 半导体装置 Active CN108122960B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662428220P 2016-11-30 2016-11-30
US62/428,220 2016-11-30
US15/581,778 US10707328B2 (en) 2016-11-30 2017-04-28 Method of forming epitaxial fin structures of finFET
US15/581,778 2017-04-28

Publications (2)

Publication Number Publication Date
CN108122960A true CN108122960A (zh) 2018-06-05
CN108122960B CN108122960B (zh) 2022-12-02

Family

ID=62190518

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710464387.XA Active CN108122960B (zh) 2016-11-30 2017-06-19 半导体装置

Country Status (3)

Country Link
US (4) US10707328B2 (zh)
CN (1) CN108122960B (zh)
TW (1) TWI728146B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110610863A (zh) * 2018-06-15 2019-12-24 台湾积体电路制造股份有限公司 半导体装置与其形成方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US10049936B2 (en) * 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US11462436B2 (en) * 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
KR102476142B1 (ko) * 2018-03-14 2022-12-09 삼성전자주식회사 반도체 장치
US10991630B2 (en) * 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102524803B1 (ko) * 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
KR20200066157A (ko) * 2018-11-29 2020-06-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조체 및 그 제조 방법
US11271094B2 (en) 2018-11-29 2022-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
KR20210011834A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
US11133386B2 (en) * 2019-08-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer fin structure
US11527650B2 (en) * 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11862712B2 (en) * 2020-02-19 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases
DE102020128844A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co. Ltd. Source/drain-epitaxieschichten für transistoren
US11677013B2 (en) * 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
US20220028997A1 (en) * 2020-07-22 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacers in semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103199010A (zh) * 2012-01-09 2013-07-10 台湾积体电路制造股份有限公司 FinFET及其形成方法
US20150035023A1 (en) * 2013-08-01 2015-02-05 Seok-Hoon Kim Semiconductor device and method for fabricating the same
US20150041855A1 (en) * 2013-08-07 2015-02-12 United Microelectronics Corp. Semiconductor device
US20160254351A1 (en) * 2015-02-26 2016-09-01 Taiwan Semiconductor Manufacturing Company Ltd. Ldd-free semiconductor structure and manufacturing method of the same

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8258572B2 (en) * 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8362574B2 (en) * 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8486769B2 (en) * 2010-11-19 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metrology structures from fins in integrated circuitry
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8691652B2 (en) * 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8610241B1 (en) * 2012-06-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Homo-junction diode structures using fin field effect transistor processing
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9082873B2 (en) * 2012-09-20 2015-07-14 International Business Machines Corporation Method and structure for finFET with finely controlled device width
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9583483B2 (en) * 2013-09-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain stressors with recessed top surfaces
US20150170916A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Semiconductor process for manufacturing epitaxial structures
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
KR102146469B1 (ko) * 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US20150333145A1 (en) * 2014-05-15 2015-11-19 International Business Machines Corporation High density finfet devices with unmerged fins
US9431540B2 (en) * 2014-05-28 2016-08-30 Stmicroelectronics, Inc. Method for making a semiconductor device with sidewall spacers for confining epitaxial growth
US9595524B2 (en) * 2014-07-15 2017-03-14 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9312274B1 (en) * 2014-10-15 2016-04-12 Globalfoundries Inc. Merged fin structures for finFET devices
US9431303B2 (en) * 2014-10-17 2016-08-30 Globalfoundries Inc. Contact liners for integrated circuits and fabrication methods thereof
KR102340329B1 (ko) * 2015-03-25 2021-12-21 삼성전자주식회사 반도체 소자
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US9514995B1 (en) * 2015-05-21 2016-12-06 Globalfoundries Inc. Implant-free punch through doping layer formation for bulk FinFET structures
US9831116B2 (en) * 2015-09-15 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETs
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103199010A (zh) * 2012-01-09 2013-07-10 台湾积体电路制造股份有限公司 FinFET及其形成方法
US20150035023A1 (en) * 2013-08-01 2015-02-05 Seok-Hoon Kim Semiconductor device and method for fabricating the same
US20150041855A1 (en) * 2013-08-07 2015-02-12 United Microelectronics Corp. Semiconductor device
US20160254351A1 (en) * 2015-02-26 2016-09-01 Taiwan Semiconductor Manufacturing Company Ltd. Ldd-free semiconductor structure and manufacturing method of the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110610863A (zh) * 2018-06-15 2019-12-24 台湾积体电路制造股份有限公司 半导体装置与其形成方法
CN110610863B (zh) * 2018-06-15 2022-11-18 台湾积体电路制造股份有限公司 半导体装置与其形成方法

Also Published As

Publication number Publication date
TW201822292A (zh) 2018-06-16
US11888046B2 (en) 2024-01-30
US20240088266A1 (en) 2024-03-14
US10707328B2 (en) 2020-07-07
US20200321450A1 (en) 2020-10-08
CN108122960B (zh) 2022-12-02
TWI728146B (zh) 2021-05-21
US20180151698A1 (en) 2018-05-31
US20220059676A1 (en) 2022-02-24
US11211473B2 (en) 2021-12-28

Similar Documents

Publication Publication Date Title
CN108122960A (zh) 半导体装置
CN110729233B (zh) 具有气隙的半导体结构、其制造方法和气隙的密封方法
KR101670558B1 (ko) 변형 생성 채널 유전체를 포함하는 비평면 디바이스 및 그 형성방법
US11791421B2 (en) Nanosheet field-effect transistor device and method of forming
CN103928517B (zh) FinFET器件及其制造方法
TWI713097B (zh) 半導體裝置與其形成方法
TW201913878A (zh) 用於半導體元件的自對準結構與其製作方法
CN107039278A (zh) 半导体器件及其形成方法
CN109585548A (zh) 半导体结构
CN108807380A (zh) 半导体结构和形成集成电路结构的方法
CN108231585A (zh) 半导体装置的形成方法
US11145749B2 (en) Method of fabricating a semiconductor device
CN107527801A (zh) 半导体器件及其形成方法
TWI579930B (zh) 半導體裝置與其形成方法
CN106158617A (zh) 半导体器件及其制造方法
US20220037509A1 (en) Spacer Structure For Nano-Sheet-Based Devices
TW201705236A (zh) 半導體裝置與其形成方法
TWI792267B (zh) 半導體裝置及其製造方法
US10157997B2 (en) FinFETs and methods of forming the same
TW202205533A (zh) 半導體裝置及其製造方法
TW202205389A (zh) 半導體裝置及其形成方法
CN114220858A (zh) 半导体装置
CN110098122A (zh) 半导体装置的形成方法
US20240105847A1 (en) Semiconductor arrangement and method of manufacture
TWI831279B (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant