TWI728146B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI728146B
TWI728146B TW106122824A TW106122824A TWI728146B TW I728146 B TWI728146 B TW I728146B TW 106122824 A TW106122824 A TW 106122824A TW 106122824 A TW106122824 A TW 106122824A TW I728146 B TWI728146 B TW I728146B
Authority
TW
Taiwan
Prior art keywords
region
epitaxial
sub
fin
buffer
Prior art date
Application number
TW106122824A
Other languages
English (en)
Other versions
TW201822292A (zh
Inventor
宋學昌
李昆穆
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201822292A publication Critical patent/TW201822292A/zh
Application granted granted Critical
Publication of TWI728146B publication Critical patent/TWI728146B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

具有第一鰭狀結構與第二鰭狀結構於基板上的半導體裝置其形成方法包括:形成第一磊晶區於第一鰭狀結構上;以及形成第二磊晶區於第二鰭狀結構上。方法亦包括形成緩衝區於第一鰭狀結構的第一磊晶區上;以及回蝕刻部份的第二磊晶區。緩衝區有助於避免回蝕刻步驟蝕刻第一磊晶區的上表面。此外,蓋區形成於緩衝區與蝕刻後的第二磊晶區上。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置與其製作方法。
隨著半導體技術進步,對較高儲存能力、較快處理系統、較高效能、與較低成本的需求也提高。為達上述需求,半導體產業持續縮小半導體裝置(如金氧半場效電晶體,包括平面的金氧半場效電晶體與鰭狀場效電晶體)的尺寸。
上述尺寸縮小亦增加半導體製程的複雜性。
本發明一實施例提供之半導體裝置,包括:鰭狀結構位於基板上,鰭狀結構包含源極/汲極區,且源極/汲極區包含:第一磊晶區,具有第一摻質濃度;第二磊晶區,具有第一摻質濃度;合併磊晶區,位於第一磊晶區與第二磊晶區上,且具有第二摻質濃度,且第一摻質濃度不同於第二摻質濃度;磊晶緩衝區,位於合併磊晶區上,且具有第三摻質濃度,且第三摻質濃度不同於第一摻質濃度與第二摻質濃度;以及磊晶蓋區,位於合併磊晶區與緩衝磊晶區上,且具有第四摻質濃度,且第四摻質濃度不同於第一摻質濃度、第二摻質濃度、與第三摻質濃度;介電區,位於基板上且位於第一磊晶區與第二磊晶區之間;以及置換閘極結構,位於鰭狀結構上。
A-A、B-B、C-C:線段
D:深度
S、960、962、964:空間
W:寬度
100、300:鰭狀場效電晶體
102:基板
104A、104B、304:鰭狀結構
106:淺溝槽隔離區
106t、313s、313s*、546At、546Bt、750s:上表面
108:閘極結構
110A、110B、310:磊晶區
112A、112B、113A、113A*、113B、113B*、114A、114B、 311、312、313、313*、314、315、912A、912B、913A*、913B*:子區
116:介電層
116t、120t、122t、314t、315t、315t*:厚度
118:閘極
120:間隔物
120*:未圖案化的間隔物
120a、120b、120c:間隔物部份
121A、123、221A、313i、321A、321B、852A、852B、913i:界面
122:閘極功函數金屬層
124:閘極金屬填充層
313a、313b、313c*、313d*:部份
313a*、313b*:斜向表面
313e、313f:表面
315*:緩衝區
326:空洞
436a:墊層
436b:硬遮罩層
438:圖案化的光阻層
504A、504B:鰭狀區
546A、546B:較上鰭狀部份
748:結構
750:圖案化的多晶矽結構
854A、854B:凹陷的鰭狀區
956:高度水平
958、1158:合併窗口
1300:方法
1310、1320、1330、1340、1350、1360、1370、1380、1390:步驟
第1圖係例示性的鰭狀場效電晶體的等角視圖。
第2圖係第1圖之例示性的鰭狀場效電晶體沿著線段A-A的剖視圖。
第3圖係例示性的鰭狀場效電晶體的等角視圖。
第4至8圖係鰭狀場效電晶體於例示性的製程之多種階段中的等角視圖。
第9A-9C、10A-12A、10B-12B圖係鰭狀場效電晶體於例示性的製程之多種階段的剖視圖。
第13圖係製作鰭狀場效電晶體的例示性的方法其流程圖。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖 示中的方向。
鰭狀物可經由合適方法圖案化。舉例來說,鰭狀物的圖案化方法可採用一或多道光微影製程,比如雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其形成的圖案間距小於單一且直接的光微影製程形成的圖案間距。舉例來說,一些實施例形成犧牲層於基板上,並以光微影製程圖案化犧牲層。接著以自對準製程沿著圖案化的犧牲層的側邊形成間隔物。接著移除犧牲層,再採用保留的間隔物以圖案化鰭狀物。
值得注意的是,說明書中的「一個實施例」、「一實施例」、「例示性的實施例」、「例如」等用語,其指的實施例可包含特定結構或特性,但每一實施例不需包含特定結構或特性。此外,這些句子指的不必是相同實施例。另一方面,當特定結構或特性的說明連結至一實施例時,本技術領域中具有通常知識者自可將這些結構與特性連結至其他實施例,即使未特別說明。
應理解的是,用語或術語僅用以說明而非侷限本發明實施例,因此本技術領域中具有通常知識者可根據下述內容解讀這些用語或術語。
此處所述的用語「約」若無特別說明,指的是揭露數值±10%內的數值。
此處所述的用語「選擇性」指的是相同蝕刻條件下兩種材料的蝕刻速率之間的比例。
此處所述的用語「基板」指的是後續材料層添加 其上的材料。基板本身可圖案化或維持未圖案化,且添加其頂部上的材料亦可圖案化。此外,「基板」可為任何半導體材料如矽、鍺、砷化鎵、磷化銦、或類似物。在其他實施例中,基板可為非導體如玻璃或藍寶石晶圓。
此處所述的用語「高介電常數」在半導體裝置結構與其形成製程的領域中,指的是介電常數大於氧化矽的介電常數(比如大於3.9)。
此處所述的用語「垂直」指的是垂直於基板表面。
此處所述的用語「合併的鰭狀結構」指的是鰭狀結構具有合併的磊晶區,其自鰭狀結構之兩個或多個未合併的磊晶區磊晶成長而成。
此處所述的用語「間隔」指的是單元之間相隔的水平尺寸。
概述
本發明實施例提供多種例示性的積體電路中的鰭狀場效電晶體的磊晶鰭狀結構。本發明實施例亦提供積體電路中的不同鰭狀場效電晶體所需的磊晶鰭狀結構其例示性的製作方法,以改善磊晶鰭狀結構的權衡。舉例來說,此處所述的製作方法可改善現有製程的權衡,比如合併的鰭狀結構上的接點區域所需的尺寸,以及積體電路中鰭狀場效電晶體之未合併的鰭狀結構所需的體積之間的權衡。
例示性的鰭狀場效電晶體
第1圖係進行閘極置換製程後,積體電路中一對例示性的鰭狀場效電晶體100的等角視圖。
鰭狀場效電晶體100形成於基板102上,且包含鰭狀結構104A與104B、淺溝槽隔離區106、鰭狀結構104A與104B上的閘極結構108、以及間隔物120。第1圖係以閘極置換製程形成閘極結構108後的等角視圖。第1圖顯示單一的閘極結構,然而類似的額外閘極結構(未圖示)可平行於閘極結構108。此外,鰭狀場效電晶體100可經由其他結構構件如源極/汲極接點、閘極接點、通孔、內連線金屬層、介電層、鈍化層、或類似物整合至積體電路中。其他結構構件已省略於圖式中,以達清楚說明的目的。
鰭狀場效電晶體100可設置為兩個單鰭的鰭狀場效電晶體,其各自具有鰭狀結構104A與104B。在其他實施例中,鰭狀場效電晶體100可設置為多鰭的鰭狀場效電晶體,其具有鰭狀結構104A與104B。鰭狀結構104A與104B的剖視形狀僅用以說明而非侷限本發明實施例。
基板102為鰭狀場效電晶體100形成其上的物理材料。基板102包含半導體材料比如(但不限於)矽或鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、或銻化銦;合金如碳化矽鍺、矽鍺、磷砷化鎵、磷化鎵銦、砷化鎵銦、磷砷化鎵銦、砷化鋁銦、或砷化鋁鎵;或上述之組合。在一些實施例中,基板102包含結晶矽基板(如矽晶圓)。在一些實施例中,基板102包含磊晶層及/或絕緣層上矽結構。此外,基板102可摻雜p型摻質如硼、銦、鋁、或鎵;或n型摻質如磷或砷。摻雜的基板102可設置以用於n型鰭狀場效電晶體,或另外設置以用於p型鰭狀場效電晶體。
淺溝槽隔離區106提供鰭狀結構104A與104B彼此之間的電性隔離,以及上述鰭狀結構與整合至或沉積於基板102上的其他相鄰主動與被動單元(未圖示於第1圖中)之間的電性隔離。淺溝槽隔離區106之組成可為介電材料。在一些實施例中,淺溝槽隔離區106包含氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適的絕緣材料。在一些實施例中,淺溝槽隔離區106包含多層結構。
閘極結構108橫越鰭狀結構104A與104B。位於閘極結構108下的鰭狀結構104A與104B其鰭狀區,可定義鰭狀場效電晶體100的通道區。閘極結構108包含閘極118,以及與閘極118相鄰且接觸的介電層116。在一些實施例中,介電層116的厚度116t介於1nm至5nm之間。在多種實施例中,閘極結構108可進一步包含蓋層、蝕刻停止層、及/或其他合適材料。
介電層116橫越鰭狀結構104A與104B。在一些實施例中,介電層116包含一或多層的氧化矽、氮化矽、氮氧化矽、或高介電常數介電材料如HfO2、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、或上述之組合。在其他實施例中,高介電常數介電材料可包含金屬氧化物。用於高介電常數介電材料的金屬氧化物可包含Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、及/或上述之混合物的氧化物。在一些實施例中,介電層116包含單層或堆疊的絕緣材料層。介電層116的形成方法可為化學氣相沉積、原子層沉積、物理氣相沉積、電子束蒸鍍、或其他合 適製程。間隔物120包含絕緣材料如氧化矽或氮化矽,且可在鰭狀場效電晶體的後續製程中保護其下方區域。間隔物120包含間隔物部份120a以形成閘極結構108的側壁,間隔物部份120b以形成鰭狀結構104A與104B的側壁,以及間隔物部份120c以形成淺溝槽隔離區106上的保護層。
閘極118可包含閘極功函數金屬層122與閘極金屬填充層124。在一些實施例中,閘極功函數金屬層122位於介電層116上。閘極功函數金屬層122可包含金屬層的單層或堆疊。金屬層的堆疊可包含類似或不同功函數的金屬層。在一些實施例中,閘極功函數金屬層122包含任何合適材料,比如鋁、銅、鎢、鈦、鉭、氮化鈦、氮化鉭、鎳矽、鈷矽、銀、碳化鉭、氮化鉭矽、氮碳化鉭、鈦鋁、氮化鈦鋁、氮化鎢、金屬合金、及/或上述之組合。在p型裝置內,閘極功函數金屬層122中包含的例示性功函數金屬層可為TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合適的p型功函數材料、或上述之組合。在n型裝置內,閘極功函數金屬層122中包含的例示性功函數金屬層可為Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料、或上述之組合。閘極功函數金屬層122的形成方法可採用合適製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、或上述之組合。在一些實施例中,閘極功函數金屬層122的厚度122t介於2nm至15nm之間。
閘極金屬填充層124可包含單層或堆疊的金屬層。金屬層的堆疊可包含彼此不同的金屬。在一些實施例中, 閘極金屬填充層124包含任何合適的導電材料,比如Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN,Cu、W、Co、Ni、TiC、TiAlC、TaAlC、金屬合金、及/或上述之組合。閘極金屬填充層124的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、或其他合適的導電材料沉積製程。
為了易於說明第1圖,後續說明主要以鰭狀結構104A為例。鰭狀結構104A與104B具有類似結構與組成,應理解若無特別說明,則鰭狀結構104A的相關內容亦適用於鰭狀結構104B。
鰭狀結構104A包含磊晶區110A位於閘極結構108的兩側上。閘極結構108下的鰭狀結構104A之鰭狀區,係由基板102的圖案化部份形成如下述。磊晶區110A與基板102之間可形成界面121A,且磊晶區110A與閘極結構108下的部份鰭狀結構104A之間可形成界面221A(見第2圖,未圖示於第1圖)。在一些實施例中,淺溝槽隔離區106與基板102之間的界面123以及界面121A共平面。在一些實施例中,界面121A可高於界面123或低於界面123。在一些實施例中,磊晶區110A可形成於基板102上部份凹陷的鰭狀區(未圖示)上。這些部份凹陷的鰭狀區可為不位於閘極結構108下的部份鰭狀結構104A。這些部份凹陷的鰭狀區之上表面,可與磊晶區110A之間具有界面(未圖示),且這些界面可高於界面123。這些部份凹陷的鰭狀區之下表面,可與基板102之間形成界面(未圖示),且這些界面可高於或低於界面123。
磊晶區110A設置以作為鰭狀場效電晶體100的源極/汲極區,且包含磊晶成長的半導體材料。在一些實施例中,磊晶成長的半導體材料與基板102的材料相同。在一些實施例中,磊晶成長的半導體材料與基板102的材料不同,因此施加應力至閘極結構108下的通道區上。由於這些磊晶成長的半導體材料之晶格常數,不同於基板102之材料的晶格常數,因此通道區具有應力,並有利於增加鰭狀場效電晶體100的通道區中的載子移動率。磊晶成長的半導體材料可包含半導體材料如鍺或矽;半導體化合物材料如砷化鎵或砷化鋁鎵;或半導體合金如矽鍺或磷砷化鎵。
在一些實施例中,磊晶區110A的成長方法為化學氣相沉積如低壓化學氣相沉積、原子層化學氣相沉積、超高真空化學氣相沉積、減壓化學氣相沉積、或任何合適的化學氣相沉積;分子束磊晶製程、任何合適的磊晶製程;或上述之組合。在一些實施例中,磊晶區110A的成長方法為磊晶沉積/部份蝕刻製程,其重複磊晶沉積/部份蝕刻製程至少一次。重複的沉積/部份蝕刻製程又稱作循環沉積蝕刻製程。在一些實施例中,磊晶區110A的成長方法為選擇性磊晶成長,其中蝕刻氣體可促進半導體材料選擇性成長於基板102的露出表面上,而不成長於絕緣材料如淺溝槽隔離區106的介電材料上。
在磊晶成長製程中,可臨場摻雜磊晶區110A。在多種實施例中,磊晶區110A可摻雜p型摻質如硼、銦、或鎵、n型摻質如磷或砷、及/或上述之組合。矽鍺的磊晶區110A可摻雜p型摻質如硼、鎵、或銦、n型摻質如磷或砷、及/或上述之 組合;矽的磊晶區110A可摻雜碳及/或磷。對p型的臨場摻雜來說,p型摻雜的前驅物可為但不限於二硼烷、三氟化硼、及/或其他p型摻雜前驅物。對n型的臨場摻雜來說,n型摻雜的前驅物可為但不限於磷化氫、砷化氫、及/或其他n型摻雜前驅物。藉由臨場摻雜製程,可控制磊晶成長的半導體材料以達所需的摻質濃度。在一些實施例中,未臨場摻雜磊晶區110A,而是進行佈植製程以摻雜磊晶區110A。
每一磊晶區110A可包含子區112A、113A、與114A,其形成方法可為磊晶成長。在一些實施例中,子區112A、113A、與114A具有矽鍺且彼此不同,比如摻雜濃度、磊晶成長製程條件、及/或矽與鍺的相對濃度不同。舉例來說,子區112A的鍺原子%小於子區113A的鍺原子%,且大於子區114A中的鍺原子%。在一些實施例中,子區112A的鍺原子%等於子區113A的鍺原子%,但大於子區114A的鍺原子%。在一些實施例中,子區112A包含15原子%至35原子%的鍺,子區113A包含35原子%至70原子%的鍺,且子區114A包含小於約25原子%的鍺,而子區112A、113A、與114A中的其他原子%為矽。
子區112A、113A、與114A的磊晶成長其壓力可介於10Torr至300Torr之間,溫度可介於500℃至700℃之間,且反應氣體可包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼前驅物、氫氣、及/或氮氣。為了達到子區112A、113A、與114A中不同的鍺濃度,在個別的成長製程中可改變鍺與矽前驅物的流速比例。舉例來說,在磊晶成長子區113A時的鍺/矽前驅物流速比例介於9至25 之間,且在磊晶成長子區114A時的鍺/矽前驅物流速比例小於約6。子區114A的厚度114At介於2nm至7nm之間,其於積體電路中的鰭狀場效電晶體100及/或其他裝置的後續製程中,可作子區113A的蝕刻停止層。
子區112A、113A、與114A彼此之間可具有不同的摻質濃度。舉例來說,子區112A可為未摻雜,或其摻雜濃度低於子區113A與114A的摻雜濃度。在一些實施例中,子區112A的摻質濃度小於5×1020原子/cm3,子區113A的摻質濃度介於1×1020原子/cm3至2×1021原子/cm3之間,且子區114A的摻質濃度介於1×1020原子/cm3至3×1021原子/cm3之間。
在一些實施例中,鰭狀結構104B包含磊晶區110B,其具有子區112B、113B、與114B。具有子區112B、113B、與114B的磊晶區110B其結構、組成、與功能,均與具有子區112A、113A、與114A的磊晶區110A類似。
第2圖係第1圖之鰭狀場效電晶體100沿著線段A-A的剖視圖。應注意第1與2圖中例示性的鰭狀場效電晶體100可不依比例繪示。剖面圖顯示閘極結構108、間隔物部份120a、與鰭狀結構104A的輪廓,且鰭狀結構104A包含子區112A、113A、與114A。鰭狀場效電晶體100與基板102之間具有界面121A,且與閘極結構108下的鰭狀結構104A之區域之間具有界面221A。
第3圖係閘極置換製程後,積體電路中的例示性鰭狀場效電晶體300之等角視圖。第3圖係用以說明且未依比例繪示。鰭狀場效電晶體100與300可為相同的積體電路中的一部 份,且可具有第2圖所示的類似結構。
鰭狀場效電晶體300包含鰭狀結構304。與鰭狀場效電晶體100類似,鰭狀場效電晶體300包含淺溝槽隔離區106、鰭狀結構304上的閘極結構108、與間隔物120。第2圖僅顯示單一的閘極結構108,然而類似的額外閘極結構(未圖示)可平行於閘極結構108。此外,鰭狀場效電晶體300可經由其他結構構件如源極/汲極接點、閘極接點、通孔、內連線金屬層、介電層、鈍化層、或類似物整合至積體電路中。其他結構構件已省略於圖式中,以達清楚說明的目的。
鰭狀結構304包含磊晶區310於閘極結構108的相反兩側上。閘極結構108下的鰭狀結構304之鰭狀區,係由基板102的圖案化部份形成如下述。磊晶區310與基板102之間可形成界面321A與321B,且磊晶區310與閘極結構108下的鰭狀結構304的區域之間可形成界面(未圖示)。在一些實施例中,淺溝槽隔離區106與基板102之間的界面123、界面321A、與界面321B共平面。在一些實施例中,界面321A與321B可高於界面123或低於界面123。
磊晶區310設置以作為鰭狀場效電晶體300的源極/汲極區,且包含磊晶成長的半導體材料。在一些實施例中,磊晶成長的半導體材料與基板102的材料相同。在一些實施例中,磊晶成長的半導體材料與基板102的材料不同,因此施加應力至閘極結構108下的通道區上。磊晶成長的半導體材料可包含半導體材料如鍺或矽;半導體化合物材料如砷化鎵或砷化鋁鎵;或半導體合金如矽鍺或磷砷化鎵。在一些實施例中,鰭 狀場效電晶體100的磊晶區110A與110B之組成,與鰭狀場效電晶體300的磊晶區310之組成彼此相同。
在一些實施例中,磊晶區310的成長方法為化學氣相沉積如低壓化學氣相沉積、原子層化學氣相沉積、超高真空化學氣相沉積、或減壓化學氣相沉積;分子束磊晶製程、任何合適的磊晶製程;或上述之組合。
在一些實施例中,磊晶區310的成長方法為循環沉積蝕刻製程。此外,可在磊晶成長製程中臨場摻雜磊晶區310,或者在磊晶成長製程後進行離子佈植製程以摻雜磊晶區310。在多種實施例中,磊晶區310可摻雜p型摻質如硼、銦、或鎵;n型摻質如磷或砷;及/或上述之組合。矽鍺的磊晶區310可摻雜p型摻質、n型摻質、及/或上述之組合。矽的磊晶區310可摻雜碳及/或磷。對p型的臨場摻雜來說,p型摻雜的前驅物可為但不限於二硼烷、三氟化硼、及/或其他p型摻雜前驅物。對n型的臨場摻雜來說,n型摻雜的前驅物可為但不限於磷化氫、砷化氫、及/或其他n型摻雜前驅物。
每一磊晶區310可包含子區311、312、313、314、與315。子區311與312磊晶成長於基板102的不同部份上,且各自與基板102之間具有界面321A與321B。如第3圖所示,合併的子區313可包含部份313a與313b,其自未合併的子區311與312磊晶成長並合併於界面313i處。鰭狀結構304可稱作合併的鰭狀結構,因為其合併磊晶的部份313a與313b。第1圖之鰭狀場效電晶體100的鰭狀結構104A與104B可稱作未合併的鰭狀結構。此外,子區315可磊晶成長於子區313的上表面313s上。 上表面313s可為子區313的(100)晶面。子區314磊晶成長於子區313與315上。子區314的厚度314t可介於2nm至7nm之間,且子區315的厚度315t可介於1nm至3nm之間。
在鰭狀場效電晶體300的後續製程中,子區314可作為子區313與315的蝕刻停止層。在一些實施例中,子區315設置以作為緩衝層,比如在積體電路中跟著鰭狀場效電晶體300一起形成的其他裝置(如鰭狀場效電晶體裝置100)於後續回蝕刻製程時,子區315可保護子層313免於回蝕刻,並保護上表面313s的面積免於縮小。舉例來說,後續的回蝕刻製程可控制積體電路中,跟鰭狀場效電晶體300一起製作的其他鰭狀場效電晶體(如鰭狀場效電晶體100)之鰭狀結構(如鰭狀結構104A與104B)的形狀與體積。如此一來,一些實施例中的子區315有助於改善或消除積體電路中,鰭狀場效電晶體100其未合併的鰭狀結構104a與104B的磊晶區110A與110B所需的體積,以及上表面313s所需的面積(後續用於合併的鰭狀結構304上的接點結構)之間的權衡。在一些實施例中,鰭狀場效電晶體100具有未合併的較小鰭狀結構如鰭狀結構104A與104B,其可用於靜態隨機存取記憶體的位元單元中的上拉式電晶體。
在一些實施例中,子區311、312、313、314、與315具有矽鍺且彼此不同,比如摻雜濃度、磊晶成長製程條件、及/或矽與鍺的相對濃度不同。舉例來說,子區311與312的鍺原子%小於子區313的鍺原子%,且大於子區314與315中的鍺原子%。在一些實施例中,子區311與312的鍺原子%等於子區313的鍺原子%,但大於子區314與315的鍺原子%。在一些實施例 中,子區311與312包含15原子%至35原子%的鍺,子區313包含35原子%至70原子%的鍺,而子區311、312、與313中的其他原子%為矽。在一些實施例中,子區315包含10原子%至15原子%的鍺,子區314包含小於25原子%的鍺,而子區314與315中的其他原子%為矽。
子區311、312、313、314、與315的磊晶成長其壓力可介於10Torr至300Torr之間,溫度可介於500℃至700℃之間,且反應氣體可包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼前驅物、氫氣、及/或氮氣。為了達到子區311、312、313、314、與315中不同的鍺濃度,在個別的成長製程中可改變鍺與矽前驅物的流速比例。舉例來說,在磊晶成長子區313時的鍺/矽前驅物流速比例介於9至25之間,且在磊晶成長子區315時的鍺/矽前驅物流速比例介於3至9之間,且在磊晶成長子區314時的鍺/矽前驅物流速比例小於約6。
子區311、312、313、314、與315彼此之間可具有不同的摻質濃度。舉例來說,子區311與312可為未摻雜,或其摻雜濃度各自低於子區313、314、與315的摻雜濃度。在一些實施例中,子區311與312的摻質濃度小於約5×1020原子/cm3,且子區313的摻質濃度介於1×1020原子/cm3至2×1021原子/cm3之間。在一些實施例中,子區314的摻質濃度介於1×1020原子/cm3至3×1021原子/cm3之間,且子區315的摻質濃度小於約2×1021原子/cm3
如第3圖所示,鰭狀場效電晶體300中包含絕緣材 料(如大氣),其可包含空洞326。空洞326限制於部份的子區311、312、313、淺溝槽隔離區106、與間隔物120中。空洞326的剖面輪廓不限於第3圖所示的五角形,其可具有任何幾何形狀(如圓形、三角形、或矩形)。
製作裝置的方法例
第4至8圖係鰭狀場效電晶體100或300(如第1至3圖所示)於例示性的製作方法其多種階段中的等角視圖。第9A至12A圖與第9B至12B圖係鰭狀場效電晶體100與300於例示性的製作方法其多種階段中,各自沿著線段B-B與C-C的剖視圖。
第4圖係圖案化基板102上的光阻,用以形成鰭狀結構104A與104B(或鰭狀結構304)之後的鰭狀場效電晶體100或300之半成品的等角視圖。蝕刻至基板102中,以形成鰭狀結構104A與104B(或鰭狀結構304)。墊層436a與硬遮罩層436b形成於基板102上。墊層436a可為薄膜如氧化矽,且其形成方法可為熱氧化製程。墊層436a亦可作為蝕刻硬遮罩層436b時的蝕刻停止層。在一實施例中,硬遮罩層436b之組成可為氮化矽,且其形成方法可為低壓化學氣相沉積或電漿增強化學氣相沉積。在後續光微影製程時,硬遮罩層436b可作為硬遮罩。圖案化的光阻層438形成於硬遮罩層436b上。
第5圖係形成鰭狀區504A與504B之後的鰭狀場效電晶體100或300之半成品的等角視圖。蝕刻圖案化的光阻層438未覆蓋的部份硬遮罩層436b與墊層436a,以露出下方的基板102。接著蝕刻露出的基板102以形成鰭狀區504A與504B。接著移除圖案化的光阻438。在一些實施例中,鰭狀區504A與 504B各自具有寬度W與深度D,寬度小於約30nm,且深度D介於210nm至250nm之間。鰭狀區504A與504B之間的空間S小於約50nm。鰭狀區504A與504B之間的空間可依製作於積體電路中的不同鰭狀結構(如鰭狀結構104A、104B、或304)而變化。
第6圖係形成淺溝槽隔離區106之後的鰭狀場效電晶體100或300之半成品的等角視圖。淺溝槽隔離區106的形成方法可為沉積與蝕刻介電材料。介電材料可包含氧化矽。在一些實施例中,亦可採用其他介電材料如氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、或低介電常數介電材料。在一些實施例中,介電材料的形成方法可採用可流動的化學氣相沉積製程或高密度電漿化學氣相沉積製程,其採用矽烷與氧氣作為反應前驅物。在其他實施例中,介電材料的形成方法可採用次壓化學氣相沉積製程或高深寬比製程,其中製程氣體可包含四乙氧基矽烷及/或臭氧。在又一實施例中,介電材料可採用旋轉塗佈介電物如氫倍半矽氧烷或甲基倍半矽氧烷。
接著可進行化學機械研磨或濕蝕刻以移除硬遮罩層436b與墊層436a。移除上述層狀物後可接著蝕刻沉積於基板102上的介電材料,以形成第6圖所示的淺溝槽隔離區106。蝕刻介電材料的方法可採用濕蝕刻製程,比如將基板102浸入氫氟酸中。在其他實施例中,蝕刻步驟可採用乾蝕刻製程,比如以氟仿或三氟化硼作為蝕刻氣體。鰭狀區504A與504B的較上鰭狀部份546A與546B,各自凸起超出淺溝槽隔離區106其平坦的上表面106t,以作為鰭狀場效電晶體100或300的通道區。較上鰭狀部份546A與546B可各自包含上表面546At與546Bt。在一 些實施例中,淺溝槽隔離區106其平坦的上表面106t低於上表面546At與546Bt。在一些實施例中,每一較上鰭狀部份546A與546B的垂直尺寸介於15nm至50nm之間。在另一實施例中,每一較上鰭狀部份546A與546B的垂直尺寸介於20nm至40nm之間。在另一實施例中,每一較上鰭狀部份546A與546B的垂直尺寸介於25nm至35nm之間。
第7圖係形成結構748於鰭狀區504a、鰭狀物504B、與淺溝槽隔離區106上之後的鰭狀場效電晶體100或300之半成品的等角視圖。結構748包含圖案化的多晶矽結構750與未圖案化的間隔物120*。圖案化的多晶矽結構750形成於淺溝槽隔離區106的上表面106t上,並圍繞自淺溝槽隔離區106凸起的鰭狀區504A與504B的表面。未圖案化的間隔物120*覆蓋圖案化的多晶矽結構750之露出表面、淺溝槽隔離區106的上表面106t、以及凸出超過淺溝槽隔離區106且圖案化的多晶矽結構750未覆蓋的鰭狀物504A與504B的表面。
圖案化的多晶矽結構750的形成方法可為任何合適製程。舉例來說,圖案化的多晶矽結構750之形成製程包含沉積、光微影、與蝕刻。沉積製程包含化學氣相沉積、物理氣相沉積、原子層沉積、其他合適方法、及/或上述之組合。光微影包含塗佈光阻(如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(如硬烘烤)、其他合適製程、及/或上述之組合。蝕刻製程包含乾蝕刻、濕蝕刻、及/或其他蝕刻方法(如反應性離子蝕刻)。未圖案化的間隔物120*可包含介電材料如氧化矽、碳化矽、氮化矽、氮氧化矽、或其他 合適材料。介電材料的毯覆層形成於圖案化的多晶矽結構750的方法,可為化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適技術。
第8圖係形成間隔物120與凹陷的鰭狀區854A與854B之後的鰭狀場效電晶體100或300之半成品的等角視圖。未圖案化的間隔物120*經乾蝕刻如反應性離子蝕刻後,可形成第8圖所示的間隔物120,其具有間隔物部份120a、120b、與120c。間隔物120的厚度120t可介於5nm至15nm之間。圖案化的多晶矽結構750與間隔物部份120a未覆蓋的部份鰭狀區504A與504B,將凹陷以形成凹陷的鰭狀區854A與854B。未凹陷的部份鰭狀區504A與504B位於間隔物部份120a與圖案化的多晶矽結構750下方,且分別與間隔物部份120a及圖案化的多晶矽結構750之間具有界面852A與852B。在一實施例中,進行偏壓蝕刻製程以形成凹陷的鰭狀區854A與854B。蝕刻製程的壓力可介於1mTorr至1000mTorr之間、功率可介於50W至1000W之間、偏電壓介於20V至500V之間、溫度介於40℃至60℃之間、並採用HBr及/或氯氣作為蝕刻氣體。在一些實施例中,可形成硬遮罩層(未圖示)於圖案化的多晶矽結構750的上表面750s上,以在蝕刻製程時保護上表面750s免於蝕刻。在一些實施例中,圖案化的多晶矽結構750與間隔物部份120a未覆蓋的部份鰭狀區504A與504B將部份地凹陷化(未圖示),且這些部份凹陷的鰭狀區之上表面高於界面123。
第9A係形成鰭狀場效電晶體300之磊晶的子區311與312及合併之磊晶的子區313*於第8圖的結構之後的剖視 圖,其沿著第8圖中的線段D-D。第9B圖係形成鰭狀場效電晶體100之磊晶的子區112A、112B、113A*、與113B*於第8圖的結構之後的剖視圖,其沿著第8圖中的線段D-D。磊晶的子區112A至112B與磊晶的子區113A*至113B*之結構與組成彼此類似。應理解的是,子區112A與113A*的內容亦適用於子區112B與113B*,除非額外說明。應注意的是,第8圖之結構的剖面輪廓可不同於第9A至9B圖。第9A與9B圖的結構可同時形成於基板102的不同部份上,以製作相同積體電路中的鰭狀場效電晶體300與100。
子區311、312、112A、與112B分別自凹陷的鰭狀區854A與854B以及鰭狀區504A與504B的未凹陷部份磊晶成長。子區113A*與113B*分別自子區112A與112B磊晶成長。子區313a*與313b*合併於界面313i以形成子區313*,且子區313a*與313b*分別由子區311與312磊晶成長至所需的高度水平956。即使子區113A*、113B*、313a*、與313b*同時磊晶成長,子區113A*與113B*在成長至高度水平956時仍未合併,不同於合併的子區313A*與313B*。藉由子區112A與112B之間的空間962大於子區311與312之間的空間960,可在磊晶成長時避免子區113A*與113B*合併。這些子區311、312、313*、112A、112B、113A*、與113B*各自包含磊晶成長的半導體材料,比如但不限於矽鍺。
在一些實施例中,子區311、312、313*、112A、112B、113A*、與113B*的成長方法為磊晶沉積/部份蝕刻製程,其重複至少一次。這些重複的沉積/部份蝕刻製程又稱作循環 沉積蝕刻製程。在一些實施例中,這些子區的成長方法為選擇性成長,其中蝕刻氣體可促進半導體材料選擇性成長於凹陷的鰭狀區854A與854B以及鰭狀區504A與504B其未凹陷的部份之露出表面上,而不成長於淺溝槽隔離區106的絕緣材料上。子區311、312、313*、112A、112B、113A*、與113B*之磊晶成長其壓力可介於10Torr至300Torr之間、溫度可介於500℃至700℃之間、且採用的反應氣體可包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼摻質前驅物、氫氣、及/或氮氣。為了達到這些子區中不同的鍺濃度,可改變個別成長製程中鍺與矽前驅物的流速比例。舉例來說,在磊晶成長子區313*、113A*、與113B*時,鍺對矽的前驅物流速比例可介於9至25之間。在一些實施例中,子區311、312、112A、與112B各自包含的鍺介於15原子%至35原子%之間,而子區313*、113A、與113B*各自包含的鍺介於35原子%至70原子%之間,且上述子區的其他原子%為矽。
子區311、312、313*、112A、112B、113A*、與113B*可在磊晶成長製程時臨場摻雜p型摻質、p型摻質可為但不限於硼,且其採用的摻雜前驅物比如但不限於二硼烷或三氟化硼。子區112A、112B、311、與312的摻質濃度小於約5×1020原子/cm3,而子區113A*、113B*、與313*的摻質濃度介於1×1020原子/cm3至2×1021原子/cm3之間。
如第9A與9B圖所示,可同時成長子區313*、113A*、與113B*。然而同時成長子區313*、113A*、與113B*時無法使上述子區達到所需的形狀與體積。在一些實施例中, 需縮小子區113A*與113B*的體積,但實質上不縮小子區313*及/或縮小上表面313s*的面積。上表面313s*可定義後續形成於子區313*上的接點結構之面積。同樣地,需增加子區113A*與113B*之間的合併窗口958,而不實質上減少子區313*的體積及/或縮小上表面313s*的面積。合併窗口958需增加,以避免在後續沉積層狀物及/或磊晶區於子區113A*與113B*上時,造成子區113A*與子區113B*在合併窗口958中合併。
為了減少子區113A*與113B*的體積、增加合併窗口958、實質上不減少子區313*的體積、及/或實質上不減少上表面313s*的面積,可選擇性地磊晶成長緩衝區315*於子區313*上,如第10A與10B圖所示。在形成緩衝區315*後,接著進行蝕刻製程。
在一些實施例中,除了第9B圖的結構,第9C圖的結構亦可與第9A圖的結構同時形成於基板102的不同部份上。在一些實施例中,第9C圖的結構可為基板102上的部份鰭狀場效電晶體100或300,或者另一鰭狀場效電晶體(未圖示)。在後續製程之後,子區913A*與913B*可形成未合併的子區,其分別與未合併的子區113A與113B類似。上述子區113A*、113B*、112A、與112B的內容亦可用於子區913A*、913B*、912A、與912B,除非另外說明。第9C圖中與第9A至9B圖中相同標號的單元已說明如上。
如第9C圖所示的一些實施例,子區913A*與913B*可分別自子區912A與912B磊晶成長並合併在界面913i。在一些實施例中,界面913i的垂直尺寸可小於界面313i的垂直尺寸。 在一些實施例中,子區912A與912B之間的空間964可大於子區311與312之間的空間960,並小於子區112A與112B之間的空間962。為了減少子區913A*與913B*的體積並在界面913i分開上述子區,可選擇性地磊晶成長緩衝區315*於子區313*上如第10A圖所示,接著進行蝕刻製程。形成大於或等於合併窗口958的合併窗口,可降低子區913A*與913B*的體積並使其分開。緩衝區315*在分開子區913A*與913B*的製程中,有利於實質上避免減少子區313*的體積及/或上表面313s*的面積。
第10A與10B圖係在第9A與9B圖所示的結構形成磊晶的緩衝區315*之後的剖視圖。磊晶的緩衝區315*可保護子區313*實質上免於鰭狀場效電晶體100的後續回蝕刻製程影響,且回蝕刻製程形成第1圖所示的子區113A與113B。緩衝區315*包含磊晶成長的半導體材料,比如但不限於矽鍺,且其成長方法可為合適的磊晶製程。緩衝區315*的磊晶成長其壓力可介於10Torr至300Torr之間,溫度可介於500℃至700℃之間,且採用的反應氣體包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼前驅物、氫氣、及/或氮氣。一些實施例在磊晶成長緩衝區315*時,矽烷/二氯矽烷的流速比例可介於2至5之間。一些實施例在磊晶成長緩衝區315*時,鍺/矽的前驅物流速比例可介於3至9之間。在一些實施例中,緩衝區315*包含的鍺介於10原子%至15原子%之間,且其他原子%為矽。此外,在磊晶成長緩衝區315*時可臨場摻雜p型摻質(比如但不限於硼),其採用的摻雜前驅物可為但不限於二硼烷或三氟化硼,且其摻質濃度小於約2×1021原子 /cm3
位於上表面313s*上的部份緩衝區315*為磊晶的子區313*之(100)晶面,其厚度315t*介於2nm至5nm之間。位於斜向表面313a*與313b*上的部份緩衝區315*為磊晶的子區313*之(111)晶面,其厚度實質上比上表面313s*上的部份緩衝區315*之厚度少約0.5nm。在一些實施例中,緩衝區315*最後形成於(100)晶面而不形成於(111)晶面上。此外,應理解緩衝區最後未磊晶成長於子區113A*與113B*及/或子區913A*與913B*的表面上。在一些實施例中,有利於緩衝區315*達到上述輪廓的參數之一,係在成長緩衝區315*時使蝕刻/沉積比例維持在0.5至1之間。蝕刻/沉積比例的定義可為磊晶成長緩衝區315*時,用於蝕刻的前驅物流速與用於沉積材料的前驅物的流速之間的比例。舉例來說,蝕刻/沉積比例可為磊晶成長緩衝區315*時,鹽酸的流速與鍺烷、矽烷、與二氯矽烷之組合的流速之間的比例。蝕刻/沉積比例經調整後可讓磊晶材料沿著(100)晶面的成長速率高於沿著(111)晶面的成長速率,並讓磊晶材料沿著(111)晶面的蝕刻速率高於沿著(100)晶面的蝕刻速率。在一些實施例中,沿著(100)晶面的成長速率與沿著(111)晶面的成長速率之間的比例介於5至10之間。由於子區113A*與113B*及/或子區913A*與913B*中不具有(100)晶面,緩衝區315*可選擇性地成場於上表面313s*上。
第11A與11B圖分別為對第10A與10B圖之結構進行回蝕刻製程之後的剖視圖。此外,在對9C圖之結構進行回蝕刻製程後,亦可形成與第11B圖類似的結構。可同時在第10A 與10B圖之結構、第10A與9C圖之結構、或第9C與第10A至10B圖之結構上進行回蝕刻製程,其壓力介於10Torr至300Torr之間,溫度介於500℃至700℃之間,且採用反應氣體如鹽酸、鍺烷、氫氣、及/或氮氣。如第11A與11B圖所示,回蝕刻製程縮小子區113A*與113B*的體積並增加合併窗口958,以形成較小的子區113A與113B與較寬的合併窗口1158,而不自高度水平956回蝕刻子區313*。在子區913A*與913B*上進行回蝕刻製程後,可形成類似的未合併且較小的子區113A與113B。在一些實施例中,在後蝕刻製程中可自子區313*形成子區313。回蝕刻子區313*(見第10A圖)之部份313c*與313d*後,形成的子區313其表面313e與313f垂直於基板102的上表面102s。在回蝕刻製程後,可自緩衝區315*的剩餘部份形成子區315。
第12A與12B圖分別為在第11A與11B圖之結構上形成子區314、114A、與114B(如第3與1圖所述)之後的剖視圖。子區314、114A、與114B各自包含磊晶成長的半導體材料,比如但不限於矽鍺,且其成長方法可為任何合適的磊晶製程。子區314、114A、與114B的形成方法可為磊晶成長,其壓力介於10Torr至300Torr之間,溫度介於500℃至700℃之間,且採用的反應氣體包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼前驅物、氫氣、及/或氮氣。在一些實施例中,子區314、114A、與114B各自包含小於約25原子%的鍺,且其他原子%為矽。此外,可在磊晶成長每一子區314、114A、與114B時臨場摻雜p型摻質比如但不限於硼(其採用之前驅物可為但不限於二硼烷或三氟化硼),且其 摻質濃度介於1×1020原子/cm3至3×1021原子/cm3之間。
形成第12A與12B圖所示的結構後,可形成鰭狀場效電晶體100與300的其他單元,比如以置換金屬閘極製程形成的閘極與閘極介電物、層間介電層、源極/汲極接點、閘極接點、金屬閘極結構、通孔、內連線金屬層、介電層、鈍化層、與類似物。上述其他單元並未圖示,以達簡化目的。
製作鰭狀場效電晶體的步驟例
第13圖係用以製作鰭狀場效電晶體100與300的例示性方法1300其流程圖。第13圖所示的步驟將搭配第4-8、9A-12A、與9B-12B圖所示的製程說明。可採用不同順序進行下述步驟,或者不進一些步驟,端視特定應用而定。值得注意的是,方法1300並未產生完整的鰭狀場效電晶體100與200。綜上所述,應理解在方法1300之前、之中、與之後可進行額外製程,且一些其他製程僅簡述於此。
在步驟1310中,形成多個鰭狀區於基板上。以第5圖為例,形成與鰭狀區504A類似的多個鰭狀區於基板102上。鰭狀區504A的形成方法可包含圖案化與蝕刻基板102。圖案化製程可包含光微影製程,且蝕刻製程可包含乾蝕刻如反應性離子蝕刻。
在步驟1320中,形成隔離區於基板上的多個鰭狀區其相鄰的鰭狀區之間。以第6圖為例,形成淺溝槽隔離區106於基板102上。淺溝槽隔離區106的形成方法可為沉積與蝕刻介電材料。介電材料可包含氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、或低介電常數的介電材料。在一些實施例中, 介電材料的形成方法可為可流動的化學氣相沉積製程或高密度電漿化學氣相沉積製程,其可採用矽烷與氧作為反應前驅物。在其他實施例中,介電材料的形成方法可為次壓化學氣相沉積製程或高深寬比製程,其中製程氣體可包含四乙氧基矽烷及/或臭氧。蝕刻介電材料的方法可採用濕蝕刻製程,比如將基板102浸入氫氟酸中。在其他實施例中,蝕刻步驟可採用乾蝕刻製程,比如採用氟仿或三氟化硼作為蝕刻氣體。
在步驟1330中,形成多晶矽結構與間隔物於鰭狀區與隔離區上。以第7圖為例,多晶矽結構750與間隔物120形成於鰭狀區504A與淺溝槽隔離區106上。多晶矽結構750的形成製程包含沉積、光微影、與蝕刻。沉積製程可包含化學氣相沉積、物理氣相沉積、原子層沉積、其他合適方法、及/或上述之組合。蝕刻製程可包含乾蝕刻、濕蝕刻、及/或其他蝕刻方法如反應性離子蝕刻。間隔物120的形成方法可為毯覆性地沉積介電材料如氧化矽、碳化矽、氮化矽、氮氧化矽於多晶矽結構750上,且沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適技術。接著對介電材料進行非等向蝕刻以形成間隔物120。
在步驟1340中,蝕刻多個鰭狀區以形成凹陷的鰭狀區。以第8圖為例,形成與凹陷的鰭狀區854A類似之凹陷的鰭狀區。凹陷的鰭狀區854A的形成方法包括蝕刻多晶矽結構750與間隔物120未覆蓋的部份鰭狀區504A。蝕刻製程的壓力可介於1mTorr至1000mTorr之間,功率可介於50W至1000W之間,偏電壓可介於20V至500V之間,溫度可介於40至60之間, 且可採用HBr及/或氯氣作為蝕刻氣體。
在步驟1350中,含鍺區磊晶成長於步驟1340所形成之凹陷的鰭狀區中。筆義9A與9B圖為例,子區112A、112B、311、與312形成於與凹陷的鰭狀區854A類似之凹陷的鰭狀區中。子區112A、112B、311、與312可包含矽鍺,其磊晶成長的壓力介於10Torr至300Torr之間,溫度介於500℃至700℃之間,且採用的反應氣體包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼前驅物、氫氣、及/或氮氣。子區112A、112B、311、與312可未摻雜或臨場摻雜p型摻質如硼,且其摻質濃度可小於5×1020原子/cm3
在步驟1350中,第9C圖所示的子區912A與912B可另外形成於與凹陷的鰭狀區854A類似的凹陷鰭狀區中。
在步驟1360中,磊晶成長合併區以連接一對含鍺區。此外,未彼此合併的磊晶區可成長於兩個或更多的含鍺區上。以第9A與9B圖為例,磊晶成長合併的子區313*以連接子區311與312,且同時地分別磊晶成長未合併的子區113A*與113B*於子區112A與112B上。子區112A與112B之間的空間962大於子區311與312之間的空間,可避免在磊晶成長時合併子區113A*與113B*。合併的子區313*與未合併的子區113A*與113B*可包含矽鍺,其磊晶成長的壓力介於10Torr至300Torr之間,溫度介於500℃至700℃之間,且採用的反應氣體包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼前驅物、氫氣、及/或氮氣。合併的子區313*以及未合併的子區113A*與113B*可臨場摻雜p型摻質 如硼,且其摻質濃度介於1×1020原子/cm3至2×1021原子/cm3之間。
在步驟1360中,除了成長未合併的磊晶區外,可磊晶成長合併區於兩個或更多的含鍺區上,且這些合併區在後續製程步驟中將分開。以第9C圖為例,同時形成磊晶的子區913A*與913B*以及合併的子區313*,如第9A圖所示。子區913A*與913B*可合併於界面913i。在一些實施例中,界面913i的垂直尺寸可小於合併的子區313*的界面313i的垂直尺寸。用以形成子區913A*與913B*的成長製程參數與摻雜製程參數,可與形成子區113A*與113B*的成長製程參數與摻雜製程參數類似。
在步驟1370中,磊晶成長緩衝區於合併區上。以第10A與10B圖為例,緩衝區315*形成於合併的子區313*上。緩衝區315*可包含矽鍺,其磊晶成長的壓力可介於10Torr至300Torr之間,溫度可介於500℃至700℃之間,且採用之反應氣體可包括鹽酸作為蝕刻劑、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼摻質前驅物、氫氣、及/或氮氣。在成長緩衝區315*時,矽烷/二氯矽烷的流速比可介於2至5之間,而Ge/Si的前驅物流速比可介於3至9之間。在成長緩衝區315*時,蝕刻/沉積比如鹽酸的流速與鍺烷、矽烷、與二氯矽烷之組合物的流速比例可介於0.5至1之間。可調整蝕刻/沉積比使緩衝區材料在(100)晶面上的成長速率比在(111)晶面上的成長速率快,並使緩衝區材料在(111)晶面上的蝕刻速率比在(100)晶面上的蝕刻速率快。緩衝區315*可臨場摻雜p型 摻質如硼,其摻質濃度可小於約2×1021原子/cm3
在步驟1380中,回蝕刻部份的未合併區。以第11A與11B圖為例,回蝕刻磊晶的子區113A*與113B*以形成未合併的子區113A與113B。在第10A與10B圖的結構上進行的回蝕刻製程,其壓力介於10Torr至300Torr之間,溫度介於500℃至700℃之間,且採用的反應氣體包括鹽酸、鍺烷、氫氣、及/或氮氣。
在步驟1380中,可額外蝕刻未合併區,且一些合併區(如第9C圖所示之合併的子區913A*與913B*)可蝕刻成未合併區。舉例來說,第9C圖之結構可蝕刻成第11B圖所示的結構。蝕刻第9C圖之結構的製程參數,可與蝕刻結構第9B圖或第10B圖之結構的製程參數類似。
在步驟1390中,磊晶成長蓋區於緩衝區及蝕刻的未合併區上。舉例來說,蓋區如子區314形成於緩衝區315上,緩衝區315形成於步驟1380之蝕刻製程後,且蓋區如子區114A及114B形成於回蝕刻後的未合併的子區113A與113B上。蓋區如子區314、114A、與114B可包含矽鍺,其磊晶成長的壓力介於10Torr至300Torr之間,溫度介於500℃至700℃之間,且採用之反應氣體包括鹽酸作為蝕刻氣體、鍺烷作為鍺前驅物、二氯矽烷及/或矽烷作為矽前驅物、二硼烷作為硼摻質前驅物、氫氣、及/或氮氣。此外,蓋區如子區314、114A、與114B可臨場摻雜p型摻質(如硼),且其摻質濃度介於1×1020原子/cm3至3×1021原子/cm3之間。
如此一來,本發明實施例說明積體電路中鰭狀場 效電晶體的多種設置與其製作方法,同時免於權衡多種鰭狀場效電晶體所需的設置。在一實施例中,方法包括選擇性蝕刻第一鰭狀場效電晶體的第一鰭狀結構以控制其形狀與設置,但實質上不影響第二鰭狀場效電晶體的第二鰭狀結構的形狀與設置。上述方法同時製作第二鰭狀場效電晶體與第一鰭狀場效電晶體。藉由選擇性磊晶成長緩衝區於第一結構上,可在蝕刻第一鰭狀結構時保護第二鰭狀結構。
實施例與功效
在一實施例中,半導體裝置包括鰭狀結構於基板上,置換閘極結構於鰭狀結構上,以及介電區於基板上且位於第一磊晶區與第二磊晶區之間。鰭狀結構包含源極/汲極區,其包含第一磊晶區與第二磊晶區,具有第一摻質濃度;以及合併磊晶區位於第一磊晶區與第二磊晶區上。合併磊晶區具有第二摻質濃度,且第一摻質濃度不同於第二摻質濃度。源極/汲極區亦包括磊晶緩衝區於合併磊晶區上,以及磊晶蓋區於合併磊晶區與緩衝磊晶區上。磊晶緩衝區具有第三摻質濃度,且第三摻質濃度不同於第一摻質濃度與第二摻質濃度。磊晶蓋區具有第四摻質濃度,且第四摻質濃度不同於第一摻質濃度、第二摻質濃度、與第三摻質濃度。
在一實施例中,上述半導體裝置之緩衝磊晶區存在於合併磊晶區的(100)晶面且不存在於合併磊晶區的(111)晶面。
在一些實施例中,上述半導體裝置的磊晶蓋區位於合併磊晶區的(111)晶面上。
在一些實施例中,上述半導體裝置的第一與第二磊晶區包含第一鍺原子濃度;合併磊晶區包含第二鍺原子濃度,且第二鍺原子濃度大於第一鍺原子濃度;以及緩衝磊晶區包含第三鍺原子濃度,且第三鍺原子濃度小於第一鍺原子濃度與第二鍺原子濃度。
在一些實施例中,上述半導體裝置更包含第一對的未合併磊晶區於基板上,其具有第一摻質濃度;以及第二對的未合併磊晶區於第一對的未合併磊晶區上,其具有第二摻質濃度。
在另一實施例中,具有第一鰭狀結構與第二鰭狀結構於基板上的半導體裝置其形成方法包括:形成第一鰭狀結構的第一磊晶區;以及形成第二鰭狀結構的第二磊晶區。方法亦包括形成緩衝區於第一鰭狀結構的第一磊晶區上;回蝕刻部份的第二磊晶區,以及形成蓋區於緩衝區與蝕刻後的第二磊晶區上。緩衝區避免回蝕刻步驟蝕刻第一磊晶區的上表面。
在一些實施例中,上述方法形成磊晶的緩衝區於第一磊晶區上的步驟包括:同時磊晶成長緩衝區的材料於第一磊晶區的第一面與第二面上,第一面不同於第二面,緩衝區以第一成長速率成長於第一面上,且緩衝區以第二成長速率成長於第二面上;以及提供磊晶成長材料於第一面與第二面上的製程條件,使第一成長速率大於第二成長速率。
在一些實施例中,上述方法中的第一面為(100)晶面,且第二面為(111)晶面。
在一些實施例中,上述方法形成磊晶的緩衝區於 第一磊晶區的步驟包括:同時磊晶成長緩衝區的材料於第一磊晶區的第一面與第二面上,第一面不同於第二面,緩衝區以第一成長速率成長於第一面上,且緩衝區以第二成長速率成長於第二面上;同時蝕刻第一磊晶區的第一面與第二面上的緩衝區其磊晶成長材料,以第一蝕刻速率蝕刻第一面上的緩衝區,並以第二蝕刻速率蝕刻第二面上的緩衝區;以及提供磊晶成長與蝕刻第一面與第二面上的材料之製程條件,使第一成長速率大於第二成長速率,且第一蝕刻速率小於第二蝕刻速率。
在一些實施例中,上述方法提供磊晶成長與蝕刻第一面與第二面上的材料之製程條件包括:提供蝕刻氣體;以及提供緩衝區的材料其前驅物,其中蝕刻氣體的流速與前驅物的流速之間的比例介於0.5至1之間。
在一些實施例中,上述方法提供蝕刻氣體的步驟包括提供鹽酸氣體;以及提供緩衝區之材料其前驅物的步驟包括提供鍺烷、矽烷、與二氯矽烷。
在一些實施例中,上述方法中的第一平面為(100)晶面;以及第二平面為(111)晶面。
在一些實施例中,上述方法形成磊晶的緩衝區於第一磊晶區上之步驟包括:形成磊晶的緩衝區之第一部份於第一磊晶區的(100)晶面上;以及形成磊晶緩衝區的第二部份於第一磊晶區的(111)晶面上,其中第一部份的成長速率與第二部份的成長速率之間的比例介於5至10之間。
在一些實施例中,上述方法進行的蝕刻製程包括:提供含有氫氣、氮氣、鹽酸、與鍺烷的反應混合物。
在一些實施例中,上述方法形成緩衝區於第一磊晶區上的步驟包括;磊晶成長含鍺材料於第一磊晶區上,且含鍺材料的鍺含量介於10原子%至15原子%之間;以及臨場摻雜緩衝區,使其p型摻質的濃度小於約2×1021原子/cm3
在又一實施例中,半導體裝置之鰭狀結構的形成方法包括:形成絕緣區於基板上;磊晶成長第一區於基板上;以及磊晶成長第二區於基板上。第一區與第二區之間橫向地隔有絕緣區。方法亦包括磊晶成長合併區於第一區與第二區上;磊晶成長緩衝區於合併區上;以及磊晶成長蓋區於緩衝區上。緩衝區有助於避免後續蝕刻製程回蝕刻合併區的上表面。
在一些實施例中,上述方法磊晶成長緩衝區的步驟包括:以第一成長速率與第二成長速率分別磊晶成長緩衝區的材料於第一區的第一面與第二面上,且第一面不同於第二面;以第一蝕刻速率與第二蝕刻速率分別蝕刻第一區的第一面與第二面上的緩衝區的磊晶成長材料;以及提供磊晶成長與蝕刻第一面與第二面上的材料之製程條件,使第一成長速率大於第二成長速率,且第一蝕刻速率小於第二蝕刻速率。
在一些實施例中,上述方法磊晶成長合併區於第一區與第二區上的步驟包括:磊晶成長含鍺材料於第一區與第二區上,且含鍺材料的鍺含量介於35原子%至70原子%之間;以及臨場摻雜合併區,使其p型摻質濃度介於1×1020原子/cm3至2×1021原子/cm3之間。
在一些實施例中,上述方法磊晶成長蓋區於緩衝區上的步驟包括:磊晶成長含鍺材料於緩衝區上,且含鍺材料 的鍺含量小於約25原子%;以及臨場摻雜第一區,使其p型摻質濃度介於1×1020原子/cm3至3×1021原子/cm3之間。
在一些實施例中,上述方法更包括磊晶成長第一對的未合併區於基板上;以及磊晶成長第二對的未合併區於第一對的未合併區上。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之申請專利範圍的精神與範疇的前提下進行改變、替換、或更動。
102:基板
106:淺溝槽隔離區
120a、120b、120c:間隔物部份
304:鰭狀結構
311、312、313、314、315:子區
313a、313b:部份
313i:界面
326:空洞

Claims (9)

  1. 一種半導體裝置,包括:一鰭狀結構位於一基板上,該鰭狀結構包含一源極/汲極區,且該源極/汲極區包含:一第一磊晶區,具有一第一摻質濃度;一第二磊晶區,具有該第一摻質濃度;一合併磊晶區,位於該第一磊晶區與該第二磊晶區上,且具有一第二摻質濃度,且該第一摻質濃度不同於該第二摻質濃度;一緩衝磊晶區,位於該合併磊晶區上,且具有一第三摻質濃度,且該第三摻質濃度不同於該第一摻質濃度與該第二摻質濃度;一磊晶蓋區,位於該合併磊晶區與該緩衝磊晶區上,且具有一第四摻質濃度,且該第四摻質濃度不同於該第一摻質濃度、該第二摻質濃度、與該第三摻質濃度,其中該磊晶蓋區位於該合併磊晶區的(111)晶面上;一介電區,位於該基板上且位於該第一磊晶區與該第二磊晶區之間;以及一置換閘極結構,位於該鰭狀結構上。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該緩衝磊晶區存在於該合併磊晶區的(100)晶面上且不存在於該合併磊晶區的(111)晶面上。
  3. 一種半導體裝置的形成方法,其中該半導體裝置具有一第一鰭狀結構與一第二鰭狀結構於一基板上,包括: 形成該第一鰭狀結構的一第一磊晶區;形成第二鰭狀結構的一第二磊晶區;選擇性地磊晶成長一緩衝區於該第一鰭狀結構的該第一磊晶區上,並露出該第二磊晶區的一部分;回蝕刻該第二磊晶區的該部分,其中該緩衝區避免回蝕刻步驟蝕刻該第一磊晶區的上表面;以及形成一蓋區於該緩衝區與蝕刻後的該第二磊晶區上。
  4. 如申請專利範圍第3項所述之半導體裝置的形成方法,其中形成該緩衝區於該第一磊晶區上的步驟包括:同時磊晶成長該緩衝區的材料於該第一磊晶區的一第一面與一第二面上,該第一面不同於該第二面,該緩衝區以一第一成長速率成長於該第一面上,且該緩衝區以一第二成長速率成長於該第二面上;以及提供磊晶成長材料於該第一面與該第二面上的多種製程條件,使該第一成長速率大於該第二成長速率。
  5. 如申請專利範圍第3項所述之半導體裝置的形成方法,其中形成該緩衝區於該第一磊晶區上的步驟包括磊晶成長緩衝區的材料以接觸該第一磊晶區。
  6. 一種半導體裝置的形成方法,包括:形成一絕緣區於一基板上;磊晶成長一第一區於該基板上;磊晶成長一第二區於該基板上,且該第一區與該第二區之間橫向地隔有該絕緣區,磊晶成長一合併區以物理連接該第一區與該第二區; 磊晶成長一緩衝區於該合併區上,以避免後續的蝕刻製程回蝕刻該合併區的上表面;以及磊晶成長一蓋區於該緩衝區上,其中該蓋區位於該合併區的(111)晶面上。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中磊晶成長該緩衝區的步驟包括:以一第一成長速率與一第二成長速率分別磊晶成長該緩衝區的材料於該第一區的一第一面與一第二面上,且該第一面不同於該第二面;以一第一蝕刻速率與一第二蝕刻速率分別蝕刻該第一區的該第一面與該第二面上的該緩衝區的磊晶成長的材料;以及提供磊晶成長與蝕刻該第一面與該第二面上的材料的製程條件,使該第一成長速率大於該第二成長速率,且該第一蝕刻速率小於該第二蝕刻速率。
  8. 一種半導體裝置的形成方法,其中該半導體裝置具有一第一鰭狀結構與一第二鰭狀結構於一基板上,包括:形成一絕緣區於該基板上;磊晶成長一第一區於該基板上,其中該第一區包括橫向地隔有一第一空間的一第一子區與一第二子區;磊晶成長一第二區於該基板上,其中該第二區包括橫向地隔有一第二空間的一第三子區與一第四子區;自該第一子區磊晶成長一第一磊晶層、自該第二子區磊晶成長一第二磊晶層、自該第三子區磊晶成長該第三磊晶 層、並自該第四子區磊晶成長一第四磊晶層,其中該第二空間大於該第一空間,使該第一磊晶層與該第二磊晶層形成一合併區於該第一空間上,並使該第三磊晶層與該第四磊晶層橫向地隔有一間隙;形成一緩衝區於該合併區上;回蝕刻該第三磊晶層的一部份與該第四磊晶層的一部份,其中該緩衝區避免回蝕刻步驟蝕刻該合併區的上表面;以及形成一蓋區於該緩衝區、回蝕刻後的該第三磊晶層、與回蝕刻後的該第四磊晶層上。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中形成該緩衝區的步驟包括:同時磊晶成長該緩衝區的材料於該第一磊晶層與該第二磊晶層的一第一面與一第二面上,該第一面不同於該第二面,該緩衝區以一第一成長速率成長於該第一面上,且該緩衝區以一第二成長速率成長於該第二面上;以及提供磊晶成長材料於該第一面與該第二面上的多種製程條件,使該第一成長速率大於該第二成長速率。
TW106122824A 2016-11-30 2017-07-07 半導體裝置與其形成方法 TWI728146B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662428220P 2016-11-30 2016-11-30
US62/428,220 2016-11-30
US15/581,778 2017-04-28
US15/581,778 US10707328B2 (en) 2016-11-30 2017-04-28 Method of forming epitaxial fin structures of finFET

Publications (2)

Publication Number Publication Date
TW201822292A TW201822292A (zh) 2018-06-16
TWI728146B true TWI728146B (zh) 2021-05-21

Family

ID=62190518

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106122824A TWI728146B (zh) 2016-11-30 2017-07-07 半導體裝置與其形成方法

Country Status (3)

Country Link
US (4) US10707328B2 (zh)
CN (1) CN108122960B (zh)
TW (1) TWI728146B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US10049936B2 (en) * 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US11462436B2 (en) 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
KR102476142B1 (ko) * 2018-03-14 2022-12-09 삼성전자주식회사 반도체 장치
US10665697B2 (en) * 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10991630B2 (en) * 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102524803B1 (ko) * 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
KR20200066157A (ko) * 2018-11-29 2020-06-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조체 및 그 제조 방법
US11271094B2 (en) 2018-11-29 2022-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
KR20210011834A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
US11133386B2 (en) * 2019-08-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer fin structure
US11527650B2 (en) * 2019-10-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having a source/drain region with a multi-sloped undersurface
US11862712B2 (en) * 2020-02-19 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
DE102020128844A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co. Ltd. Source/drain-epitaxieschichten für transistoren
US20220028997A1 (en) * 2020-07-22 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacers in semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150035023A1 (en) * 2013-08-01 2015-02-05 Seok-Hoon Kim Semiconductor device and method for fabricating the same
US20160254351A1 (en) * 2015-02-26 2016-09-01 Taiwan Semiconductor Manufacturing Company Ltd. Ldd-free semiconductor structure and manufacturing method of the same

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8258572B2 (en) * 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8362574B2 (en) * 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8486769B2 (en) * 2010-11-19 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metrology structures from fins in integrated circuitry
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8609499B2 (en) 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9147765B2 (en) * 2012-01-19 2015-09-29 Globalfoundries Inc. FinFET semiconductor devices with improved source/drain resistance and methods of making same
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8691652B2 (en) * 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8610241B1 (en) * 2012-06-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Homo-junction diode structures using fin field effect transistor processing
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9082873B2 (en) * 2012-09-20 2015-07-14 International Business Machines Corporation Method and structure for finFET with finely controlled device width
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9006805B2 (en) * 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9583483B2 (en) * 2013-09-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain stressors with recessed top surfaces
US20150170916A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Semiconductor process for manufacturing epitaxial structures
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
KR102146469B1 (ko) * 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US20150333145A1 (en) * 2014-05-15 2015-11-19 International Business Machines Corporation High density finfet devices with unmerged fins
US9431540B2 (en) * 2014-05-28 2016-08-30 Stmicroelectronics, Inc. Method for making a semiconductor device with sidewall spacers for confining epitaxial growth
US9595524B2 (en) * 2014-07-15 2017-03-14 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9312274B1 (en) * 2014-10-15 2016-04-12 Globalfoundries Inc. Merged fin structures for finFET devices
US9431303B2 (en) * 2014-10-17 2016-08-30 Globalfoundries Inc. Contact liners for integrated circuits and fabrication methods thereof
KR102340329B1 (ko) * 2015-03-25 2021-12-21 삼성전자주식회사 반도체 소자
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US9514995B1 (en) * 2015-05-21 2016-12-06 Globalfoundries Inc. Implant-free punch through doping layer formation for bulk FinFET structures
US9831116B2 (en) * 2015-09-15 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETs
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150035023A1 (en) * 2013-08-01 2015-02-05 Seok-Hoon Kim Semiconductor device and method for fabricating the same
US20160254351A1 (en) * 2015-02-26 2016-09-01 Taiwan Semiconductor Manufacturing Company Ltd. Ldd-free semiconductor structure and manufacturing method of the same

Also Published As

Publication number Publication date
CN108122960B (zh) 2022-12-02
US10707328B2 (en) 2020-07-07
US20200321450A1 (en) 2020-10-08
US20180151698A1 (en) 2018-05-31
US11211473B2 (en) 2021-12-28
US20220059676A1 (en) 2022-02-24
US20240088266A1 (en) 2024-03-14
CN108122960A (zh) 2018-06-05
TW201822292A (zh) 2018-06-16
US11888046B2 (en) 2024-01-30

Similar Documents

Publication Publication Date Title
TWI728146B (zh) 半導體裝置與其形成方法
TWI713097B (zh) 半導體裝置與其形成方法
US11791421B2 (en) Nanosheet field-effect transistor device and method of forming
TWI828806B (zh) 半導體裝置與其形成方法
US20220029002A1 (en) Method of fabricating a semiconductor device
TW201729346A (zh) 切割金屬閘極之方法
TWI768834B (zh) 半導體裝置及其製造方法
US20220352339A1 (en) Metal Source/Drain Features
US10991800B2 (en) Method for FinFET LDD doping
TW202118058A (zh) 半導體裝置
KR20180127156A (ko) 반도체 디바이스용 게이트 구조체
TW202220210A (zh) 半導體裝置
US11296236B2 (en) Semiconductor device and manufacturing method thereof
TWI822111B (zh) 半導體裝置與其形成方法
US20220140101A1 (en) Nanosheet Field-Effect Transistor Device and Method of Forming
US20220310398A1 (en) Contact Resistance Reduction for Transistors
US20240105806A1 (en) Multi-Gate Devices And Method Of Forming The Same
TW202416361A (zh) 半導體裝置及其形成方法
CN112151613A (zh) 半导体结构