CN112151613A - 半导体结构 - Google Patents

半导体结构 Download PDF

Info

Publication number
CN112151613A
CN112151613A CN202010564780.8A CN202010564780A CN112151613A CN 112151613 A CN112151613 A CN 112151613A CN 202010564780 A CN202010564780 A CN 202010564780A CN 112151613 A CN112151613 A CN 112151613A
Authority
CN
China
Prior art keywords
layer
dielectric
dielectric layer
source
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010564780.8A
Other languages
English (en)
Inventor
翁翊轩
李威养
杨丰诚
陈燕铭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/847,321 external-priority patent/US11626505B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112151613A publication Critical patent/CN112151613A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供半导体结构与其制作方法。半导体结构可包括多个通道层位于半导体基板上;多个金属栅极结构各自位于两个通道层之间;内侧间隔物位于每一金属栅极结构的侧壁上;源极/漏极结构与金属栅极结构相邻;以及低介电常数的介电结构位于内侧间隔物上,其中低介电常数的介电结构延伸至源极/漏极结构中。低介电常数的介电结构可包含两个不类似的介电层,且其中之一可为空气。

Description

半导体结构
技术领域
本发明实施例涉及半导体装置,特别是涉及场效晶体管如三维栅极晶体管(如全绕式栅极场效晶体管或鳍状场效晶体管)及/或其他场效晶体管。
背景技术
半导体产业已经历快速成长。半导体材料与设计的技术进展,使每一代的集成电路比前一代具有更小且更复杂的电路。在集成电路演进中,功能密度(单位芯片面积的内连线装置数目)通常随着几何尺寸(采用的制作制程所产生的最小构件或线路)缩小而增加。尺寸缩小的制程通常有利于增加产能并降低相关成本。但这些进展亦增加形成与处理半导体装置的复杂度。
多栅极晶体管如全绕式栅极晶体管已结合至多种存储器与核心装置,以减少集成电路芯片引脚并维持合理的制程容许范围。在其他半导体装置中,尺寸缩小的确增加全绕式栅极晶体管的形成制程复杂度。为了实现这些进展,需要多方面的改善制作制程。在一例中,随着装置尺寸持续缩小,提供蚀刻抗性足够的内侧间隔物,且不增加整体寄生电容及/或牺牲全绕式栅极晶体管的有效通道长度变得更具挑战性。虽然制作内侧间隔物的现有方法通常适用,但仍无法完全符合所有方面的需求。
发明内容
本发明一实施例提供的半导体结构包括多个半导体层的堆叠,位于基板上;多个高介电常数的介电层与金属栅极结构的堆叠,交错于半导体层的堆叠之间;介电内侧间隔物,位于高介电常数的介电层与金属栅极结构的每一者的侧壁上;以及外延的源极/漏极结构,与高介电常数的介电层与金属栅极结构的堆叠相邻。在此实施例中,介电内侧间隔物包括第一层位于高介电常数的介电层与金属栅极结构的每一者的侧壁上,以及第二层位于第一层上,且第一层与第二层的组成不同。介电内侧间隔物的第二层埋置于外延的源极/漏极结构中。
本发明另一实施例提供的半导体结构,包括多个通道层,位于半导体基板上;多个金属栅极结构,各自位于两个通道层之间;内侧间隔物,位于每一金属栅极结构的侧壁上;源极/漏极结构,与金属栅极结构相邻;以及低介电常数的介电结构,位于内侧间隔物上,其中低介电常数的介电结构延伸至源极/漏极结构中。
本发明又一实施例提供的半导体结构的形成方法包括形成结构,其包括虚置栅极堆叠于自半导体基板凸起的鳍状物上,其中鳍状物包括交错的半导体层与牺牲层的多层堆叠;形成凹陷于多层堆叠的源极/漏极区中,以露出半导体层与牺牲层的侧壁;形成内侧间隔物于牺牲层的侧壁上,其中每一内侧间隔物包括第一层埋置于牺牲层中,以及第二层位于第一层上;形成外延的源极/漏极结构于凹陷中,使内侧间隔物的第二层埋置于外延的源极/漏极结构中。在一些实施例中,第二层的介电常数低于第一层的介电常数。方法还包括移除虚置栅极堆叠以形成栅极沟槽;在形成外延的源极/漏极结构之后,自多层堆叠移除牺牲层,以形成半导体层之间的开口;以及形成高介电常数的介电层与金属栅极的堆叠于栅极沟槽与开口中。
附图说明
图1A与图1B是本发明多种实施例中,制作半导体装置的例示性方法的流程图。
图2A是本发明多种实施例中,半导体装置的三维透视图。
图2B是本发明多种实施例中,图2A所示的半导体装置的平面上视图。
图3、图4、图5、图6、图7、图8、图9、图10、图11、图12、图13、图14、图15A、图15B、图16A、图16B、图16C、图16D、图16E、图16F、图16G、图16H、图17A、图17B、图18A、图18B、图19A、图19B、图20A、图20B、图21A、图21B、图22A、与图22B是本发明多种实施例中,在图1A与图1B所示的方法的中间阶段时,半导体装置沿着图2A及/或图2B所示的剖线A-A'的部分或全部的剖视图。
图23A、图23B、与图24是本发明多种实施例中,在图1A与图1B所示的方法的中间阶段时,半导体装置沿着图2A及/或图2B所示的剖线B-B'的部分或全部的剖视图。
其中,附图标记说明如下:
A-A',B-B':剖线
C,E:部分
d,D:长度
D1,D2:方向
t,t',T:厚度
Lr:圆润化或弧形部分的长度
Ls:平直部分的长度
ML:多层堆叠
100,300:方法
102,104,106,108,110,112,302,304,306,308,310,312,314,316,320:步骤
200:装置
202:基板
204,205:鳍状物
204a,204b,205a:层状物
204c,205c:基底鳍状物
206:源极/漏极凹陷
208:隔离结构
210:虚置栅极堆叠
212:顶间隔物
214,218:凹陷
216,220:介电层
222,223:内侧间隔物
230:源极/漏极结构
232:气隙
240:蚀刻停止层
242:层间介电层
250:栅极沟槽
252:开口
260:高介电常数的介电层与金属栅极
262:高介电常数的介电层
264:金属栅极
270:源极/漏极接点
410,420,430,440:剖面轮廓
具体实施方式
下述内容提供的不同实施例或实例可实施本发明的不同结构。下述特定构件与排列的实施例是用以简化本发明内容而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其他额外构件而非直接接触的实施例。此外,空间性的相对用语如“下方”、“其下”、“较下方”、“上方”、“较上方”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。
此外,当数值或数值范围的描述有“约”、“近似”、或类似用语时,除非特别说明否则其包含所述数值的+/-10%。举例来说,用语“约5nm”包含的尺寸范围介于4.5nm至5.5nm之间。此外,本发明的多个实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
本发明实施例一般关于半导体装置,更特别关于场效晶体管如三维栅极晶体管(如全绕式栅极场效晶体管或鳍状场效晶体管)及/或其他场效晶体管。一般而言,全绕式栅极场效晶体管包含多个垂直堆叠的片状物(如纳米片)、线状物(如纳米线)、棒状物(如纳米棒)于场效晶体管的通道区中,已得更佳的栅极控制、更低的漏电流、与改善的缩小尺寸以用于多种集成电路应用。虽然制作全绕式栅极场效晶体管的现有技术通常适用于其预期应用,但无法完全符合所有方面的需求。本发明包括多个实施例。不同实施例可具有不同优点,且任何实施例不必具有特定优点。
图1A与图1B是本发明多种实施例中,形成半导体的装置200的方法100与300的流程图。方法100与300仅为举例而非局限本发明实施例至权利要求未实际记载处。在方法100与300之前、之中、与之后可提供额外步骤,且方法的额外实施例可置换、省略、或调换一些所述步骤。方法100与300将搭配图2A至图22B说明如下,其为方法100及/或300的中间步骤中,装置200于沿着图2A与图2B所示的剖线A-A'的剖视图。装置200可为制作集成电路或其部分的制程时的中间装置,其可包含静态随机存取存储器及/或其他逻辑电路、被动构件(如电阻、电容、或电感)、以及主动构件(如全绕式栅极场效晶体管、鳍状场效晶体管、金属氧化物半导体场效晶体管、互补式金属氧化物半导体晶体管、双极性晶体管、高压晶体管、高频晶体管、及/或其他晶体管)。本发明实施例不局限于任何特定数目的装置或装置区,或任何特定的装置设置。举例来说,虽然附图的装置200为三维装置,本发明实施例亦可用于制作平面装置。装置200可添加额外结构,且装置200的其他实施例可置换、调整、或省略一些下述结构。
如图1A与图2A至图4所示,方法100的步骤102形成装置200,其包括自基板202凸起且隔有隔离结构208的一或多个鳍状物(或主动区)204,位于鳍状物204上的虚置栅极堆叠210、以及位于虚置栅极堆叠210的侧壁上的顶间隔物212。虽然未图示,但装置200可包含其他构件如硬遮罩层、阻障层、其他合适层、或上述的组合于虚置栅极堆叠210上。
基板202可包含半导体元素(单一元素如硅、锗、及/或其他合适材料)、半导体化合物(如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、锑化铟、及/或其他合适材料)、或半导体合金(如硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、磷砷化镓铟、及/或其他合适材料)。基板202可为组成一致的单层材料。在其他实施例中,基板202可包含组成类似或不同的多个材料层,其适用于形成集成电路装置。在一例中,基板202可为绝缘层上硅基板,其具有硅层形成于氧化硅层上。在另一例中,基板202可包含导电层、半导体层、介电层、其他层、或上述的组合。
在一些实施例中,基板202包含场效晶体管,且多种掺杂区位于基板202之中或之上。掺杂区可掺杂n型掺质如磷或砷,及/或p型掺质如硼或二氟化硼,端视设计需求而定。掺杂区可直接形成于基板202之上、p型井结构之中、n型井结构之中、双井结构之中、或隆起结构之中。掺杂区的形成方法可为布植掺质原子、原位掺杂外延成长、及/或其他合适技术。每一鳍状物204可适用于提供n型场效晶体管或p型场效晶体管。在一些实施例中,此处所示的鳍状物204适用于提供类似形态的场效晶体管,比如均为n型或均为p型的场效晶体管。在其他实施例中,鳍状物204适用于提供不同形态的场效晶体管,比如n型与p型的场效晶体管。此设置仅用于说明目的而非局限本发明实施例。
在此实施例中,每一鳍状物204包括自基板202凸起的基底鳍状物204c,以及位于基底鳍状物204c上的交错层状物204a与204b(一起视作多层堆叠ML)。基底鳍状物204c的制作方法可采用合适制程,包含光微影与蚀刻制程。光微影制程可包含形成光阻层于基板202上、曝光光阻至一图案、进行曝光后烘好制程、以及显影光阻以形成含光阻的遮罩单元(未图示)。接着采用遮罩单元,并蚀刻凹陷至基板202中,以留下基体鳍状物204c于基板202上。蚀刻制程可包含干蚀刻、湿蚀刻、反应性离子蚀刻、及/或其他合适制程。
其他实施例亦可采用其他合适的方法以形成基体鳍状物204c。举例来说,可采用双重图案化或多重图案化制程以图案化基体鳍状物204c。一般而言,双重图案化或多重图案化制程结合光微影与自对准制程,其产生的图案间距小于采用单一的直接光微影制程所得的图案间距。举例来说,一实施例形成牺牲层于基板上,并采用光微影制程图案化牺牲层。可采用自对准制程沿着图案化的牺牲层的侧部形成间隔物。接着移除牺牲层,而保留的间隔物或芯之后可用于图案化基底鳍状物204c。
在此实施例中,图3所示的多层堆叠ML的每一层状物204a包含半导体材料如硅、锗、碳化硅、硅锗、锗锡、硅锗锡、碳硅锗锡、及/或其他合适的半导体材料,且每一层状物204b设置为可在后续制程步骤中移除的牺牲层,如下详述。在一些实施例中,层状物204b包含的半导体材料与层状物204a包含的半导体材料不同。在此例中,层状物204a可包含硅元素,而层状物204b可包含硅锗。在另一例中,层状物204a可包含硅元素,而层状物204b可包含锗元素。在一些实施例中,层状物204b包含介电材料如氧化硅、氮化硅、氮氧化硅、及/或其他合适的介电材料。在一些例子中,鳍状物204可包含总计三对至十对的交错层状物204a与204b,不过其他设置当然可行,端视具体的设计需求而定。在一些例子中,半导体层如层状物204a的厚度tch可为约2nm至约10nm,而牺牲层如层状物204b的厚度ts可为约5nm。在一些实施例中,厚度ts与厚度tch的比例可为约2:5至约2:1。基底鳍状物204c、层状物204a、及/或层状物204b可掺杂合适掺质如上述的p型掺质或n型掺质,以形成所需的场效晶体管。
在此实施例中,形成多层堆叠ML的方法包括以一系列的外延制程交错成长层状物204a与204b。外延制程可包含化学气相沉积技术(比如气相外延、超高真空化学气相沉积、低压化学气相沉积、及/或等离子体辅助化学气相沉积)、分子束外延、其他合适的选择性外延成长制程、或上述的组合。外延制程可采用气相及/或液相前驱物,其与下方基板的组成作用。在一些例子中,层状物204a与204b的型态可为纳米片、纳米线、或纳米棒。片状物(或线状物)的露出制程之后可移除层状物204b(如含硅锗层),以形成多个开口于层状物204a(如含硅层)之间。接着可形成高介电常数的介电层与金属栅极于开口中,已提供全绕式栅极场效晶体管。层状物204a之后可视作通道层,而层状物204b之后可视作非通道层。
导入多栅极装置如全绕式栅极场效晶体管,可增加栅极-通道耦合、减少关闭状态的电流、并减少短通道效应,以改善栅极控制。全绕式栅极场效晶体管通常含有包覆多个水平的半导体层的栅极结构,以由所有侧控制通道区。全绕式栅极场效晶体管通常可与互补式金属氧化物半导体制程相容,以在减少尺寸时维持栅极控制并缓解短通道效应。本发明实施例当然不局限于只形成全绕式栅极场效晶体管,且可提供其他三维场效晶体管如鳍状场效晶体管。如此一来,鳍状物204可包含单层的半导体材料或多层的不同半导体材料(非设置为交错堆叠),提供一致的鳍状物以形成鳍状场效晶体管。
由于全绕式栅极场效晶体管中的高介电常数的介电层与金属栅极与通道层交错,可在“高介电常数的介电层与金属栅极的侧壁”以及“与高介电常数的介电层与金属栅极相邻的外延的源极/漏极结构的部分”之间提供内侧栅极间隔物,以降低装置的寄生电容。一般增加内侧间隔物的厚度以减少装置的寄生电容。虽然内侧间隔物通常有利于降低电容并改善全绕式栅极场效晶体管的装置可信度,但其无法符合所有方面的需求。举例来说,增加内侧间隔物的厚度虽可降低高介电常数的介电层与金属栅极以及相邻的源极/漏极结构之间的寄生电容,但也减少装置的有效通道长度,因此导致装置通道区中不利的短通道效应。本发明实施例提供的方法可形成内侧间隔物以降低全绕式栅极场效晶体管中的寄生电容,但实质上不以较厚的内侧间隔物缩短装置的有效通道长度。此外,本发明实施例的内侧间隔物在移除虚置栅极堆叠210时,设置为可承受蚀刻制程。
如图2A所示,隔离结构208可包含氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃、低介电常数的介电材料、及/或其他合适材料。隔离结构208可包含浅沟槽隔离结构。在一实施例中,隔离结构208的形成方法为在形成鳍状物204时,蚀刻沟槽于基板202中。接着可将上述的隔离材料填入沟槽,且填入方式可为沉积制程。之后可进行化学机械研磨制程。在另一实施例中,隔离结构208的形成方法为沉积介电层如间隔物层于鳍状物204上,接着使介电层凹陷,因此隔离结构208的上表面低于鳍状物204的上表面。亦可实施其他隔离结构如场氧化物、局部氧化硅、及/或其他合适结构以作为隔离结构208。在其他实施例中,隔离结构208可包含多层结构,比如具有一或多个热氧化物衬垫层。隔离结构208的沉积方法可为任何合适方法,比如化学气相沉积、可流动的化学气相沉积、旋转涂布玻璃、其他合适方法、或上述的组合。
如图2A、图2B、与图4所示,虚置栅极堆叠210位于鳍状物204上(因此位于多层堆叠ML上),并包含多晶硅。在此实施例中,在形成装置200的其他构件之后,可将虚置栅极堆叠210的部分取代为高介电常数的介电层与金属栅极260。虚置栅极堆叠210的形成方法可为一系列的沉积与图案化制程。举例来说,虚置栅极堆叠210的形成方法可为沉积多晶硅层于鳍状物204上,并进行非等向蚀刻制程(如干蚀刻制程)以移除多晶硅的部分。在图4所示的一些实施例,移除多晶硅层的部分的步骤亦移除多层堆叠ML的顶部,造成弧形的上侧表面(以虚线标示)。在一些例子中,形成虚置栅极堆叠210的步骤还包括在沉积多晶硅层之前,形成界面层(未图示)于鳍状物204上。
之后如图4所视,可形成顶间隔物212于虚置栅极堆叠210的侧壁上。顶间隔物212可为单层结构或多层结构,其可包含氧化硅、氮化硅、碳化硅、氮氧化硅、碳氧化硅、其他合适材料、或上述的组合。顶间隔物212的形成方法可先沉积介电层于虚置栅极堆叠210上,接着以非等向蚀刻制程(如干蚀刻制程)移除介电层的部分,并留下介电层的部分于虚置栅极堆叠210的侧壁上以作为顶间隔物212。若需形成多层结构,可重复一系列沉积与蚀刻制程。
方法100的步骤104接着形成外延的源极/漏极结构(如源极/漏极结构230)于每一鳍状物204的源极/漏极区中,比如形成于多层堆叠ML的至少一部分中。在此实施例中,步骤104实施图1B所示的方法300的一实施例,以形成外延的源极/漏极结构。在下述内容中,方法300将搭配图5至图16H详述如下。
如图5所示,方法300的步骤302移除多层堆叠ML的部分,以形成源极/漏极凹陷206。在一些实施例中,源极/漏极凹陷206延伸至低于基底鳍状物204c的上表面。在此实施例中,进行一或多到蚀刻制程以移除虚置栅极堆叠210之间的多层堆叠ML的部分。蚀刻制程可包含干蚀刻制程、湿蚀刻制程、反应性离子蚀刻、或上述的组合。在一些实施例中,方法300实施的干蚀刻制程采用合适蚀刻剂或蚀刻剂的组合。在一些实施例中,可调整步骤302的蚀刻制程的时间、温度、压力、源功率、偏电压、偏功率、蚀刻剂流速、及/或其他合适参数。源极/漏极凹陷206可定义为图5所示的斜向侧壁或实质上垂直的侧壁,端视采用的蚀刻制程种类而定。接着可采用氢氟酸溶液或其他合适溶液进行清洁制程,以清洁源极/漏极凹陷206。
如图6所示,方法300的步骤304移除源极/漏极凹陷206中露出的非通道层如层状物204b,以形成凹陷214。在此实施例中,方法300选择性移除非通道层如层状物204b的部分,而不移除或实质上不移除源极/漏极凹陷206中露出的通道层如层状物204a的部分。方法300进行合适的蚀刻制程如干蚀刻制程、湿蚀刻制程、反应性离子蚀刻、或上述的组合,以形成凹陷214。在此实施例中,方法300实施的干蚀刻制程采用含氟蚀刻剂,比如四氟化碳、六氟化硫、二氟甲烷、氟仿、六氟乙烷、其他含氟蚀刻剂、或上述的组合。在一些实施例中,可控制步骤304的蚀刻制程参数如时间、温度、压力、源功率、偏电压、偏功率、蚀刻剂流速、及/或其他合适参数,以移除所需量的非通道层如层状物204b。在此实施例中,步骤304可控制蚀刻制程的时间,以控制非通道层如层状物204b的移除量。
方法300接着形成介电层216于凹陷214中。在此实施例中,介电层216构成非通道层如层状物204b的侧壁上的内侧间隔物222的一部分(见图10),如下详述。方法300的步骤306沉积介电层216于源极/漏极凹陷206的侧壁上,比如通道层如层状物204a与非通道层如层状物204b的露出部分上,以填入凹陷214。介电层216的沉积方法可为任何合适方法,比如原子层沉积、化学气相沉积、物理气相沉积、其他合适方法、或上述的组合。在此实施例中,介电层216的沉积方法为原子层沉积制程。
如图7所示,方法300的步骤308实施蚀刻制程,以移除通道层如层状物204a上的介电层216的部分。在一些实施例中,最终介电层216的侧壁与通道层如层状物204a的侧壁实质上共平面。换言之,介电层216的侧壁与通道层如层状物204a的侧壁实质上连续。在一些实施例中,最终介电层216的侧壁向内弯曲,并远离通道层如层状物204a的侧壁。步骤308的蚀刻制程可为任何合适制程,比如干蚀刻、湿蚀刻、反应性离子蚀刻、或上述的组合。在此实施例中,方法300实施干蚀刻制程,其采用的蚀刻剂可包括含氯气体(如氯气、四氯化硅、三氯化硼、其他含氯气体、或上述的组合)、含氟气体(如四氟化碳、六氟化硫、二氟甲烷、氟仿、六氟乙烷、其他含氟蚀刻剂、或上述的组合)、含溴气体(如溴化氢、其他含溴蚀刻剂、或上述的组合)、氧气、氮气、氢气、氩气、其他合适气体、或上述的组合。蚀刻剂或蚀刻剂的组合的选择不局限于此实施例,且可取决于介电层216的具体组成。在一些实施例中,露出通道层如层状物204a的侧壁时,可停止蚀刻制程。在一些实施例中,方法300在后续制程步骤中持续蚀刻制程,使介电层216进一步凹陷(比如搭配图8详述于下的步骤310)。
在此实施例中,介电层216包含任何合适的介电材料,比如硅、氧、碳、氮、其他合适元素、或上述的组合。举例来说,介电层216可包含氧化硅、氮化硅、氮氧化硅、碳氮化硅、碳化硅、或上述的组合。在一些实施例中,介电层216包含高介电常数的介电材料,其介电常数大于氧化硅的介电常数。在一些实施例中,介电层216实质上无金属。在一些例子中,介电层216包含的介电材料的介电常数为约3.5至约7.5。在一些实施例中,选择介电层216的组成,以确保介电层216、通道层如层状物204a、与非通道层如层状物204b之间的蚀刻选择性。换言之,方法300的步骤受到选择的蚀刻剂影响,可移除介电层216的部分,而不移除或实质上不移除非通道层如层状物204b或通道层如层状物204a。
如图1B所示,可自步骤308由两种方案A与B中择一进行方法300。在下述说明中,方案A将搭配图8至图10、图15A、与图16A至图16D说明,而方案B将搭配图11至图14、图15B、与图16E至图16H说明。应理解的是本发明实施例不需以任何特定模式进行方法300,比如方案A与方案B所述的实施例同样可行。如下详述,方案A与B对应内侧间隔物(如内侧间隔物222与223)的形成方法,其包括一或多种不类似的介电材料。
在方案A中,方法300的步骤310移除介电层216的部分以形成凹陷218,如图8所示。在此实施例中,方法300实施选择性蚀刻制程以移除介电层216的部分,而不移除或实质上不移除通道层如层状物204a的部分及/或顶间隔物212。在一些实施例中,方法300实施的蚀刻制程与上述步骤308的蚀刻制程实质上类似。在进行步骤310的蚀刻制程之后,介电层216的侧壁偏离通道层如层状物204a的侧壁,且偏离距离为凹陷218的宽度,如图8中的虚线所示。如上述的步骤308,介电层216的侧壁可向内弯曲并远离通道层如层状物204a的侧壁。
接着如图9所示,方法300的步骤312与314形成介电层220于介电层216上。在方法300的步骤312中,沉积制程与步骤306的沉积制程类似,可形成介电层220于介电层216上以及源极/漏极凹陷206与顶间隔物212的侧壁上。介电层220的沉积方法可为任何合适方法,比如原子层沉积、化学气相沉积、物理气相沉积、其他合适方法、或上述的组合。在此实施例中,介电层220的沉积方法为原子层沉积制程。
介电层220可包含任何合适的介电材料,其具有硅、氧、碳、氮、磷、硼、氟、其他合适元素、或上述的组合。举例来说,介电层220可包含氧化硅、低介电常数的介电材料、四乙氧基硅烷的氧化物、掺杂的氧化硅(如硼磷硅酸盐玻璃、掺杂氟的硅酸盐玻璃、磷硅酸盐玻璃、硼硅酸盐玻璃、或类似物)、其他合适的介电材料、或上述的组合。在此实施例中,介电层220包括的介电材料的介电常数,低于介电层216的介电常数。在实施例中,介电层216包含氮化硅,而介电层220包含氧化硅。在另一实施例中,介电层216包括氮化硅,而介电层220包括碳氧化硅。在一些实施例中,选择介电层220以确保介电层220、介电层216、顶间隔物212、与通道层如层状物204a之间的蚀刻选择性。换言之,在受到蚀刻剂的选择影响时,方法300设置为移除介电层220的部分,而不移除或实质上不移除介电层216、顶间隔物212、与层状物204a的部分。
如图9所示,方法300的步骤314实施蚀刻制程,以移除通道层如层状物204a上的介电层220的部分。在一些实施例中,最终介电层220的侧壁与通道层如层状物204a的侧壁实质上共平面。换言之,介电层220的侧壁与通道层如层状物204a的侧壁实质上连续。在一些实施例中,最终介电层220的侧壁向内弯曲,并远离通道层如层状物204a的侧壁。步骤314的蚀刻制程可与步骤308实施的蚀刻制程类似,比如干蚀刻制程、湿蚀刻制程、反应性离子蚀刻、或上述的组合,但可采用不同蚀刻剂调整选择性移除介电层220的步骤。在此实施例中,方法300实施的干蚀刻制程采用的蚀刻剂可包括含氯气体(如氯气、四氯化硅、三氯化硼、其他含氯气体、或上述的组合)、含氟气体(如四氟化碳、六氟化硫、二氟甲烷、氟仿、六氟乙烷、其他含氟蚀刻剂、或上述的组合)、含溴气体(如溴化氢、其他含溴蚀刻剂、或上述的组合)、氧气、氮气、氢气、氩气、其他合适气体、或上述的组合。蚀刻剂(或蚀刻剂的组合)的选择不限于此实施例,端视介电层220的具体组成而定。在一些实施例中,当通道层如层状物204a的侧壁露出时,停止蚀刻制程。
如图10所示,方法300的步骤316接着移除通道层如层状物204a的部分,进而完成内侧间隔物222。在此实施例中,方法300选择性移除通道层如层状物204a的部分(其包含半导体材料),而不移除或实质上不移除周围的介电构件的部分(比如介电层216、介电层220、及/或顶间隔物212)。通道层如层状物204a的蚀刻方法可为合适制程,比如干蚀刻制程、湿蚀刻制程、反应性离子蚀刻、或上述的组合。在此实施例中,方法300实施的干蚀刻制程采用任何合适蚀刻剂(或蚀刻剂的组合)。举例来说,方法300实施的蚀刻剂包含氢氟酸。通过控制蚀刻制程的时间,可控制层状物204a的移除量。在此实施例中,通道层如层状物204a的凹陷部分加大后续形成于源极/漏极凹陷206中的源极/漏极结构230,进而改善装置200的效能。此处提供的内侧间隔物222如图10所示,具有双层结构(比如至少包含介电层216与220)。
在一些例子中,可形成额外介电层于介电层220上,使内侧间隔物222可包含超过两个介电层。每一额外介电层的组成可与前述的介电层216及/或220类似或不同。经由与步骤310至316实质上类似的一系列制程,可形成额外层于介电层220上,并可自源极/漏极凹陷206的一侧壁朝源极/漏极凹陷206的相对侧壁的方向成长任何额外介电层。换言之,可成长内侧间隔物222的厚度而不缩短有效通道长度L(比如两个通道层如层状物204a之间的非通道层如层状物204b的长度,其将取代为高介电常数的介电层与金属栅极),如图10所示。
之后如图15A所示,方法300的步骤320形成源极/漏极结构230于源极/漏极凹陷206中。在所述实施例中,源极/漏极结构230实质上封闭或围绕内侧间隔物222。换言之,内侧间隔物222完全埋置于源极/漏极结构230中。源极/漏极结构230的形成方法可为任何合适技术。在一些实施例中,可进行一或多道外延成长制程以成长外延材料于源极/漏极凹陷206中。举例来说,方法300可实施上述形成多层堆叠ML的外延成长制程。
每一源极/漏极结构230可适用于形成p型鳍状场效晶体管装置(比如包含p型外延材料),或改为适用于n型鳍状场效晶体管装置(比如包含n型外延材料)。p型外延材料可包含一或多个硅锗的外延层,其中硅锗掺杂p型掺质如硼、锗、铟、及/或其他p型掺质。n型外延材料可包含一或多个硅或碳化硅的外延层,其中硅或碳化硅可掺杂n型掺质如砷、磷、及/或其他n型掺质。在一些实施例中,可在外延成长制程时添加掺质至源材料,以原位掺杂外延材料。在一些实施例中,可在进行沉积制程之后进行离子布植制程,以掺杂外延材料。在一些实施例中,接着进行退火制程以活化源极/漏极结构230中的掺质。
在图16A至图16D所示的一些实施例中,内侧间隔物222可设置为多种几何形状,端视步骤310使介电层216凹陷时采用的蚀刻制程而定。在一些实施例中,方法300可实施等向与非等向蚀刻制程,并调整等向蚀刻量与非等向蚀刻量之间的比例。在一例中,图16B所示的内侧间隔物222对应的上述比例,低于图16A所示的内侧间隔物222对应的上述比例。在另一例中,图16C所示的内侧间隔物222对应的上述比例,高于图16B所示的内侧间隔物222对应的上述比例。在一些实施例中,可在蚀刻制程时动态改变上述比例以形成不同轮廓。举例来说,可在蚀刻制程末段减少上述比例,以形成图16D所示的圆润化角落,而非图16B所示的尖锐角落。
在图1B的方案B中,方法300的步骤320形成源极/漏极结构230于源极/漏极凹陷206中而不形成介电层220,如图11、图12、及图15B所示。在此实施例中,方法300实施的外延成长制程可与方案A的内容中的上述步骤320大致类似。举例来说,方法300可实施任何合适的外延成长制程,比如前述形成多层堆叠ML的制程。然而在方案B中,步骤320可改变外延成长制程的多种条件,使源极/漏极结构230的形成步骤留下气隙232(见图15B)于介电层216的露出表面上。换言之,形成源极/漏极结构230于源极/漏极凹陷206中,可封闭气隙232于外延材料与介电层216之间。如此一来,介电层216与气隙232可一起视作内侧间隔物223。
在此实施例中,方法300在相对于源极/漏极凹陷206中露出的通道层如层状物204a的表面的不同方向中,调整外延材料的成长速率以形成气隙232。值得注意的是,外延材料开始选择性成长于通道层如层状物204a(包括半导体材料)上,而不成长于介电层216上。图13是图12中的装置200其部分C的放大图。此实施例考虑到相对于实质上平行于源极/漏极凹陷206的侧壁的方向(如方向D2)的外延材料的成长速率,改变实质上垂直于源极/漏极凹陷206的侧壁的方向(如方向D1)的外延材料的成长速率所造成的效果。
如图13所示,虚线箭头指的是方向D1与D2,而虚线弧指的是外延材料在方向D1中的近似成长前端。在此实施例中,控制外延成长制程的多种参数,使方向D1中的成长速率与方向D2中的成长速率不同。如图14所示,不同方向中的成长速率差异,造成气隙(或空洞)232形成于介电层216的部分上。在此实施例中,步骤320可控制外延成长制程的参数如温度、压力、载气组成、源极/漏极结构230中的外延材料组成、通道层如层状物204a的露出表面方向(外延材料成长其上)、或上述的组合。
在一些实施例中,为了增加方向D1相对于方向D2的成长速率,可在实施沉积制程时降低温度、降低压力、及/或存在惰性载气(如氮气),其可单独或一起减少外延材料的表面迁移速率。
在一些实施例中,可控制外延材料中的掺质量,以调整每一方向D1与D2的成长速率。对n型外延材料而言,可调整相对于碳化硅量的n型掺质量。对p型外延材料而言,可调整相对于硅锗量的p型掺质量。
此外,一些实施例在步骤320的沉积制程时,可大幅改变每一方向D1与方向D2的成长速率,以形成多种几何形状的气隙232(如图15B与图16E至图16H所示)。以图15B为例,若方向D2的成长速率比方向D1的成长速率增加的快,则气隙232的最终几何形状可能圆润化,比如近似半球形。图16E至图16H为方向D1中的成长速率比方向D2中的成长速率增加的快时,气隙232的近似几何形状。在一些实施例中,若在外延材料跨过源极/漏极凹陷206的宽度之前减缓方向D1中的成长速率(但仍高于方向D2中的成长速率),最终几何形状可具有端点(如三角形轮廓,见图16E与图16F)。在一些实施例中,若在外延材料跨过源极/漏极凹陷206的宽度之前加速方向D1中的成长速率,最终的几何形状将具有钝角末端(如图16G与图16H所示的矩形轮廓)。在此实施例中,图16H所示的轮廓在方向D1的成长速率,高于图16G所示的轮廓在方向D1的成长速率。
如图17A至图18B所示,方法100之后移除虚置栅极堆叠210,以形成栅极沟槽250于顶间隔物212之间。在下述内容中,搭配方法100说明的实施例包含图17A、图18A、与图19A所示的内侧间隔物222以及图17B、图18B、与图19B所示的内侧间隔物223。
如图17A与图17B所示,方法100的步骤106形成蚀刻停止层240于源极/漏极结构230上,并形成层间介电层242于蚀刻停止层240上。蚀刻停止层240可包含氮化硅、氮氧化硅、掺杂氧或掺杂碳的氮化硅、其他合适材料、或上述的组合,且其形成方法可为化学气相沉积、物理气相沉积、原子层沉积、其他合适方法、或上述的组合。层间介电层242可包含氧化硅、低介电常数的介电材料、四乙氧基硅烷的氧化物、掺杂的氧化硅(如硼磷硅酸盐玻璃、掺杂氟的硅酸盐玻璃、磷硅酸盐玻璃、硼硅酸盐玻璃、或类似物)、其他合适的介电材料、或上述的组合。方法100之后可由一或多道化学机械研磨制程平坦化层间介电层242,以露出虚置栅极堆叠210的上表面。之后如图18A与图18B所示,可由任何合适的蚀刻制程如干蚀刻制程,自装置200移除虚置栅极堆叠210的至少一些部分,以形成栅极沟槽250。
如图19A与图19B所示,方法100的步骤108接着在露出片状物或线状物的制程中,自多层堆叠ML移除非通道层如层状物204b,以形成开口252于通道层如层状物204a之间。在此实施例中,方法100选择性移除非通道层如层状物204b,而不移除或实质上不移除通道层如层状物204a。确认非通道层如层状物204b、通道层如层状物204a、与介电层216(如内侧间隔物222或223的一部分)之间的蚀刻选择性足够,以达上述步骤。可由任何合适的蚀刻制程如干蚀刻、湿蚀刻、反应性离子蚀刻、或上述的组合,选择性移除非通道层如层状物204b。在一例中,可进行采用过氧化氢的湿蚀刻制程,以选择性移除非通道层如层状物204b(比如含锗)。在另一例中,可在露出片状物的制程时实施采用氢氟酸极/或另一含氟蚀刻剂的干蚀刻制程,以移除非通道层如层状物204b。
在图19A所示的一些实施例中,选择介电层216与220的组成(其一起形成内侧间隔物222),以适应移除非通道层如层状物204b的蚀刻制程,并维持改善的装置效能所用的低电容。在此实施例中,由于介电层216与非通道层如层状物204b(之后将取代为高介电常数的介电层与金属栅极260)相邻,介电层216包含的材料设置为抗蚀刻性高于介电层220,而介电层220包含的材料设置为介电常数低于介电层216。在一些实施例中,介电层216包括的材料的介电常数大于介电层220。举例来说,介电层216可包含氮化硅、氮氧化硅、碳氮化硅、其他合适的介电材料、或上述的组合,而介电层220可包含氧化硅、碳氧化硅、低介电常数的介电材料、其他合适的介电材料、或上述的组合。在一些实施例中,介电层220的孔隙率(比如空气含量)大于介电层216。在一些实施例中,顶间隔物212的介电常数亦与介电层216及/或介电层220的介电常数不同。在此实施例中,气隙232可视作位于介电层216与源极/漏极结构230之间的低介电常数介电层。
如图20A与图20B所示,方法100的步骤110形成高介电常数的介电层与金属栅极260于栅极沟槽250与开口252中。换言之,高介电常数的介电层与金属栅极260形成于顶间隔物212之间以及内侧间隔物222或223之间。每一高介电常数的介电层与金属栅极260包括至少一高介电常数的介电层262位于栅极沟槽250与开口252中,以及金属栅极264位于高介电常数的介电层262上。在此实施例中,对栅极沟槽250中的每一高介电常数的介电层与金属栅极260而言,高介电常数的介电层262的侧壁部分形成于顶间隔物212上,而高介电常数的介电层262的底部形成于最顶部的通道层如层状物204a上,使高介电常数的介电层262设置为U形。对形成于开口252中的高介电常数的介电层与金属栅极260而言,高介电常数的介电层262的侧壁部分形成于内侧间隔物222或223(比如介电层216)上,而高介电常数的介电层262的顶部与底部形成于通道层如层状物204a上,使通道层如层状物204a与内侧间隔物222或223完全封闭高介电常数的介电层262。
高介电常数的介电层262可包含任何合适的高介电常数的介电材料,比如氧化铪、氧化镧、其他合适材料、或上述的组合。在一些实施例中,高介电常数的介电层262包含的介电材料的介电常数,高于介电层216与介电层220的介电常数。虽然未图示,金属栅极264可进一步包含至少一功函数金属层与位于其上的基体导电层。功函数金属层可为p型或n型的功函数金属层。功函数材料的例子包含氮化钛、氮化钽、钌、钼、铝、氮化钨、锆硅化物、钼硅化物、钽硅化物、镍硅化物、钛、银、钽铝、碳化钽铝、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、其他合适的功函数材料、或上述的组合。基体导电层可包含铜、钨、铝、钴、钌、其他合适材料、或上述的组合。高介电常数的介电层与金属栅极260可还包含多个其他层(未图示),比如在高介电常数的介电层262与通道层如层状物204a之间的界面层、盖层、阻障层、其他合适层、或上述的组合。在一些实施例中,每一高介电常数的介电层与金属栅极260中包含的材料层数目,取决于通道层如层状物204a之间的开口252的尺寸。高介电常数的介电层与金属栅极260的多种层状物的沉积方法可为任何合适方法,比如化学氧化、热氧化、原子层沉积、化学气相沉积、物理气相沉积、电镀、其他合适方法、或上述的组合。
图21A与图21B分别显示图20A与图20B所示的装置200其部分E的放大图,以图示上述方法100形成的结构其多种尺寸细节。如图21A所示,沿着鳍状物204的长度方向(如沿着x轴)测量的介电层220的长度d,可为沿着相同方向测量的源极/漏极结构230的长度D的约3%至约15%。在一些实施例中,若长度d小于长度D的约3%,则可忽略介电层220如内侧间隔物222的一部分降低高介电常数的介电层与金属栅极260与源极/漏极结构230之间的寄生电容的效果。在一些实施例中,若长度d大于长度D的约15%,则介电层220不利于减少源极/漏极结构230的尺寸,进而损害装置200的效能。此外,介电层220沿着源极/漏极结构230的高度(如沿着z轴)的厚度t,可为通道层如层状物204a之间的高介电常数的介电层与金属栅极260的厚度T的约80%至约100%(比如近似相同)。在一些实施例中,若厚度t小于厚度T的约80%,则介电层216的部分可能暴露至源极/漏极结构230,进而使内侧间隔物222减少寄生电容的效果下降。在一些实施例中,若厚度t大于厚度T,则源极/漏极结构230的导电性可能受到负面影响,如同长度d太长的前述效应。在一些实施例中,介电层216的厚度t'大于厚度t,并与高介电常数的介电层与金属栅极260的厚度T实质上类似。
此实施例实施的内侧间隔物可包含低介电常数的介电层如介电层220或气隙如气隙232,以减少源极/漏极结构230与高介电常数的介电层与金属栅极260之间的整体寄生电容。在此考量下,介电层220与气隙232在内侧间隔物降低电容的能力上具有类似效果。因此搭配图21A所示的内侧间隔物222说明的上述尺寸与多种效果,亦可用于图21B所示的内侧间隔物223。在此考量下,可沿着鳍状物204的长度方向与源极/漏极结构230的高度,分别测量气隙232的最大开口的长度d与厚度t。虽然附图中的气隙232为半球形,气隙232可设置为任何合适的几何形状如上述。
之后如图22A与图22B所示,方法100的步骤112对装置200进行额外制程步骤。举例来说,方法100可形成源极/漏极接点270于源极/漏极结构230上。每一原籍/漏极接点可包含任何合适的导电材料如钴、钨、钌、铜、铝、钛、镍、金、铂、钯、其他合适的导电材料、或上述的组合。方法100可经由一系列图案化与蚀刻制程形成源极/漏极接点孔(或沟槽)于层间介电层242中,接着采用任何合适方法如化学气相沉积、原子层沉积、物理气相沉积、电镀、其他合适制程、或上述的组合沉积导电材料于源极/漏极接点孔中。在一些实施例中,可形成硅化物层(未图示)于源极/漏极结构230与源极/漏极接点270之间。硅化物层可包含镍硅化物、钴硅化物、饵硅化物、钯硅化物、其他合适硅化物、或上述的组合。可由沉积制程如化学气相沉积、原子层沉积、物理气相沉积、或上述的组合,形成硅化物层于装置200上。举例来说,可沉积金属层(如钛)于源极/漏极结构230上,并退火装置200使金属层与源极/漏极结构230的半导体材料反应。之后移除未反应的金属层,以保留硅化物层于源极/漏极结构230上。方法100之后可形成额外结构于装置200上,比如高介电常数的介电层与金属栅极260上的栅极接点、垂直内连线结构(如通孔)、水平内连线结构(如导电线路)、介电层(如金属间介电层)、其他合适结构、或上述的组合。
如图23A与图23B所示,装置200可进一步包含鳍状物205,其包含通道层如层状物205a的另一多层堆叠ML于基底鳍状物205c上。在一些实施例中,鳍状物205与基板202上的鳍状物204相邻。在一些实施例中,鳍状物204与205设置为提供相反导电形态的全绕式栅极场效晶体管。在此例中,鳍状物204可掺杂n型掺质如磷、砷、及/或其他n型掺质,而鳍状物205可掺杂p型掺质如硼及/或其他p型掺质。综上所述,鳍状物204可设置以提供p型全绕式栅极场效晶体管,而鳍状物205可设置以提供n型全绕式栅极场效晶体管。如此处所述,鳍状物204与205包含的多层堆叠ML可具有不同数目的通道层。举例来说,鳍状物204的多层堆叠ML包含的通道层可比鳍状物205的多层堆叠ML包含的通道层多,如图23A所示。在其他实施例中,鳍状物205的多层堆叠ML包含的通道层可比鳍状物204的多层堆叠ML包含的通道层多,如图23B所示。
此外,如图24所示,通道层如层状物204a的剖面可设置为多种轮廓。在一实施例中,通道层如层状物204a可具有实质上矩形的剖面轮廓410。在另一实施例中,通道层如层状物204a可具有实质上圆润矩形的剖面轮廓420。在又一实施例中,通道层如层状物204a可具有实质上矩形的剖面轮廓430。在再一实施例中,通道层如层状物204a可具有实质上圆形的剖面轮廓440。其他合适的轮廓可包含矩形(包含圆润化的矩形)或三角形(包含圆润化的三角形)。在轮廓圆润化的实施例中(比如圆润的矩形或方形),应理解圆润化比例可定义为Lr/Ls,其中Lr指的是圆润化或弧形部分的长度,而Ls指的是平直部分的长度。在一些例子中,圆润化比例可介于约15:100至约1:1之间。
本发明的一或多个实施例有利于半导体装置与其形成方法,但不局限于此。举例来说,本发明实施例提供全绕式栅极装置中的内侧间隔物(如内侧间隔物222与223),其具有至少两个不类似的介电层(如介电层216、介电层220、及/或气隙232)且其中一者可为空气。本发明一些实施例的内侧间隔物包含第一介电层(如介电层216)位于金属栅极堆叠(如高介电常数的介电层与金属栅极260)的部分上,以及第二介电层(如介电层220或气隙232)位于第一介电层上。第二介电层包含的材料的介电常数可低于第一介电层,且第一介电层包含的材料的抗蚀刻性可高于第二介电层。在一些实施例中,形成两个介电层的方法实质上不减少最终全绕式栅极装置的通道长度。综上所述,本发明实施例的内侧间隔物设置为降低金属栅极堆叠与源极/漏极结构之间的寄生电容,并在栅极置换制程时最小化对内侧间隔物的损伤。本发明实施例的方法可简单整合至形成三维场效晶体管(如全绕式栅极场效晶体管与鳍状场效晶体管)的现有制程与技术中。
本发明一实施例提供的半导体结构包括多个半导体层的堆叠,位于基板上;多个高介电常数的介电层与金属栅极结构的堆叠,交错于半导体层的堆叠之间;介电内侧间隔物,位于高介电常数的介电层与金属栅极结构的每一者的侧壁上;以及外延的源极/漏极结构,与高介电常数的介电层与金属栅极结构的堆叠相邻。在此实施例中,介电内侧间隔物包括第一层位于高介电常数的介电层与金属栅极结构的每一者的侧壁上,以及第二层位于第一层上,且第一层与第二层的组成不同。介电内侧间隔物的第二层埋置于外延的源极/漏极结构中。
在一些实施例中,第一层包括第一介电常数的第一介电材料,第二层包括第二介电常数的第二介电材料,且第二介电常数低于第一介电常数。
在一些实施例中,高介电常数的介电层与金属栅极结构的每一者包括栅极介电层围绕金属栅极,其中栅极介电层包括第三介电常数的第三介电材料,且第三介电常数大于第一介电常数。
在一些实施例中,第三介电材料含金属,且第一介电材料与第二介电材料不含金属。
在一些实施例中,第二层为气隙。
在一些实施例中,第二层的厚度小于高介电常数的介电层与金属栅极结构的每一者的厚度。
在一些实施例中,高介电常数的介电层与金属栅极结构为第一高介电常数的介电层与金属栅极结构,半导体结构还包括第二高介电常数的介电层与金属栅极结构位于半导体层的堆叠上,以及栅极间隔物位于第二高介电常数的介电层与金属栅极结构的侧壁上,其中栅极间隔物与第二层的组成不同。
本发明另一实施例提供的半导体结构,包括多个通道层,位于半导体基板上;多个金属栅极结构,各自位于两个通道层之间;内侧间隔物,位于每一金属栅极结构的侧壁上;源极/漏极结构,与金属栅极结构相邻;以及低介电常数的介电结构,位于内侧间隔物上,其中低介电常数的介电结构延伸至源极/漏极结构中。
在一些实施例中,内侧间隔物与低介电常数的介电结构的组成不同。
在一些实施例中,内侧间隔物包括的材料具有第一介电常数,低介电常数的介电结构包括的材料具有第二介电常数,且第二介电常数低于第一介电常数。
在一些实施例中,低介电常数的介电结构的孔隙率大于内侧间隔物的孔隙率。
在一些实施例中,低介电常数的介电结构为气隙。
在一些实施例中,金属栅极结构的每一者包括栅极介电层围绕每一通道层,以及金属栅极位于栅极介电层上。
本发明又一实施例提供的半导体结构的形成方法包括形成结构,其包括虚置栅极堆叠于自半导体基板凸起的鳍状物上,其中鳍状物包括交错的半导体层与牺牲层的多层堆叠;形成凹陷于多层堆叠的源极/漏极区中,以露出半导体层与牺牲层的侧壁;形成内侧间隔物于牺牲层的侧壁上,其中每一内侧间隔物包括第一层埋置于牺牲层中,以及第二层位于第一层上;形成外延的源极/漏极结构于凹陷中,使内侧间隔物的第二层埋置于外延的源极/漏极结构中。在一些实施例中,第二层的介电常数低于第一层的介电常数。方法还包括移除虚置栅极堆叠以形成栅极沟槽;在形成外延的源极/漏极结构之后,自多层堆叠移除牺牲层,以形成半导体层之间的开口;以及形成高介电常数的介电层与金属栅极的堆叠于栅极沟槽与开口中。
在一些实施例中,凹陷为第一凹陷,且形成内侧间隔物的步骤包括:选择性移除牺牲层的部分以形成第二凹陷;沉积第一介电材料于牺牲层的侧壁上,以形成内侧间隔物的第一层并填入第二凹陷;以及自半导体层的侧壁移除第一介电材料的部分。
在一些实施例中,形成内侧间隔物的步骤还包括:选择性移除内侧间隔物的第一层的部分以形成第三凹陷;沉积第二介电材料于第一层上,以形成内侧间隔物的第二层并填入第三凹陷;以及自半导体层的侧壁移除多余的第二介电材料。
在一些实施例中,内侧间隔物的第二层为气隙,且其中形成外延的源极/漏极结构的步骤在外延成长制程时形成气隙于内侧间隔物的第一层上。
在一些实施例中,形成气隙的步骤包括相对于第二方向中的外延成长制程速率,改变第一方向中的外延成长制程速率,且第一方向与第二方向不同。
在一些实施例中,形成气隙的方法为控制外延成长制程的参数,包括控制温度、压力、包括温度、压力、载气、掺度、或上述的组合。
在一些实施例中,形成高介电常数的介电层与金属栅极的堆叠的步骤包括形成栅极介电层于每一半导体层上,且栅极介电层的组成与内侧间隔物的第一层与第二层的组成不同。
上述实施例的特征有利于本技术领域中技术人员理解本发明。本技术领域中技术人员应理解可采用本发明作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本发明精神与范围,并可在未脱离本发明的精神与范围的前提下进行改变、替换、或变动。

Claims (1)

1.一种半导体结构,包括:
多个半导体层的堆叠,位于一基板上;
多个高介电常数的介电层与金属栅极结构的堆叠,交错于所述半导体层的堆叠之间;
一介电内侧间隔物,位于所述高介电常数的介电层与金属栅极结构的每一者的侧壁上,其中该介电内侧间隔物包括一第一层位于所述高介电常数的介电层与金属栅极结构的每一者的侧壁上,以及一第二层位于该第一层上,且其中该第一层与该第二层的组成不同;以及
一外延的源极/漏极结构,与所述高介电常数的介电层与金属栅极结构的堆叠相邻,其中该介电内侧间隔物的该第二层埋置于该外延的源极/漏极结构中。
CN202010564780.8A 2019-06-27 2020-06-19 半导体结构 Pending CN112151613A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962867545P 2019-06-27 2019-06-27
US62/867,545 2019-06-27
US16/847,321 2020-04-13
US16/847,321 US11626505B2 (en) 2019-06-27 2020-04-13 Dielectric inner spacers in multi-gate field-effect transistors

Publications (1)

Publication Number Publication Date
CN112151613A true CN112151613A (zh) 2020-12-29

Family

ID=73891361

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010564780.8A Pending CN112151613A (zh) 2019-06-27 2020-06-19 半导体结构

Country Status (2)

Country Link
US (1) US20230253478A1 (zh)
CN (1) CN112151613A (zh)

Also Published As

Publication number Publication date
US20230253478A1 (en) 2023-08-10

Similar Documents

Publication Publication Date Title
TWI728146B (zh) 半導體裝置與其形成方法
CN108231892B (zh) 具有弧形底面的合并的外延部件的半导体器件及其制造方法
CN111092122A (zh) 半导体结构的形成方法
CN112310220A (zh) 半导体结构
CN112750908B (zh) 在芯轴上具有包括二维材料的沟道区的场效应晶体管
KR20140041306A (ko) 반도체 디바이스의 접촉 구조
TW202036907A (zh) 半導體裝置
US11854791B2 (en) Metal source/drain features
US11626505B2 (en) Dielectric inner spacers in multi-gate field-effect transistors
TW201931474A (zh) 形成場效電晶體的方法
CN112563318A (zh) 半导体装置
CN113192888A (zh) 半导体结构及其形成方法
TWI807398B (zh) 半導體裝置與其形成方法
TW202147452A (zh) 半導體裝置及其形成方法
TWI822111B (zh) 半導體裝置與其形成方法
US20230197802A1 (en) Connection between gate and source/drain feature
TWI783350B (zh) 半導體結構與其形成方法
TWI818315B (zh) 半導體裝置及其形成方法
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
CN114975591A (zh) 具有寄生沟道结构的半导体器件
TW202205596A (zh) 半導體裝置
CN112151613A (zh) 半导体结构
CN220856585U (zh) 多栅极装置
CN220021120U (zh) 半导体结构
TWI762249B (zh) 半導體結構及其製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination