TW201931474A - 形成場效電晶體的方法 - Google Patents

形成場效電晶體的方法 Download PDF

Info

Publication number
TW201931474A
TW201931474A TW107142056A TW107142056A TW201931474A TW 201931474 A TW201931474 A TW 201931474A TW 107142056 A TW107142056 A TW 107142056A TW 107142056 A TW107142056 A TW 107142056A TW 201931474 A TW201931474 A TW 201931474A
Authority
TW
Taiwan
Prior art keywords
fin
source
fins
layer
region
Prior art date
Application number
TW107142056A
Other languages
English (en)
Inventor
范瑋寒
呂偉元
楊玉麟
范純祥
世海 楊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201931474A publication Critical patent/TW201931474A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Abstract

方法包括提供結構,其具有基板與自基板延伸的鰭狀物,其中鰭狀物包括第一半導體材料並具有用於電晶體的源極區、通道區、與汲極區;形成閘極堆疊於通道區上;對源極區與汲極區中的鰭狀物進行表面處理,使源極區與汲極區中的鰭狀物之外側部份轉變成不同於第一半導體材料的材料;蝕刻源極區與汲極區中的鰭狀物其轉變的外側部份,以減少源極區與汲極區中的鰭狀物寬度;以及沉積磊晶層於源極區與汲極區中的鰭狀物上。

Description

形成場效電晶體的方法
本發明實施例關於半導體裝置,更特別關於具有多閘極與源極/汲極結構的場效電晶體之半導體裝置。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路都比前一代的積體電路具有更小且更複雜的電路。在積體電路演進中,功能密度(如單位晶片面積所含的內連線裝置數目)通常雖著幾何尺寸(如製程所能產生的最小構件或線路)縮小而增加。製程尺寸縮小通常有利於增加產能並降低相關成本。尺寸縮小亦會增加積體電路的製程與形成方法的複雜性。
導入多閘極裝置可增加閘極-通道耦接、降低關閉狀態的電流、並降低短通道效應,以改善閘極控制。多閘極裝置的例子包含雙閘極場效電晶體、三閘極場效電晶體、鰭狀場效電晶體、Ω閘極場效電晶體、與全環繞式閘極場效電晶體。多閘極場效電晶體預期可使半導體製程技術,縮小至超出習知的基體金氧半場效電晶體的技術限制。然而隨著電晶體結構縮小並轉為三維結構,電晶體源極與汲極磊晶結構的品質對裝置效能的影響變大。雖然現有的源極/汲極磊晶結構形成方法已符 合其發展目的,但仍無法符合所有方面的需求。
本發明一實施例提供之形成場效電晶體的方法,包括:提供結構,其具有基板與自基板延伸的鰭狀物,其中鰭狀物包括第一半導體材料並具有用於電晶體的源極區、通道區、與汲極區;形成閘極堆疊於通道區上;對源極區與汲極區中的鰭狀物進行表面處理,使源極區與汲極區中的鰭狀物之外側部份轉變成不同於第一半導體材料的材料;蝕刻源極區與汲極區中的鰭狀物其轉變的外側部份,以減少源極區與汲極區中的鰭狀物之寬度;以及沉積磊晶層於源極區與汲極區中的鰭狀物上。
△Hliner‧‧‧距離
A-A’、B-B’‧‧‧剖線
Hfin、Hfin2‧‧‧高度
Wfin、Wfin2‧‧‧寬度
10‧‧‧方法
12、14、16、18、20、24、26、28、30‧‧‧步驟
22‧‧‧修整循環
100‧‧‧裝置
102‧‧‧基板
110‧‧‧鰭狀物
110a‧‧‧源極/汲極區
110a-1‧‧‧上側部份
110a-2‧‧‧底部
110b‧‧‧通道區
112‧‧‧隔離結構
114‧‧‧虛置界面層
114a‧‧‧高介電常數的介電層
116‧‧‧虛置閘極
116a‧‧‧導電層
118‧‧‧硬遮罩層
120‧‧‧虛置閘極堆疊
120a‧‧‧最終閘極堆疊
122‧‧‧閘極間隔物
123‧‧‧表面
124‧‧‧外部層
126‧‧‧襯墊膜
128‧‧‧傾斜表面
129‧‧‧凹處
130‧‧‧源極/汲極結構
134‧‧‧接點蝕刻停止層
136‧‧‧層間介電層
圖1係一些實施例中,製作半導體裝置的方法之流程圖。
圖2、3、4、5、6A、6B、7A、7B、7C、7D、8、9、與10為一些實施例中,依據圖1之方法形成半導體裝置的透視圖與剖視圖。
可以理解的是,下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置 之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,說明中的數值或數值範圍前若有「約」、「近似」、或類似用語,其涵蓋合理範圍內的數值,比如在所述數值的+/-10%內,除非另外說明。例如,用語「约5nm」涵蓋4.5nm至5.5nm的範圍。
本發明一般關於半導體裝置,更特別關於具有多閘極與源極/汲極結構的場效電晶體之半導體裝置。多閘極的場效電晶體例子包含雙閘極場效電晶體、三閘極場效電晶體、鰭狀場效電晶體、Ω閘極場效電晶體、與全環繞式閘極場效電晶體。此外,全環繞式閘極場效電晶體可包含一或多個奈米線通道、條形通道、或其他合適的通道結構。本發明實施例的主題之一為提供新穎的源極/汲極結構用於多閘極電晶體,以維持電晶體通道應變、加速源極/汲極結構與通道區之間的摻質擴散、並改善磊晶源極/汲極結構品質。在實施例中,磊晶源極/汲極結構下的源極/汲極區中的半導體鰭狀物,可修整為小於通道區中的半導體鰭狀物。換言之,磊晶源極/汲極結構覆蓋下方鰭狀物的至少上表面與兩個側壁表面,並維持直接接觸通道區中半導體鰭狀物的部份。此結構可提供摻質自源極/汲極結構直接流至通道區中的直接路徑,以改善摻質擴散效率。此 外,修整的半導體鰭狀物可維持通道區中的鰭狀物應變強度,並改善磊晶成長其上的源極/汲極結構品質。
圖1係本發明多種實施例中,形成多閘極半導體裝置的方法10之流程圖。方法10僅用以舉例而非侷限本發明實施例至申請專利範圍未實際限縮的範圍。在方法10之前、之中、或之後可進行額外步驟,且方法的其他實施例可置換、省略、或調換一些下述步驟。方法10將搭配圖2至10說明如下。圖1至5與圖8至10顯示本發明實施例中,裝置100於製作中的多種階段之透視圖。圖6A至7D顯示多種實施例中,裝置100的部份沿著圖5中A-A’剖線或B-B’剖線的剖視圖。
在步驟12中,圖1的方法10接收裝置100,如圖2所示。在圖2中,裝置100包含基板102與沿著z方向自基板102向上凸起的鰭狀物110。在多種實施例中,裝置100可具有多個鰭狀物110。圖2中的裝置100僅用以舉例說明,而非侷限本發明實施例至任何數目的鰭狀物110。位於基板102上的隔離結構112隔離鰭狀物110。雖然下述實施例包含鰭狀場效電晶體裝置,但其他實施例可包含其他隆起的主動與被動裝置形成於基板102上。在某種程度上,鰭狀物110可表示基板102上的任何隆起結構。
在多種例子中,基板102包含半導體元素(單一元素)如結晶態的矽或鍺;半導體化合物如矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;非半導體材料如鈉鈣玻璃、熔融氧化矽、熔融石英、及/或氟化鈣;及/或上述之組合。基板102可具有一致的組成或可包含多種層狀物,且一 些層狀物可經選擇性蝕刻以形成鰭狀物。層狀物可具有相同或不同的組成。在多種實施例中,一些基板的層狀物具有不一致的組成,可誘發裝置應變以調整裝置效能。層狀基板的例子包含絕緣層上矽基板。在一些例子中,基板102的層狀物可包含絕緣層如氧化矽、氮化矽、氮氧化矽、碳化矽、及/或其他合適的絕緣材料。
鰭狀物110可包含至少一半導體材料如矽、矽鍺、鍺、或III-V族半導體化合物如砷化鎵、砷化鎵銦、砷化銦、磷化銦、或銻化銦。鰭狀物110可包含形成於矽上的應變Si1-xGex,或形成於鬆弛的矽鍺上的應變矽。在一實施例中,鰭狀物110包含應變的Si1-xGex,而基板102包含鬆弛或部份鬆弛的矽鍺合金Si1-rGer層,且鍺莫爾分率r小於x。藉由選擇x大於r,Si1-xGex通道的自然晶格常數大於Si1-rGer的自然晶格常數,且Si1-xGex通道處於壓縮應力或應變下。在實施例中,縱向中通道內的壓縮應力大於0.5%,比如大於1%。在實施例中,基板102亦可包含氧化矽層(如絕緣層上矽基板),且鰭狀物110可由絕緣層上矽晶圓形成。在例示性的實施例中,鰭狀物側壁表面為(110)晶向,而鰭狀物上表面為(100)晶向。鰭狀物側壁表面可為其他晶向如(551)。鰭狀物110可能具有其他設置與形狀,其仍屬本發明實施例的範疇。
鰭狀物110的製作方法可採用合適製程如光微影與蝕刻製程。光微影製程可包含形成光阻層於基板102上、曝光光阻至一圖案、進行曝光後烘烤製程、與顯影光阻以形成含光阻的遮罩單元。接著採用遮罩單元以蝕刻凹陷至基板102中, 保留鰭狀物110於基板102上。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。另一方面,鰭狀物110的形成方法可採用芯-間隔物的雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於以單一直接光微影製程所產生的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程沿著圖案化犧牲層的側部形成間隔物。接著移除犧牲層,而保留的間隔物或芯之後可用於圖案化基板102以形成鰭狀物。在一些實施例中,鰭狀物可包含一或多層磊晶成長的半導體材料。一些其他實施例的方法亦適於形成鰭狀物110。
仍如圖2所示,鰭狀物110包含兩個源極/汲極區110a與兩個源極/汲極區110a之間的通道區110b。源極/汲極區110a與通道區110b沿著y方向水平地配置。在例示性的實施例中,鰭狀物110在x-z平面中可具有實質上矩型輪廓。鰭狀物110沿著x方向具有寬度Wfin,且沿著z方向具有高於隔離結構112的高度Hfin。在其他實施例中,鰭狀物110在x-z平面中具有錐形輪廓,且在鰭狀物110的高度之一半處(Hfin/2)量測鰭狀物的寬度Wfin。在實施例中,鰭狀物的寬度Wfin可為10nm或更少,比如6nm或更少。在實施例中,鰭狀物的高度Hfin可大於或等於30nm,比如40nm或更大,甚至是50nm。
在多種實施例中,裝置100包含多個鰭狀物110,而鰭狀物110可包含矽鰭狀物與矽鍺鰭狀物。矽鍺鰭狀物可與矽鰭狀物一起形成,且矽者鰭狀物可與矽鰭狀物相鄰。此外,矽 鍺鰭狀物與矽鰭狀物不需具有相同的物理尺寸。矽鍺鰭狀物可用於p型通道電晶體,而矽鰭狀物可用於n型通道電晶體。在實施例中,矽的鰭狀物110形成於基板102中完全或部份鬆弛的矽鍺層上,且矽的鰭狀物可處於縱向中的拉伸應力或應變下。存在於縱向中的拉伸應力可增加矽中的電子移動率,並可改善n型通道的矽電晶體之驅動電流與速度。
隔離結構112的組成可為氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適的絕緣材料。隔離結構112可為淺溝槽隔離結構。在一實施例中,隔離結構112的形成方法為蝕刻溝槽於基板102中,比如鰭狀物110之形成製程的一部份。接著可將隔離材料填入溝槽,礙進行化學機械平坦化製程。亦可採用其他隔離結構如場氧化物、局部氧化矽、及/或其他合濕的結構。舉例來說,隔離結構112可包含多層結構,其具有一或多個熱氧化物襯墊層。
在步驟14中,圖1的方法10形成虛置閘極堆疊120於鰭狀物110上,特別是通道區110b上(見圖3)。在例示性的實施例中,虛置閘極堆疊120於閘極後製製程中將取代為最終閘極堆疊。在一些實施例中,閘極堆疊(非虛置)為閘極優先製程中的最終閘極堆疊。虛置閘極堆疊120包含虛置界面層114、虛置閘極116、與硬遮罩層118。虛置界面層114可包含介電材料如氧化矽或氮氧化矽,且其形成方法可為化學氧化法、熱氧化法、原子層沉積、化學氣相沉積、及/或其他合適方法。虛置閘極116可包含多晶矽,且其形成方法可為合適的沉積製程如 低壓化學氣相沉積或電漿增強化學氣相沉積。硬遮罩層118可包含一或多層的材料如氧化矽及/或氮化矽。在實施例中,虛置閘極堆疊120可包含其他合適的層狀物。虛置閘極堆疊120的多種層狀物之形成方法可為光微影與蝕刻製程。
仍如圖3所示,在步驟16中,圖1的方法10形成閘極間隔物122於虛置閘極堆疊120的側壁上。這可關於一或多道沉積與蝕刻製程。在一實施例中,形成間隔物於虛置閘極堆疊120與鰭狀物110的側壁上,接著自鰭狀物110的側壁移除間隔物,只保留虛置閘極堆疊120之側壁上的間隔物。舉例來說,間隔物材料可順應性地沉積於隔離結構112、鰭狀物110、與虛置閘極堆疊120上。接著以非等向蝕刻製程蝕刻間隔物材料,以露出隔離結構112、硬遮罩層118、與鰭狀物110的上表面。如此一來,只保留間隔物材料的部份於虛置閘極堆疊120的側壁與鰭狀物110的側壁上。虛置閘極堆疊120之側壁上的間隔物材料之部份,稱作閘極間隔物122。在實施例中,間隔物材料可包含介電材料,比如氧化矽、氮化矽、氮氧化矽、碳化矽、其他介電材料、或上述之組合。此外,閘極間隔物122可包含一或多層的上述材料。
接著實質上移除鰭狀物110之側壁上的間隔物材料的部份,並保留閘極間隔物112。在一實施例中,可由一或多道處理與蝕刻製程達到上述效果。在此實施例的第一步驟中,選擇性地調整閘極間隔物122,使其蝕刻抗性不同於鰭狀物110之側壁上的間隔物材料之蝕刻抗性。舉例來說,方向性的離子束存在下的方向性離子佈植或電漿處理可達上述效果,因此閘 極間隔物122比鰭狀物110之側壁上的間隔物材料更能抵抗蝕刻劑。在此實施例的第二步驟中,選擇性蝕刻製程可實質上保留閘極間隔物122,並實質上移除鰭狀物110之側壁上的間隔物材料。蝕刻製程露出鰭狀物110的側壁部份。在實施例中,步驟16亦包含清潔製程,其清潔鰭狀物110的表面(包含上表面與側壁表面),以準備用於後續的修整製程。上述的多種清潔與蝕刻製程可使鰭狀物110稍微凹陷。
在步驟18中,圖2的方法10將源極/汲極區110a中的鰭狀物110之外部層124轉變為不同於鰭狀物的內側部份之材料組成。在一些實施例中,步驟18包含氧化製程,其氧化源極/汲極區110a中的鰭狀物110之上表面與側壁表面。在其他實施例中,步驟18包含離子佈植(如氧佈植),其將摻質佈植至鰭狀物110的外部表面下的薄層中。在例示性的實施例中,鰭狀物110包含矽鍺,而步驟18使源極/汲極區110a中的鰭狀物110之外部層124轉變為氧化矽鍺。轉變的外部層124其厚度可介於約0.5nm至約2nm之間。在一些實施例中,轉變的外部層124在鰭狀物110的中間高度處周圍具有較大厚度,並在靠近鰭狀物110的頂部與底部處具有較小厚度。在一些實施例中,可將裝置100暴露至濕式氧化製程、乾式氧化製程、或上述之組合,以進行氧化製程。在一實施例中,氧化製程包含氧環境(如臭氧)。在另一實施例中,以蒸氣環境與氧環境的組合進行熱氧化。舉例來說,可在水反應氣體、溫度介於約400℃至約600℃之間、且壓力介於約1大氣壓至約20大氣壓之間,對裝置100進行熱氧化。在源極/汲極區110a中,步驟18使外部層124與鰭狀物110 的內側部份對選用的蝕刻劑產生不同的蝕刻選擇性,使後續步驟可移除外部層124。
在步驟20中,圖1的方法10自源極/汲極區110a中的鰭狀物110移除外部層124(見圖5)。在實施例中,步驟20可包含濕蝕刻、低密度電漿中的反應性離子蝕刻、與反應性離子蝕刻搭配之感應耦合電漿中低溫條件下的單一步驟蝕刻、感應耦合電漿-反應性離子蝕刻型反應器中的分時多工深矽蝕刻、室溫或接近室溫下的高密度電漿中的單一步驟蝕刻、或其他合適的蝕刻方法。在例示性的實施例中,選擇性濕蝕刻製程可包含氫氟酸或氫氧化銨蝕刻劑。選擇性蝕刻會沿著x方向減少鰭狀物寬度Wfin,並沿著y方向減少鰭狀物高度Hfin,以露出通道區110b中鰭狀物110的部份。通道區110b中鰭狀物110的露出表面,標示為表面123。步驟18與20可一起視作一個修整循環22。
步驟20後的裝置100如圖5、6A、與6B所示。圖6A為修整的源極/汲極區110a沿著圖5中剖線A-A’的剖視圖。圖6B係鰭狀物110沿著圖5中剖線B-B’的剖視圖。如圖6A所示,源極/汲極區110a中的鰭狀物110修整為具有寬度Wfin2,其小於寬度Wfin。在上述的一些實施例中,轉變的外部層124在鰭狀物110的中間高度處周圍的厚度,大於在鰭狀物110之頂部與底部周圍的厚度。在移除轉變的外部層124之後,鰭狀物110的側壁向內凹,如圖6A所示。為清楚說明,寬度Wfin2為鰭狀物110之一半高度(Hfin2/2)的寬度。同樣地,通道區110b中的鰭狀物110之露出部份的表面123,可內凹至虛置閘極堆疊120下。
在實施例中,一次修整循環22之後的鰭狀物寬度可 縮小0.5nm至2nm,端視步驟18中鰭狀物110的氧化深度。在例示性的實施例中,鰭狀物寬度的減少量(Wfin-Wfin2)為約1nm或更少。鰭狀物的高度Hfin減少至高度Hfin2。在實施例中,在一次修整循環22之後的鰭狀物高度減少量可介於0.5nm至2nm之間,端視步驟18中鰭狀物110的上表面之氧化深度而定。在例示性的實施例中,鰭狀物高度的減少量(Hfin-Hfin2)實質上等於鰭狀物寬度的減少量(Wfin-Wfin2)。因此鰭狀物110的上表面與側壁表面可視作順應性地減少。由於虛置閘極堆疊120覆蓋通道區110b,因此此製程不修整通道區110b。如圖6A與6B所示之修整循環22的結果,源極/汲極區110a的寬度Wfin2小於通道區110b的寬度Wfin,且源極/汲極區110a的高度Hfin2小於通道區110b的高度Hfin
在實施例中,所需的鰭狀物寬度減少量(Wfin-Wfin2)可大於一次修整循環22所能達成的量。舉例來說,鰭狀物寬度的減少量之預定值可為約3nm(比如鰭狀物寬度由12nm修整至9nm),而一次修整循環22減少的鰭狀物寬度為約1nm,其小於預定值。在步驟24中,圖1的方法10可重複修整循環22多次,直到鰭狀物寬度的減少量(Wfin-Wfin2)累積到預定值為止。在上述例子中,步驟24可重複修整循環22超過兩次,使鰭狀物寬度自起始的12nm縮小至9nm。若單一的修整循環已足夠,則方法10可進行步驟26。此鰭狀物修整製程可提供多種優點。首先,其可清潔鰭狀物表面,並產生更多空間以用於後續的磊晶成長製程,可增進後續磊晶成長的源極/汲極結構品質。再者,源極/汲極區中修整的鰭狀物露出通道區中鰭狀物的部份,其可 提供磊晶成長的源極/汲極結構與通道區之間直接接觸的界面。這可提供摻質自源極/汲極區擴散至通道區中的直接路徑,以改善摻質擴散效率。三者,與自源極/汲極區完全移除鰭狀物的一些其他製程所造成的應變損失相,保留於源極/汲極區中的鰭狀物部份可維持應變強度於通道區中。
圖7A、7B、7C、與7D係其他實施例中,在一或多道修整循環22之後,沿著圖5中A-A’剖線之源極/汲極區110a的剖視圖。在圖7A至7D中,襯墊膜126覆蓋鰭狀物110的側壁底部。襯墊膜126可包含氮化矽或氧化鋁。在一實施例中,襯墊膜126包含n型摻質如磷。在一實施例中,襯墊膜126為n型摻雜的氧化物層,比如磷矽酸鹽玻璃。在另一實施例中,襯墊膜126包含p型摻質如硼。在另一實施例中,襯墊膜126為p型摻雜的氧化物層,比如硼矽酸鹽玻璃。在多種實施例中,襯墊膜126的厚度介於約1nm至約5nm之間。在一實施例中,襯墊膜126先順應性地沉積於鰭狀物110上,接著形成隔離結構112並以隔離結構112的介電層覆蓋襯墊膜126,之後以凹陷製程使隔離結構112與襯墊膜126一起凹陷,以露出鰭狀物110的頂部。在修整循環22之前,襯墊膜126埋置於隔離結構112中,且襯墊膜126的最頂部實質上與隔離結構112的上表面共平面。
如圖7A所示的一實施例中,在修整循環22之後,實質上保留對選用蝕刻劑具有抗性的襯墊膜126,而隔離結構112則因選用的蝕刻劑而損失一些上表面。在一實施例中,隔離結構112包含半導體氧化物,且氧化的外部層124與隔離結構112之間的蝕刻選擇性小於3:1。如此一來,襯墊膜126覆蓋的鰭狀 物110之底部寬度不會減少(相較於頂部)。且隔離結構112的上表面凹陷至比襯墊膜126低一段距離△Hliner。舉例來說,距離△Hliner介於約0.5nm至約6nm之間。
如圖7B所示的一實施例中,襯墊膜126亦因選用的蝕刻劑而有一些損失,但其蝕刻速率小於隔離結構112的蝕刻速率。如此一來,襯墊膜126仍比隔離結構112的上表面高一段距離△Hliner,其介於約0.2nm至約5nm之間。源極/汲極區110a中的鰭狀物110之側壁具有傾斜表面128,其連接實質上垂直於隔離結構112的上表面之上側側壁與底部側壁。
在圖7C所示的一實施例中,鰭狀物110具有材料組成不同的上側部份110a-1與底部110a-2,比如上側部份110a-1中的矽鍺與底部110a-2中的矽。在修整循環22之後,襯墊膜126與隔離結構112均具有蝕刻損失,且鰭狀物110的底部之一部份的側壁中具有傾斜表面128,其露出於襯墊膜126上。襯墊膜126比隔離結構112的上表面高一段距離△Hliner,其介於約0.2nm至約5nm之間。
在圖7D所示的一實施例中,襯墊膜126的蝕刻速率大於隔離結構的蝕刻速率。凹陷的襯墊膜126產生凹處129於鰭狀物110的底部與隔離結構112的上表面之間。凹處129的深度可介於約0.5nm至約2nm之間。
在步驟26中,圖1的方法10進行磊晶成長製程以形成磊晶層於源極/汲極區110a中的鰭狀物110上,以作為源極/汲極結構130。因此磊晶層亦稱作源極/汲極結構130。虛置閘極堆疊120與閘極間隔物122限制源極/汲極結構130至源極/汲極 區。合適的磊晶製程包含化學氣相沉積技術(如氣相磊晶及/或超真空化學氣相沉積)、分子束磊晶、及/或其他合適製程。磊晶製程可採用氣態及/或液態的前驅物,其與基板102的組成產生作用。由於源極/汲極區110a中修整的鰭狀物110露出通道區110b中鰭狀物110的部份,源極/汲極結構130直接接觸通道區110b中鰭狀物110的露出部份,其提供自源極/汲極結構130至通道區130b中的直接摻質擴散路徑。此外,由於修整循環22之後內凹的表面123保留額外空間(見圖6B),源極/汲極結構130亦可延伸至通道區110b中。換言之,源極/汲極結構130的部份可延伸至虛置閘極堆疊120下。
在磊晶成長源極/汲極結構130時,可進行原位摻雜以導入摻質物種如p型摻質(比如硼或氟化硼)、n型摻質(如磷或砷)、其他合適摻質、或上述之組合。若未原位摻雜源極/汲極結構130,則可進行佈植製程(如接面佈植製程)以摻雜源極/汲極結構130。在例示性的實施例中,n型金氧半裝置中的源極/汲極結構130包含磷化矽,而p型金氧半裝置中的源極/汲極結構130包含硼化鍺錫(錫可用於調整晶格常數)及/或硼化矽鍺錫。可進行一或多道退火製程,以活化源極/汲極結構130。在實施例中,可視情況進行熱處理以提高膜中的摻質活化程度。上述熱處理可採用快速熱退火、微秒退火或峰值退火、雷射退火、或其他退火技術。
在步驟28中,圖1的方法10採用閘極後製製程(又稱作置換閘極製程)將虛置閘極堆疊120置換為最終閘極堆疊120。然而在步驟14形成最終閘極堆疊而非虛置閘極堆疊時, 則省略步驟28。在實施例中,步驟28關於多重步驟,其將搭配圖9與10說明於下。
如圖9所示,形成接點蝕刻停止層134以覆蓋裝置100。在例示性的實施例中,接點蝕刻停止層134順應性地沉積於虛置閘極堆疊120、閘極間隔物122的側壁、源極/汲極結構130、與隔離結構112的上表面上。接點蝕刻停止層134可包含介電材料如氮化矽、氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、其他介電材料、或上述之組合。接點蝕刻停止層134的形成方法可為電漿增強化學氣相沉積製程及/或其他合適的沉積或氧化製程。接著沉積層間介電層136於接點蝕刻停止層134上。層間介電層136可包含的材料為四乙氧基矽烷氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、攙雜硼的矽酸鹽玻璃、及/或其他合適的介電材料。層間介電層136的沉積方法可為電漿增強化學氣相沉積製程或其他合適的沉積技術。在一實施例中,層間介電層136的形成方法為可流動的化學氣相沉積製程。可流動的化學氣相沉積製程包含沉積可流動的材料(如液態化合物)於基板102上,以填入多種溝槽。接著以合適技術如熱退火或紫外線將可流動材料轉變為固體材料。接著回蝕刻或以化學機械平坦化製程平坦化層間介電層136,以露出硬遮罩層118。
如圖10所示,後續步驟以一或多道蝕刻製程移除硬遮罩層118、虛置閘極116、與虛置界面層114,以形成凹陷於閘極間隔物122的兩個側壁之間。多種層狀物的移除方法可為 合適的濕蝕刻、乾(電漿)蝕刻、及/或其他製程。接著可沉積一或多個材料層於凹陷中,以形成最終閘極堆疊120a。
在一實施例中,最終閘極堆疊120a可包含高介電常數的介電層114a與其上的導電層116a。最終閘極堆疊120a亦可包含界面層(未圖示)如氧化矽,其夾設於高介電常數的介電層114a與通道區110b之間。界面層的形成方法可採用化學氧化法、熱氧化法、原子層沉積、化學氣相沉積、及/或其他合適方法。
高介電常數的介電層114a可包含一或多種高介電常數的介電材料(或高介電常數的介電材料之一或多層),比如氧化鉿矽、氧化鉿、氧化鋁、氧化鋯、氧化鑭、氧化鈦、氧化釔、鈦酸鍶、或上述之組合。高介電常數的介電層114a的沉積方法可採用化學氣相沉積、原子層沉積、及/或其他合適方法。
導電層116a可包含一或多個金屬層,比如功函數金屬層、導電阻障層、與金屬充填層。功函數金屬層可為p型或n型的功函數層,端視電晶體的形態(p型或n型)而定。p型功含數層包含的金屬可為但不限於氮化鉭、氮化鉭、釕、鉬、鎢、鉑、或上述之組合。n型功函數層包含的金屬可為但不限於鈦、鋁、碳化鉭、碳氮化鉭、氮化鉭矽、氮化鈦矽、或上述之組合。金屬充填層可包含鋁、鎢、鈷、及/或其他合適材料。導電層116a的沉積方法可採用化學氣相沉積、物理氣相沉積、電鍍、及/或其他合適製程。
在步驟30中,圖1的方法10進行其他步驟以完成製作裝置100。舉例來說,步驟30可形成電性連接至最終閘極堆疊 120a的閘極接點,亦可形成連接多閘極場效電晶體至裝置100的其他部份之金屬內連線,以形成完整的積體電路。
本發明的一或多個實施例提供許多優點給半導體裝置與其形成方法,但不侷限於此。舉例來說,源極/汲極區中修整的鰭狀部份可讓源極/汲極結構直接接觸通道區,以有效改善摻質擴散。修整循環亦可清潔源極/汲極區中鰭狀物的外部表面,可增加源極/汲極結構的磊晶成長品質。保留於源極/汲極區中的鰭狀部份亦有助保留應變強度於通道區中。此外,本發明實施例的方法明顯可整合至現有的半導體製程中。
本發明一例示性的實施例關於方法。方法包括提供結構,其具有基板與自基板延伸的鰭狀物,其中鰭狀物包括第一半導體材料並具有用於電晶體的源極區、通道區、與汲極區;形成閘極堆疊於通道區上;對源極區與汲極區中的鰭狀物進行表面處理,使源極區與汲極區中的鰭狀物之外側部份轉變成不同於第一半導體材料的材料;蝕刻源極區與汲極區中的鰭狀物其轉變的外側部份,以減少源極區與汲極區中的鰭狀物寬度;以及沉積磊晶層於源極區與汲極區中的鰭狀物上。在一實施例中,表面處理包括氧化製程。在一實施例中,氧化製程為濕式氧化製程。在一實施例中,蝕刻鰭狀物其轉變的外側部份亦減少源極區與汲極區中的鰭狀物高度。在一實施例中,蝕刻鰭狀物其轉變的外側部份之步驟,亦露出通道區中的鰭狀物之一部份,且磊晶層直接接觸通道區中鰭狀物的露出部份。在一實施例中,結構亦包括隔離層覆蓋基板,且蝕刻鰭狀物其轉變的外側部份之步驟亦使隔離層的上表面凹陷。在一實施例中, 襯墊膜覆蓋鰭狀物的底部,且在蝕刻鰭狀物其轉變的外側部份之後,襯墊膜的最頂部高於凹陷後的隔離層上表面。在一實施例中,第一半導體材料為矽鍺。在一實施例中,方法亦包括重複表面處理與蝕刻鰭狀物其轉變的外側部份之步驟,直到源極區與汲極區中的鰭狀物寬度減少預定值。在一實施例中,在進行表面處理之前,先形成間隔物於閘極堆疊與源極區與汲極區中的鰭狀物上;以及選擇性蝕刻源極區與汲極區中的鰭狀物上的間隔物。
本發明另一實施例關於形成場效電晶體的方法。方法包括提供半導體基板與自半導體基板延伸並穿過覆蓋半導體基板的隔離結構之鰭狀物,鰭狀物包括第一半導體材料並具有用於場效電晶體的源極區、通道區、與汲極區;形成閘極堆疊於通道區上;對源極區與汲極區中的鰭狀物之上表面與側壁表面進行氧化製程;在源極區與汲極區中的鰭狀物其氧化的上表面與側壁表面上進行蝕刻製程;重複進行氧化製程與蝕刻製程,直到源極區與汲極區中的鰭狀物寬度減少預定值;以及磊晶成長材料層以覆蓋源極區與汲極區中的鰭狀物。在一實施例中,蝕刻製程包括濕蝕刻。在一實施例中,蝕刻製程亦移除隔離結構的頂部。在一實施例中,襯墊膜覆蓋鰭狀物的底部,且在源極區與汲極區中的鰭狀物寬度減少預定值後,襯墊膜的一部份高於隔離結構。在一實施例中,隔離結構為淺溝槽隔離結構。在一實施例中,材料層的部份延伸至閘極堆疊下。
本發明又一實施例關於半導體裝置。半導體裝置包括基板;介電層,覆蓋基板;鰭狀物,自基板延伸穿過介電隔 離層,且鰭狀物包括第一半導體材料並具有源極/汲極區與通道區,源極/汲極區具有上表面與兩個側壁表面,且源極/汲極區的寬度小於通道區的寬度;磊晶層,覆蓋源極/汲極區;以及介電襯墊層,圍繞鰭狀物的底部,其中介電襯墊層的下側部份低於介電隔離層的上表面,而介電襯墊層的上側部份高於介電隔離層的上表面。在一實施例中,源極/汲極區的高度小於通道區高度。在一實施例中,磊晶層的一部份延伸至通道區中。在一實施例中,第一半導體材料包括矽鍺。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。

Claims (1)

  1. 一種形成場效電晶體的方法,包括:提供一結構,其具有一基板與自該基板延伸的一鰭狀物,其中該鰭狀物包括一第一半導體材料並具有用於一電晶體的一源極區、一通道區、與一汲極區;形成一閘極堆疊於該通道區上;對該源極區與該汲極區中的該鰭狀物進行一表面處理,使該源極區與該汲極區中的該鰭狀物之外側部份轉變成不同於該第一半導體材料的材料;蝕刻該源極區與該汲極區中的該鰭狀物其轉變的外側部份,以減少該源極區與該汲極區中的該鰭狀物之寬度;以及沉積一磊晶層於該源極區與該汲極區中的該鰭狀物上。
TW107142056A 2017-11-30 2018-11-26 形成場效電晶體的方法 TW201931474A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593001P 2017-11-30 2017-11-30
US62/593,001 2017-11-30
US15/964,398 US10446669B2 (en) 2017-11-30 2018-04-27 Source and drain surface treatment for multi-gate field effect transistors
US15/964,398 2018-04-27

Publications (1)

Publication Number Publication Date
TW201931474A true TW201931474A (zh) 2019-08-01

Family

ID=66633464

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107142056A TW201931474A (zh) 2017-11-30 2018-11-26 形成場效電晶體的方法

Country Status (3)

Country Link
US (2) US10446669B2 (zh)
CN (1) CN109860053A (zh)
TW (1) TW201931474A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297448B2 (en) * 2015-11-30 2019-05-21 International Business Machines Corporation SiGe fins formed on a substrate
US10446669B2 (en) 2017-11-30 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain surface treatment for multi-gate field effect transistors
US11271111B2 (en) * 2018-07-26 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure with barrier in FinFET device and method for forming the same
US11276832B2 (en) * 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US11158726B2 (en) 2019-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling fin-thinning through feedback
US20210257462A1 (en) * 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Germanium Fins and Methods of Processing the Same in Field-Effect Transistors
US11569353B2 (en) 2021-02-02 2023-01-31 Micron Technology, Inc. Apparatuses including passing word lines comprising a band offset material, and related methods and systems

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829401B2 (en) * 2008-05-15 2010-11-09 Advanced Micro Devices, Inc. MOSFET with asymmetrical extension implant
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8395195B2 (en) * 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8673692B2 (en) * 2012-01-19 2014-03-18 Globalfoundries Singapore Pte Ltd. Charging controlled RRAM device, and methods of making same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8729634B2 (en) * 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8865549B2 (en) * 2012-12-07 2014-10-21 Texas Instruments Incorporated Recessed channel insulated-gate field effect transistor with self-aligned gate and increased channel length
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9224736B1 (en) * 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
US9406749B2 (en) * 2014-10-02 2016-08-02 Taiwan Semiconductor Manufacturing Company Limited Method of manufacturing a horizontal gate-all-around transistor having a fin
KR102392695B1 (ko) * 2015-05-26 2022-05-02 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9837405B1 (en) * 2016-08-02 2017-12-05 International Business Machines Corporation Fabrication of a vertical fin field effect transistor having a consistent channel width
KR20180081202A (ko) * 2017-01-05 2018-07-16 삼성전자주식회사 반도체 소자
US10068804B2 (en) * 2017-02-02 2018-09-04 Globalfoundries Inc. Methods, apparatus and system for providing adjustable fin height for a FinFET device
CN108962986B (zh) * 2017-05-18 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10446669B2 (en) 2017-11-30 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain surface treatment for multi-gate field effect transistors

Also Published As

Publication number Publication date
US20190165139A1 (en) 2019-05-30
US20200044062A1 (en) 2020-02-06
US10446669B2 (en) 2019-10-15
CN109860053A (zh) 2019-06-07
US10868149B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
US11239341B2 (en) Horizontal gate all-around device having wrapped-around source and drain
US11862734B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
CN106992124B (zh) 半导体装置的形成方法
CN103928517B (zh) FinFET器件及其制造方法
TWI728146B (zh) 半導體裝置與其形成方法
TW201931474A (zh) 形成場效電晶體的方法
TWI607544B (zh) 半導體元件及場效電晶體形成方法
US11251090B2 (en) Dual channel gate all around transistor device and fabrication methods thereof
TWI525829B (zh) 半導體裝置及其製造方法
TW201923849A (zh) 半導體裝置的形成方法
CN103578954B (zh) 具有金属栅极的半导体集成电路
CN109427784A (zh) 具有鳍和栅极结构的集成电路及其制造方法
TWI675486B (zh) 半導體結構及其製造方法
US11862701B2 (en) Stacked multi-gate structure and methods of fabricating the same
TW202029340A (zh) 半導體裝置及其形成方法
TW202027220A (zh) 半導體結構及其形成方法
KR20140109223A (ko) 반도체 디바이스의 격리 구조물
TW202220210A (zh) 半導體裝置
TW201733015A (zh) 鰭狀場效電晶體及其製造方法
TWI822111B (zh) 半導體裝置與其形成方法
TW202314867A (zh) 半導體結構及其製造方法
TWI762202B (zh) 半導體裝置與其製造方法
TWI817165B (zh) 半導體裝置的形成方法
US20230378304A1 (en) Source and Drain Enginering Process for Multigate Devices
CN112151613A (zh) 半导体结构