TWI817165B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI817165B
TWI817165B TW110126777A TW110126777A TWI817165B TW I817165 B TWI817165 B TW I817165B TW 110126777 A TW110126777 A TW 110126777A TW 110126777 A TW110126777 A TW 110126777A TW I817165 B TWI817165 B TW I817165B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
forming
capping
semiconductor
Prior art date
Application number
TW110126777A
Other languages
English (en)
Other versions
TW202215505A (zh
Inventor
許智育
陳建豪
陳嘉偉
廖善美
陳蕙祺
楊政鴻
林士豪
游國豐
楊豐誠
陳燕銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202215505A publication Critical patent/TW202215505A/zh
Application granted granted Critical
Publication of TWI817165B publication Critical patent/TWI817165B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

揭露半導體裝置與其製造方法。例示性的半導體裝置包括第一半導體堆疊與第二半導體堆疊於基板上,其中第一半導體堆疊與第二半導體堆疊的每一者包括向上堆疊且彼此分開的半導體層、位於第一半導體堆疊與第二半導體堆疊之間的虛置間隔物,其中虛置間隔物接觸第一半導體堆疊與第二半導體堆疊的每一半導體層的第一側壁;以及閘極結構包覆第一半導體堆疊與第二半導體堆疊的每一半導體層的第二側壁、上表面、與下表面。

Description

半導體裝置的形成方法
本發明實施例通常關於半導體裝置與其製作方法,更特別關於鰭狀場效電晶體或其他三維半導體裝置的製作方法。
積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(比如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(比如採用的製作製程所能產生的最小構件或線路)減少而增加。尺寸縮小的製程通常有利於增加產能與降低相關成本。
尺寸縮小亦會增加處理與製造積體電路的複雜度。為實現這些進展,積體電路的處理與製造亦須類似發展。舉例來說,已導入三維電晶體如鰭狀場效電晶體以置換平面電晶體。雖然現有的鰭狀場效電晶體裝置與製作鰭狀場效電晶體裝置的方法通常適用於預期目的,但無法符合所有方面的需求。舉例來說,目前的鰭狀場效電晶體裝置面臨閘極漏電流的問題,期會增加能耗並降低積體電路可信度,使鰭狀場效電晶體的效能劣化。綜上所述,需要改善裝置。
例示性的半導體裝置的形成方法包括形成半導體鰭狀物於基板上;形成多個間隔物於半導體鰭狀物上,其中間隔物形成溝槽於半導體鰭狀物上;沉積高介電常數的介電層於溝槽中;在製程工具中形成蓋層於高介電常數的介電層上;對蓋層進行退火製程;移除蓋層以露出高介電常數的介電層;以及形成金屬閘極於高介電常數的介電層上。
另一例示性的半導體裝置的形成方法包括形成半導體鰭狀物於基板上;形成多個間隔物於半導體鰭狀物上,其中間隔物形成溝槽於半導體鰭狀物上;形成界面層於溝槽中;沉積高介電常數的介電層於界面層上;對高介電常數的介電層進行退火製程;在製程工具中形成蓋層於該高介電常數的介電層上;對蓋層進行另一退火製程;移除蓋層以露出高介電常數的介電層;以及形成金屬閘極於高介電常數的介電層上。
另一例示性的半導體裝置的形成方法包括:形成半導體鰭狀物於基板上;形成多個間隔物於半導體鰭狀物上,其中間隔物形成溝槽於半導體鰭狀物上;沉積高介電常數的介電層於溝槽中;沉積高介電常數的蓋材料於高介電常數的介電層上;將製程氣體通入高介電常數的蓋材料的上表面上,以形成蓋層;對蓋層進行退火製程;移除蓋層以露出高介電常數的介電層;以及形成金屬閘極於高介電常數的介電層上。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。
此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5 nm」包含的尺寸範圍為4.5 nm至5.5 nm。
導入的三維半導體裝置之一為鰭狀場效電晶體。鰭狀場效電晶體的名稱來自於自基板延伸的鰭狀結構,其可用於形成場效電晶體的通道。製作三維半導體裝置的方法包括閘極置換製程,以將虛置閘極結構置換成含有高介電常數的介電層與閘極的金屬閘極結構。高介電常數的介電材料可增進閘極控制能力。製作製程亦可包含形成與移除蓋層於高介電常數的介電層上,以調整裝置(特別是p型場效電晶體)的臨界電壓、遷移率、與負偏壓溫度不穩定性。然而形成與移除蓋層的方法之成本高,且移除蓋層的方法可能損傷高介電常數的介電層,其會增加閘極漏電流並劣化裝置效能。
在本發明實施例中,可導入高介電常數的介電層後的製程以改善高介電常數的介電層之有效氧化物厚度尺寸,以緩解閘極漏電流的問題、改善可信度、並降低製作成本。舉例來說,本發明實施例在形成高介電常數的介電層之後,可在單一工具中形成含高介電常數的蓋層與矽蓋層材料於高介電常數的介電層上。退火蓋層之後,以僅濕式蝕刻製程移除蓋層。由於移除蓋層的方法與乾蝕刻製程無關,可保護高介電常數的介電層,並可改善高介電常數的介電層的有效氧化物厚度尺寸。此外,本發明實施例中形成與移除蓋層的方法,可減少製作成本。
圖1搭配圖10或圖18,可顯示本發明一些實施例製造半導體裝置所用的一些方法之流程圖。方法僅為舉例而非侷限本發明實施例至請求項未實際記載處。可在方法之前、之中、與之後進行額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。方法將搭配其他圖式說明如下,其顯示裝置200在方法的中間步驟時的多種三維圖與剖視圖。具體而言,圖2顯示本發明一些實施例中,半導體裝置的初始結構的三維圖。圖3A至9A及11A至17A係本發明一些實施例中,半導體裝置在圖1及10的方法之中間階段沿著圖2中的剖線A-A' (如Y-Z平面)的剖視圖。圖3B至9B及11B至17B係本發明一些實施例中,半導體裝置在圖1及10的方法之中間階段沿著圖2中的剖線B-B' (如X-Z平面)的剖視圖。圖3A至9A與圖16A至26A係本發明一些實施例中,半導體裝置在圖1及18的方法之中間階段沿著圖2中的剖線A-A' (如Y-Z平面)的剖視圖。圖3B至9B與圖16B至26B係本發明一些實施例中,半導體裝置在圖1及18的方法之中間階段沿著圖2中的剖線B-B' (如X-Z平面)的剖視圖。已簡化圖式使圖式清楚,以利理解本發明實施例的發明概念。
圖2顯示本發明一些實施例中,初始的裝置200的三維圖。裝置200通常視作任何鰭狀物為主的裝置,其可包含於微處理器、記憶體單元、及/或其他積體電路裝置中。在一些實施方式中,裝置200為積體電路晶片的一部分、單晶片系統、或其部分,其可包含多種被動與主動微電子裝置,比如電阻、電容器、電感、二極體、p型場效電晶體、n型場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極接面電晶體、橫向擴散金氧半電晶體、高電壓電晶體、高頻電晶體、其他合適構件、或上述之組合。本發明實施例並不限於任何特定數目的裝置或裝置區,或任何特定的裝置設置。舉例來說,雖然圖式中的裝置200為鰭狀場效電晶體裝置,本發明實施例亦可用於製作其他三維半導體裝置如奈米片裝置(亦可稱作奈米線裝置、奈米環裝置、閘極圍繞裝置、全繞式閘極裝置、或多通道橋裝置),其通道結構包括多個半導體通道層,且閘極結構可延伸包覆通道層以接觸通道區的所有側。裝置200可新增額外結構,且裝置200的其他實施例可置換、調整、或省略一些下述結構。
如圖1、2、3A、及3B所示,步驟102形成裝置200的初始半導體結構。如圖2、3A、及3B所示,裝置200包括基板202。在所述實施例中,基板202為基體矽基板。基板202可改為或額外包括另一單晶半導體(如鍺)、半導體化合物、半導體合金、或上述之組合。基板202可改為絕緣層上半導體基板,比如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。基板202可摻雜不同摻質已形成多種摻雜區於其中。在所述實施例中,基板202包括n型場效電晶體區202N,其包括摻雜p型摻質如硼(如 11B或二氟化硼)、銦、其他p型摻質、或上述之組合的 p型摻雜基板區(如p型井)。基板202亦包含p型場效電晶體區202P,其包含摻雜n型摻質如磷( 31P)、砷、其他n型摻質、或上述之組合的n型摻雜基板區(如n型井)。在一些實施例中,基板202包括p型摻質與n型摻質的組合所形成的摻雜區。可進行離子佈植製程、擴散製程、及/或其他合適摻雜製程,以形成多種摻雜區。
裝置200亦包含隔離結構204位於基板202上。隔離結構204可電性隔離裝置200的主動裝置區及/或被動裝置區。隔離結構204可設置為不同結構,比如淺溝槽隔離結構、深溝槽隔離結構、局部氧化矽結構、或上述之組合。隔離結構204包括隔離材料如氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(比如含矽、氧、氮、碳、及/或其他合適的隔離組成)、或上述之組合。
裝置200更包含自基板202凸起的半導體鰭狀物210,且半導體鰭狀物210的下側部分隔有隔離結構204。每一半導體鰭狀物210適用於提供n型場效電晶體或p型場效電晶體。在本發明實施例中,半導體鰭狀物210用於p型場效電晶體。半導體鰭狀物210的取向實質上彼此平行。每一半導體鰭狀物210具有至少一通道區210G、至少一源極區210S、與至少一汲極區210D,其長度沿著X方向定義。在一些實施例中,半導體鰭狀物210為基板202的一部分(比如)基板202的材料層的一部分)。舉例來說,所述實施例的基板202包括矽時,半導體鰭狀物210包括矽。在一些其他實施例中,半導體鰭狀物210定義於材料層中,比如基板202上的一或多個半導體材料層。舉例來說,半導體鰭狀物210包含的半導體層堆疊可具有多種半導體層(如異質結構)位於基板202上。半導體層可包含任何合適的半導體材料,比如矽、鍺、矽鍺、其他合適的半導體材料、或上述之組合。半導體層可包含相同或不同的材料、蝕刻速率、組成原子%、組成重量%、厚度、及/或設置,端視裝置200的設計需求而定。半導體鰭狀物210的形成方法可為任何合適製程,包括多種沉積、光微影、及/或蝕刻製程。在一些實施例中,半導體鰭狀物210的形成方法可為雙重圖案化微影製程。應理解可由類似方式形成多個平行的半導體鰭狀物210。
如圖1、2、4A、及4B所示,步驟104形成虛置閘極結構220於半導體鰭狀物210上。虛置閘極結構220可作為後續形成的金屬閘極結構所用的占位物。在一些實施例中,虛置閘極結構220沿著Y方向延伸,並越過個別的半導體鰭狀物210。虛置閘極結構220覆蓋半導體鰭狀物210的通道區,其位於源極區與汲極區(均視作源極/汲極區)之間。虛置閘極結構220可包含多種虛置閘極層,比如虛置閘極(比如含多晶矽)位於半導體鰭狀物210的通道區上,以及一或多個硬遮罩層位於虛置閘極上,及/或其他合適層狀物。在形成虛置閘極結構220之前,可沉積組成為氧化矽的虛置介電層212於半導體鰭狀物210與隔離結構204上,且其沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、及/或其他合適的沉積製程。之後可沉積虛置閘極層於虛置介電層212上。接著進行微影製程形成遮罩以覆蓋半導體鰭狀物210的通道區。之後可採用微影遮罩並蝕刻不同的虛置閘極層,以形成虛置閘極結構220。接著可採用任何合適方法移除微影遮罩。
步驟104沿著虛置閘極結構220的側壁形成閘極間隔物222。閘極間隔物222可包含多種層狀物,比如一或多個介電層與圖案層。在一些實施例中,閘極間隔物222可包含任何合適的介電材料,比如矽、氧、碳、氮、其他合適材料、或上述之組合(如氧化矽、氮化矽、氮氧化矽、或碳化矽)。閘極間隔物222的形成方法可為多種合適方法,比如多種沉積製程(如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適方法、或上述之組合)、多種微影製程、及/或多種蝕刻製程(如乾蝕刻、濕蝕刻、或上述之組合)。
如圖1、5A、5B、6A、及6B所示,步驟106形成磊晶的源極/汲極結構230於鰭狀物210的源極/汲極區上。如圖5A及5B所示,首先沿著閘極間隔物222的側壁使半導體鰭狀物210的源極/汲極區凹陷,以形成源極/汲極溝槽226。進行源極/汲極蝕刻製程以移除半導體鰭狀物210在源極/汲極區上的部分。源極/汲極蝕刻製程可為乾蝕刻(如反應性離子蝕刻)、濕蝕刻、或上述之組合。
之後如圖6A及6B所示,磊晶成長源極/汲極結構230於源極/汲極溝槽226中。磊晶的源極/汲極結構可包含不同的半導體材料以用於不同型態(如n型或p型)的源極/汲極結構。舉例來說,n型磊晶的源極/汲極結構的材料可包含矽及/或碳,其中含矽的磊晶層或含矽與碳的磊晶層可摻雜磷、砷、其他n型摻質、或上述之組合,以形成磷化矽磊晶層、碳化矽磊晶層、或碳磷化矽磊晶層。p型磊晶的源極/汲極結構的材料可包含矽及/或鍺,其中含矽與鍺的磊晶層可摻雜硼、碳、其他p型摻質、或上述之組合,比如形成硼化矽鍺磊晶層或碳化矽鍺磊晶層。在一些實施例中,源極/汲極結構230包括一個接一個的結晶層。在一些實施例中,磊晶的源極/汲極結構230包括的材料及/或摻質可達通道區中所需的拉伸應力及/或壓縮應力。在多種實施例中,磊晶的源極/汲極結構230的不同磊晶層可包含相同或不同的半導體材料。實施磊晶製程以成長源極/汲極結構230於源極/汲極溝槽226中。磊晶製程包括化學氣相沉積(如氣相磊晶、超高真空化學氣相沉積、低壓化學氣相沉積、及/或電漿輔助化學氣相沉積)、分子束磊晶、其他合適的選擇性磊晶成長製程、或上述之組合。
如圖1、7A、及7B所示,步驟108形成層間介電層234於基板202上。在一些實施例中,層間介電層234包括低介電常數的介電材料,比如四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、其他合適的介電材料、或上述之組合。層間介電層234的形成方法可為沉積製程如化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。在一些實施例中,可形成蝕刻停止層(未圖示)於層間介電層234與閘極間隔物222之間,以及層間介電層234與源極/汲極結構230之間。之後可進行平坦化製程(如化學機械研磨製程)以移除裝置200的頂部(比如層間介電層234與虛置閘極結構220的頂部),以露出虛置閘極。
如圖1、8A、及8B所示,步驟110移除虛置閘極結構220的殘留部分,可形成閘極溝槽236以露出半導體鰭狀物210的通道區。在一些實施例中,移除虛置閘極結構220的方法包括一或多道蝕刻製程,比如濕蝕刻、乾蝕刻、或上述之組合。在一些實施例中,步驟110亦移除虛置介電層212位於半導體鰭狀物210的通道區上的一部分。之後可形成金屬閘極結構於閘極溝槽236中,以取代虛置閘極結構220。
如圖1、9A、及9B所示,步驟112形成閘極界面層240於閘極溝槽236中。閘極界面層240包括介電材料,比如氧化矽、氮氧化矽、矽酸鉿、其他合適的介電材料、或上述之組合。閘極界面層240亦可視作界面層。閘極界面層240的形成方法可為熱氧化、化學氧化、原子層沉積、化學氣相沉積、其他合適製程、或上述之組合。在所述實施例中,閘極界面層240的形成方法可採用濕式氧化製程,且可顯擇性形成閘極界面層240於半導體鰭狀物210的露出表面上。在未圖示的其他實施例中,閘極界面層240的形成方法可採用化學氣相沉積、原子層沉積、或合適的沉積技術,且閘極界面層240亦可沉積於隔離結構204的表面上。
如圖10、11A、及11B所示,步驟114形成閘極介電層242於閘極溝槽236中的閘極界面層240上。在一些實施例中,閘極介電層242包括高介電常數(>3.9)的介電材料,比如氧化鉿、氧化鉿矽、矽酸鉿、氮氧化鉿矽、氧化鉿鑭、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鉿鋁、氧化鋯、二氧化鋯、氧化鋯矽、氧化鋁、氧化鋁矽、三氧化二鋁、氧化鈦、二氧化鈦、氧化鑭、氧化鑭矽、三氧化二鉭、五氧化二鉭、氧化釔、鈦酸鍶、氧化鋇鋯、鈦酸鋇、鈦酸鋇鍶、氮化矽、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。因此閘極介電層242亦可視作高介電常數的介電層。高介電常數的介電層如閘極介電層242的沉積方法可為原子層沉積、化學氣相沉積、物理氣相沉積、氧化物為主的沉積製程、其他合適製程、或上述之組合。在一些實施例中,高介電常數的介電層如閘極介電層242的厚度T1為約1 nm至約2 nm。
如圖10、12A、及12B所示,步驟116形成蓋層244於閘極溝槽236中的閘極介電層242上。在一些實施例中,蓋層244包括高介電常數的蓋材料如氮化鈦、氮化鉭、氮化、其他合適材料、或上述之組合。蓋層244亦包括矽蓋材料於高介電常數的蓋材料上。蓋層244的形成方法為原位製程,比如在單一製程工具如沉積工具中進行多個步驟。舉例來說,先在沉積工具中以原子層沉積法或其他沉積製程,沉積高介電常數的蓋材料於高介電常數的介電層如閘極介電層242上。之後在相同工具中,使含有矽烷的製程氣體通過高介電常數的蓋材料的上表面,以形成矽蓋層於高介電常數的蓋材料上。在一些實施例中,矽烷氣體的製程壓力可為約4 torr至約6 torr,流速為約300 sccm至約500 sccm,且歷時約100秒至約200秒。因此可在單一製程工具中形成含有高介電常數蓋層與矽蓋層的蓋層244。
在習知形成蓋層的製程中,在第一製程工具(如原子層沉積工具)中沉積高介電常數的蓋材料,並在第二製程工具(如化學氣相沉積工具)中形成矽蓋層。在自第一製程工具移動裝置至第二製程工具時,可能氧化高介電常數的蓋層,其將增加後續矽蓋層的形成方法之難度與成本。在本發明實施例中,可在相同製程工具中形成高介電常數的蓋層與矽蓋層,以緩解或甚至避免高介電常數的蓋層氧化。因此矽蓋層的形成方法更有效,且蓋層總厚度(如高介電常數的蓋層與矽蓋層)比習知方法所形成的蓋層總厚度薄。在一些實施例中,蓋層的厚度T2為約2 nm至約3.5 nm,其可為約1.3倍至約2.3倍的高介電常數的介電層的厚度T1。蓋層的厚度T2不應過厚或過薄,使蓋層可微調裝置的臨界電壓與遷移率。若厚度T2大於3.5 nm,則無法與鰭狀物間距細小與閘極間距細小所需的先進製程節點相容。若厚度T2小於2 nm,因矽蓋層沉積於高介電常數的蓋層上而無法達到均勻厚度的矽蓋層。此外,由於形成蓋層的方法為原位製程,因此可降低製程成本。
如圖10、13A、及13B所示,步驟118對裝置200進行蓋層後退火製程。退火製程可減少p型場效電晶體的臨界電壓並增加裝置的遷移率。在一些實施例中,退火製程為峰值退火製程,其溫度為約850℃至約950℃。
如圖10、14A、及14B所示,步驟120移除蓋層244以露出閘極溝槽236中的高介電常數的介電層如閘極介電層242。在本發明實施例中,蓋層244的移除方法為僅濕式蝕刻。步驟120與乾蝕刻無關。在一些實施例中,濕蝕刻為選擇性蝕刻,其包含採用稀釋氫氟酸約30秒至40秒的濕蝕刻步驟,與採用氫氧化銨、過氧化氫、或水約120秒至210秒的濕蝕刻步驟。
在習知移除蓋層的製程中,需要採用乾蝕刻與濕蝕刻。乾蝕刻時的自由基能量相當高,其可能損傷高介電常數的介電層。然而在本發明實施例中,由於原位製程時可緩解或甚至避免高介電常數的蓋層材料氧化,且蓋層總厚度小於習知的蓋層厚度,需要採用僅濕式蝕刻製程以移除蓋層。僅濕式蝕刻製程可保護高介電常數的介電層,因此可增加高介電常數的介電層知有效氧化物厚度,其可緩解閘極漏電流並促進裝置效能。此外,由於採用僅濕式蝕刻以移除蓋層,因此可降低製程成本。
如圖10、15A、及15B所示,步驟122對裝置200進行沉積後退火製程。退火製程可使高介電常數的介電層如閘極介電層242緻密化,以得最佳的最大臨界電壓,使裝置之時間相關的介電崩潰改善。在一些實施例中,退火製程為峰值退火製程,其溫度為約850℃至約900℃。
之後如圖10、16A、及16B所示,步驟124形成閘極246於高介電常數的介電層如閘極介電層242上,以填入閘極溝槽236。在一些實施例中,閘極246包括一或多個功函數金屬層與基體金屬。設置功函數金屬層可調整對應電晶體的功函數,以達所需的臨界電壓。此外,設置基體金屬以作為功能閘極結構的主要導電部分。在一些實施例中,功函數金屬層的材料可包含鈦鋁、碳化鈦鋁、碳化鉭鋁、氮化鈦鋁、氮化鈦、氮化鈦矽、氮化鉭、碳氮化鎢、鉬、其他材料、或上述之組合。功函數金屬層的形成方法可為任何合適方法,比如化學氣相沉積、原子層沉積、物理氣相沉積、電鍍、化學氧化、熱氧化、其他合適方法、或上述之組合。之後可形成基體金屬(比如包含鋁、鎢、銅、或上述之組合)於功函數金屬層上的閘極溝槽236中。基體金屬的形成方法可為任何合適方法,比如化學氣相沉積、原子層沉積、物理氣相沉積、電鍍、化學氧化、熱氧化、其他合適方法、或上述之組合。接著可進行平坦化製程(如化學機械研磨)以移除多於的基體金屬材料。
如圖10、17A、及17B所示,步驟126進行後續製程製作裝置200。舉例來說,可形成其他多層內連線結構如源極/汲極接點250、通孔260、金屬線路(未圖示)、以及層間介電層264及/或蝕刻停止層(未圖示)於裝置200上,其設置以連接多種結構而形成含有不同半導體裝置的功能電路。
圖18、19A至26A、及19B至26B搭配圖1、2、3A至9A、及3B至9B,係本發明一些其他實施例形成裝置200的另一方法。為了簡化說明,相同標號指的是相同或類似結構。形成相同或類似結構的製作方法相同,除非特別說明如下。此外,製作步驟102至112與圖1、2、3A至9A、及3B至9B所示的步驟類似,因此此處不重述這些形成製程的細節。
如圖18、19A、及19B所示,步驟114'在形成閘極界面層240之後,對裝置200進行退火製程。可在氮氣、氫氣、或氨環境中進行退火製程,以增進閘極界面層240的品質。
如圖18、20A、及20B所示,步驟116'形成閘極介電層242 (亦可視作高介電常數的介電層)於閘極溝槽236中的閘極界面層240上。在一些實施例中,高介電常數的介電層如閘極介電層242的厚度T1為約1 nm至約2 nm。
如圖18、21A、及21B所示,步驟118'進行沉積後退火製程,使高介電常數的介電層如閘極介電層242緻密化,進而改善裝置200的時間相關的介電崩潰。在一些實施例中,退火製程為峰值退火製程,其溫度為約850℃至約900℃。
如圖18、22A、及22B所示,步驟120'形成蓋層244於閘極溝槽236中的閘極介電層242上。蓋層244的形成方法可為與圖10的步驟116類似的原位製程。蓋層244 (含高介電常數的蓋層與矽蓋層)的形成方法可為在單一製程工具(如沉積工具)中進行多個步驟。舉例來說,可在沉積工具中先以原子層沉積或其他沉積製程,沉積高介電常數的蓋材料於閘極介電層242上。之後可在相同工具中使含矽烷的製程氣體通過高介電常數的蓋材料的上表面,以形成矽蓋層。在一些實施例中,矽烷的製程壓力為約4 torr至約6 torr,流速為約300 sccm至約500 sccm,且歷時約100秒至約200秒。由於原位製程的緣故,可緩解或甚至避免高介電常數的蓋層氧化,且蓋層總厚度(如高介電常數的蓋層與矽蓋層)比習知方法所形成的蓋層總厚度薄。蓋層的厚度T2不應過厚或過薄,使蓋層可微調裝置的臨界電壓與遷移率。在一些實施例中,蓋層的厚度T2為約2 nm至約3.5 nm,其可為約1.3倍至約2.3倍的高介電常數的介電層的厚度T1。
如圖18、23A、及23B所示,步驟122'對裝置200進行蓋層後退火製程。在一些實施例中,退火製程微峰值退火製程,其溫度為約850℃至約950℃。
如圖18、24A、及24B所示,步驟124'以僅濕式蝕刻製程移除蓋層244,使高介電常數的介電層如閘極介電層242露出。步驟124'與乾蝕刻無關。在一些實施例中,濕蝕刻為選擇性蝕刻,其為採用稀釋氫氟酸約30秒至40秒的濕蝕刻步驟,與採用氫氧化銨、過氧化氫、或水約120秒至210秒的濕蝕刻步驟。此濕蝕刻製程可保護高介電常數的介電層,可增加高介電常數的介電層的有效氧化物厚度、緩解閘極漏電流的問題、並改善裝置效能。此外,可降低製作成本。
之後如圖18、25A、及25B所示,步驟126'形成閘極246於高介電常數的介電層如閘極介電層242上,以填入閘極溝槽236。此外,如圖18、26A、及26B所示,步驟128'進行後續製程以完成製作裝置200。舉例來說,可形成其他多層內連線結構如源極/汲極接點250、通孔260、金屬線路(未圖示)、以及層間介電層264及/或蝕刻停止層(未圖示)於裝置200上,其設置以連接多種結構而形成含有不同半導體裝置的功能電路。
本發明的一或多個實施例可提供許多優點至半導體裝置與其形成製程,但不侷限於此。舉例來說,本發明實施例提供閘極介電層後的製程方法以形成半導體裝置,其以原位製程形成蓋層,比如在相同的製程工具中形成高介電常數的蓋層與矽蓋層。因此可緩解或甚至避免氧化高介電常數的蓋層,並減少蓋層厚度。後續移除蓋層的製程可包含僅濕式蝕刻製程。在移除蓋層的製程時省略乾蝕刻,可保護高介電常數的閘極介電層免於損傷。因此可增加高介電常數的閘極介電層的有效氧化物厚度尺寸、緩解閘極漏電流的問題、並改善裝置之時間相關的介電崩潰。此外,原位形成蓋層與之後的僅濕式蝕刻製程,可減少製作成本。
本發明提供許多不同實施例。例示性的半導體裝置的形成方法包括形成半導體鰭狀物於基板上;形成多個間隔物於半導體鰭狀物上,其中間隔物形成溝槽於半導體鰭狀物上;沉積高介電常數的介電層於溝槽中;在製程工具中形成蓋層於高介電常數的介電層上;對蓋層進行退火製程;移除蓋層以露出高介電常數的介電層;以及形成金屬閘極於高介電常數的介電層上。
在一些實施例中,形成蓋層於高介電常數的介電層上的步驟包括:在製程工具中沉積高介電常數的蓋材料於高介電常數的介電層上;以及將製程氣體通入製程工具的高介電常數的蓋材料的上表面上,以形成蓋層。在一些實施例中,高介電常數的蓋材料包括氮化鈦、氮化鉭、或氮化。在一些實施例中,製程氣體為矽烷,其壓力為約4 torr至6 torr,流速為約300 sccm至500 sccm,並歷時約100秒至200秒。在一些實施例中,蓋層與高介電常數的介電層之厚度比例為約1.3至約2.3。在一些實施例中,移除蓋層的步驟包括僅濕式蝕刻製程。在一些實施例中,對蓋層進行退火製程的步驟包括對蓋層進行峰值退火製程,其溫度為約850℃至約950℃。在一些實施例中,方法更包括在移除蓋層之後對高介電常數的介電層進行另一退火製程。
另一例示性的半導體裝置的形成方法包括形成半導體鰭狀物於基板上;形成多個間隔物於半導體鰭狀物上,其中間隔物形成溝槽於半導體鰭狀物上;形成界面層於溝槽中;沉積高介電常數的介電層於界面層上;對高介電常數的介電層進行退火製程;在製程工具中形成蓋層於該高介電常數的介電層上;對蓋層進行另一退火製程;移除蓋層以露出高介電常數的介電層;以及形成金屬閘極於高介電常數的介電層上。
在一些實施例中,方法更包括在沉積高介電常數的介電層之前,對界面層進行又一退火製程。在一些實施方式中,對界面層進行又一退火製程的步驟的環境包括氮氣、氫氣、與氨氣中至少一者。在一些例子中,形成間隔物於半導體鰭狀物上的步驟包括:形成虛置閘極結構於半導體鰭狀物上;沿著虛置閘極結構的側壁形成間隔物;以及移除虛置閘極結構以形成溝槽於半導體鰭狀物上。在一些實施例中,形成蓋層於高介電常數的介電層上的步驟包括:在製程工具中沉積高介電常數的蓋材料於高介電常數的介電層上;以及將製程氣體通入製程工具中的高介電常數的蓋材料的頂部,以形成蓋層。在一些例子中,蓋層的厚度為約2 nm至約3.5 nm。在一些實施例中,移除蓋層的步驟包括選擇性的僅濕式蝕刻製程,其中選擇性的僅濕式蝕刻製程包括採用第一蝕刻劑且歷時約30秒至40秒的蝕刻步驟,以及採用第二蝕刻劑且歷時約120秒至210秒的蝕刻步驟。在一些實施例中,第一蝕刻劑為稀釋氫氟酸,而第二蝕刻劑為氫氧化銨、過氧化氫、或水。
另一例示性的半導體裝置的形成方法包括:形成半導體鰭狀物於基板上;形成多個間隔物於半導體鰭狀物上,其中間隔物形成溝槽於半導體鰭狀物上;沉積高介電常數的介電層於溝槽中;沉積高介電常數的蓋材料於高介電常數的介電層上;將製程氣體通入高介電常數的蓋材料的上表面上,以形成蓋層;對蓋層進行退火製程;移除蓋層以露出高介電常數的介電層;以及形成金屬閘極於高介電常數的介電層上。
在一些實施例中,沉積高介電常數的蓋材料的步驟為原子層沉積製程。在 一些實施方式中,方法更包括對高介電常數的介電層進行另一退火製程。在一些實施例中,沉積高介電常數的蓋材料於高介電常數的介電層上的步驟,以及將製程氣體通入高介電常數的蓋材料之上表面上的步驟,係進行於相同工具中。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A',B-B':剖線 T1,T2:厚度 102,104,106,108,110,112,114,114',116,116',118,118',120,120',122,122',124,124',126,126',128':步驟 200:裝置 202:基板 202N:n型場效電晶體區 202P:p型場效電晶體區 204:隔離結構 210:半導體鰭狀物 210D:汲極區 210G:通道區 210S:源極區 212:虛置介電層 220:虛置閘極結構 222:閘極間隔物 226:源極/汲極溝槽 230:源極/汲極結構 234,264:層間介電層 236:閘極溝槽 240:閘極界面層 242:閘極介電層 244:蓋層 246:閘極 250:源極/汲極接點 260:通孔
圖1、10、及18係本發明一些實施例中,製造半導體裝置的方法之流程圖。 圖2係本發明一些實施例中,半導體裝置的三維透視圖。 圖3A至9A、11A至17A、及19A至26A係本發明一些實施例中,半導體裝置在方法的中間階段沿著圖2中的剖線A-A'的剖視圖。 圖3B至9B、11B至17B、及19B至26B係本發明一些實施例中,半導體裝置在方法的中間階段沿著圖2中的剖線B-B'的剖視圖。
100:方法
114,116,118,120,122,124,126:步驟

Claims (10)

  1. 一種半導體裝置的形成方法,包括:形成一半導體鰭狀物於一基板上;形成多個間隔物於該半導體鰭狀物上,其中該些間隔物形成一溝槽於該半導體鰭狀物上;沉積一高介電常數的介電層於該溝槽中;在一製程工具中形成一蓋層於該高介電常數的介電層上;對該蓋層進行一退火製程;移除該蓋層以露出該高介電常數的介電層;以及形成一金屬閘極於該高介電常數的介電層上,其中該金屬閘極相鄰於該高介電常數的介電層。
  2. 如請求項1之半導體裝置的形成方法,其中形成該蓋層於該高介電常數的介電層上的步驟包括:在該製程工具中沉積一高介電常數的蓋材料於該高介電常數的介電層上;以及將一製程氣體通入該製程工具的該高介電常數的蓋材料的上表面上,以形成該蓋層。
  3. 如請求項1或2之半導體裝置的形成方法,其中移除該蓋層的步驟包括一僅濕式蝕刻製程。
  4. 如請求項1或2之半導體裝置的形成方法,更包括在移除該蓋層之後對該高介電常數的介電層進行另一退火製程。
  5. 一種半導體裝置的形成方法,包括: 形成一半導體鰭狀物於一基板上;形成多個間隔物於該半導體鰭狀物上,其中該些間隔物形成一溝槽於該半導體鰭狀物上;形成一界面層於該溝槽中;沉積一高介電常數的介電層於該界面層上;對該高介電常數的介電層進行一退火製程;在一製程工具中形成一蓋層於該高介電常數的介電層上;對該蓋層進行另一退火製程;移除該蓋層以露出該高介電常數的介電層;以及形成一金屬閘極於該高介電常數的介電層上,其中該金屬閘極相鄰於該高介電常數的介電層。
  6. 如請求項5之半導體裝置的形成方法,更包括在沉積該高介電常數的介電層之前,對該界面層進行又一退火製程。
  7. 如請求項5或6之半導體裝置的形成方法,其中形成該蓋層於該高介電常數的介電層上的步驟包括:在該製程工具中沉積一高介電常數的蓋材料於該高介電常數的介電層上;以及將一製程氣體通入該製程工具中的該高介電常數的蓋材料的頂部,以形成該蓋層。
  8. 一種半導體裝置的形成方法,包括:形成一半導體鰭狀物於一基板上;形成多個間隔物於該半導體鰭狀物上,其中該些間隔物形成一溝槽於該半導 體鰭狀物上;沉積一高介電常數的介電層於該溝槽中;沉積一高介電常數的蓋材料於該高介電常數的介電層上;將一製程氣體通入該高介電常數的蓋材料的上表面上,以形成一蓋層;對該蓋層進行一退火製程;移除該蓋層以露出該高介電常數的介電層;以及形成一金屬閘極於該高介電常數的介電層上,其中該金屬閘極相鄰於該高介電常數的介電層。
  9. 如請求項8之半導體裝置的形成方法,更包括對該高介電常數的介電層進行另一退火製程。
  10. 如請求項8或9之半導體裝置的形成方法,其中沉積該高介電常數的蓋材料於該高介電常數的介電層上的步驟,以及將該製程氣體通入該高介電常數的蓋材料之上表面上的步驟,係進行於一相同工具中。
TW110126777A 2020-09-30 2021-07-21 半導體裝置的形成方法 TWI817165B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/038,309 2020-09-30
US17/038,309 US11735484B2 (en) 2020-09-30 2020-09-30 Post gate dielectric processing for semiconductor device fabrication

Publications (2)

Publication Number Publication Date
TW202215505A TW202215505A (zh) 2022-04-16
TWI817165B true TWI817165B (zh) 2023-10-01

Family

ID=80134270

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126777A TWI817165B (zh) 2020-09-30 2021-07-21 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US11735484B2 (zh)
CN (1) CN114038802A (zh)
TW (1) TWI817165B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190165113A1 (en) * 2017-11-30 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
US20190273149A1 (en) * 2018-03-01 2019-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Wet process assisted approach for selective barrier metal patterning on high-k metal gate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
KR102553260B1 (ko) * 2016-08-03 2023-07-07 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10008386B2 (en) * 2016-09-12 2018-06-26 International Business Machines Corporation Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190165113A1 (en) * 2017-11-30 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
US20190273149A1 (en) * 2018-03-01 2019-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Wet process assisted approach for selective barrier metal patterning on high-k metal gate

Also Published As

Publication number Publication date
US20220102221A1 (en) 2022-03-31
US20230411220A1 (en) 2023-12-21
TW202215505A (zh) 2022-04-16
US11735484B2 (en) 2023-08-22
CN114038802A (zh) 2022-02-11

Similar Documents

Publication Publication Date Title
TWI749102B (zh) 鰭狀場效電晶體與其形成方法
US10672796B2 (en) Mechanisms for forming FINFET device
TW202036907A (zh) 半導體裝置
TW202118058A (zh) 半導體裝置
CN111261521B (zh) 半导体器件及其形成方法
TW202113945A (zh) 半導體裝置的形成方法
TW201916257A (zh) 半導體裝置與其形成方法
TW202125828A (zh) 半導體裝置
TW202127662A (zh) 半導體裝置
TW202011518A (zh) 半導體裝置的形成方法
TW202008433A (zh) 半導體裝置的形成方法
TW202129910A (zh) 積體電路裝置
TW202010050A (zh) 積體電路裝置的形成方法
TW202117858A (zh) 半導體裝置的形成方法
TWI816801B (zh) 半導體裝置與其形成方法
TW202213468A (zh) 半導體裝置的形成方法
TW202209414A (zh) 半導體裝置
TWI822111B (zh) 半導體裝置與其形成方法
TWI832158B (zh) 半導體結構與其形成方法
TWI817165B (zh) 半導體裝置的形成方法
US20220336585A1 (en) Semiconductor devices having parasitic channel structures
TWI783350B (zh) 半導體結構與其形成方法
TW202217979A (zh) 半導體裝置
TW202213783A (zh) 半導體裝置
CN113161353A (zh) 半导体装置