TW202010050A - 積體電路裝置的形成方法 - Google Patents

積體電路裝置的形成方法 Download PDF

Info

Publication number
TW202010050A
TW202010050A TW108128178A TW108128178A TW202010050A TW 202010050 A TW202010050 A TW 202010050A TW 108128178 A TW108128178 A TW 108128178A TW 108128178 A TW108128178 A TW 108128178A TW 202010050 A TW202010050 A TW 202010050A
Authority
TW
Taiwan
Prior art keywords
fin
silicon
layer
gate
field effect
Prior art date
Application number
TW108128178A
Other languages
English (en)
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202010050A publication Critical patent/TW202010050A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0688Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

此處揭露具有高遷移率的應變通道的鰭狀場效電晶體與其製作方法。例示性的方法包括形成第一矽鰭狀物於第一型鰭狀場效電晶體裝置區中,並形成第二矽鰭狀物於第二型鰭狀場效電晶體裝置區中。形成多個第一磊晶的源極/汲極結構於第一矽鰭狀物的多個第一源極/汲極區上,並形成多個第二磊晶的源極/汲極結構於第二矽鰭狀物的多個第二源極/汲極區上。進行閘極置換製程,以形成閘極結構於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上。在閘極置換製程時,形成矽鍺通道蓋層於第一矽鰭狀物的第一通道區上,其中形成矽鍺通道蓋層時以遮罩層覆蓋第二矽鰭狀物的第二通道區。

Description

積體電路裝置的形成方法
本發明實施例一般關於積體電路裝置,更特別關於鰭狀場效電晶體裝置。
積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(單位晶片面積具有的內連線裝置數目)通常隨著幾何尺寸(採用製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能與降低相關成本。
尺寸縮小亦增加處理與形成積體電路的複雜性。為實現這些進展,處理與形成積體電路的方法亦需類似發展。舉例來說,隨著鰭狀場效電晶體(FinFET)技術朝更小的結構尺寸發展,已探索了各種通道材料及/或通道材料的設置,以得鰭狀場效電晶體所用的高遷移率應變通道。已觀察到在形成高遷移率的應變通道之後進行製程,可能負面地影響此通道材料及/或設置所達的應變及/或所需特性。綜上所述,需改善相關製程。
本發明一實施例提供之積體電路裝置的形成方法,包括:形成第一矽鰭狀物於第一型鰭狀場效電晶體裝置區中,並形成第二矽鰭狀物於第二型鰭狀場效電晶體裝置區中;形成多個第一磊晶的源極/汲極結構於第一矽鰭狀物的多個第一源極/汲極區上,並形成多個第二磊晶的源極/汲極結構於第二矽鰭狀物的多個第二源極/汲極區上;以及進行閘極置換製程,以形成閘極結構於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上,使閘極結構位於第一矽鰭狀物的第一源極/汲極區與第二矽鰭狀物的第二源極/汲極區之間,其中閘極置換製程包括:在形成第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構之前,形成虛置閘極於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上;在形成第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構之後,移除虛置閘極以形成開口,且開口露出第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區;形成矽鍺通道蓋層於第一矽鰭狀物的第一通道區上,其中形成矽鍺通道蓋層時以遮罩層覆蓋第二矽鰭狀物的第二通道區;以及形成金屬閘極於開口中,其中金屬閘極位於矽鍺通道蓋層與第二矽鰭狀物上。
本發明一實施例提供之積體電路裝置的形成方法,包括:形成第一矽鰭狀物於第一型鰭狀場效電晶體區中,並形成第二矽鰭狀物於第二型鰭狀場效電晶體區中;形成具有虛置閘極的閘極結構於該第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上;移除虛置閘極以形成開口,其露出第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區;形成阻擋層以部份地填入開口並覆蓋第二矽鰭狀物的第二通道區;形成矽鍺通道蓋層於第一矽鰭狀物的第一通道區上;自開口移除阻擋層;以及形成金屬閘極於矽鍺通道蓋層及第二矽鰭狀物上的開口中。
本發明一實施例提供之積體電路裝置,包括:第一矽鰭狀物,位於第一型鰭狀場效電晶體裝置區中;第二矽鰭狀物,位於第二型鰭狀場效電晶體裝置區中;閘極結構,位於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上,其中第一通道區位於第一矽鰭狀物的第一源極/汲極區之間,且第二通道區位於第二矽鰭狀物的第二源極/汲極區之間;以及通道蓋層,位於閘極結構與第一矽鰭狀物的第一通道區之間,其中通道蓋層包括矽與鍺。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接或物理接觸,或兩者之間隔有其他額外構件而非直接接觸。
此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。
鰭狀場效電晶體(亦稱作非平面電晶體),已成為高效能與低漏電流應用的廣泛及有力選擇。已發現鰭狀場效電晶體可增加裝置電流,且占據的積體電路晶片面積明顯較少。隨著鰭狀場效電晶體技術朝更小的積體電路技術節點進展,可實施更窄或更薄的鰭狀物寬度以減少短通道效應,進而改善鰭狀場效電晶體效能(例如降低開啟電流與關閉電流的比例(Ion /Ioff )及/或汲極誘發能障)。然而較窄的鰭狀物寬度在用於互補式鰭狀場效電晶體裝置時面臨挑戰,而互補式鰭狀場效電晶體裝置通常包含不同操作電壓如臨界電壓的p型鰭狀場效電晶體與n型鰭狀場效電晶體。舉例來說,由於較窄的鰭狀物對一般導入鰭狀物通道以改變臨界電壓的摻質之敏感性較低,進階積體電路技術節點中縮減的鰭狀物寬度會限制可得的臨界電壓範圍。在另一例中,需要較高摻雜濃度以得較高臨界電壓的鰭狀場效電晶體。在一些實施方式中,上述較高摻雜濃度比習知平面裝置所用的摻雜濃度高約3倍至約10倍。然而較高摻雜濃度明顯劣化電晶體的不匹配效能(例如電晶體相對於電晶體面積的平方根之匹配程度(比如約略相同的兩個相鄰電晶體之間的臨界電壓差異之標準差)),這會增加鰭狀場效電晶體的變異,並限制記憶體陣列(如靜態隨機存取記憶體陣列)所需的最小供電電壓。
因此鰭狀場效電晶體製程調整為製作具有不同功函數的閘極以達不同的臨界電壓,比如p型鰭狀場效電晶體的閘極與n型鰭狀場效電晶體的閘極不同。然而上述方法在鰭狀場效電晶體技術持續縮小鰭狀場效電晶體尺寸的情況下亦面臨限制。舉例來說,縮減鰭狀物間距及閘極長度可限制閘極中可包含的層狀物數目及/或厚度,以達不同功函數並導入製程障礙,比如因為小空間中的層狀物合併(稱作金屬合併)而無法自特定閘極移除層狀物。綜上所述,已研究多種通道材料及/或通道材料的設置以得高遷移率的應變通道,可用於改善鰭狀場效電晶體效能如開關電流比(Ion /Ioff )。舉例來說,矽鍺、鍺、及/或其他III-V族材料可實施在p型鰭狀場效電晶體通道中,以增進通道中的應變並進一步擴大臨界電壓的調整範圍,使相同功函數材料得以實施在n型鰭狀場效電晶體與p型鰭狀場效電晶體的閘極中,且仍符合臨界電壓的需求。在這些鰭狀場效電晶體設置中,p型鰭狀場效電晶體可包含矽鍺及/或鍺通道材料,而n型鰭狀場效電晶體可包含矽通道材料,其中含有通道材料的p型鰭狀場效電晶體與n型鰭狀場效電晶體的鰭狀物製作於鰭狀場效電晶體的製程開端。然而已觀察到後續製程會劣化矽鍺及/或鍺通道材料所達到的好處。舉例來說,已觀察到活化鰭狀場效電晶體的源極/汲極區之摻質的製程(例如輕摻雜源極與汲極區、重摻雜源極與汲極區、及/或磊晶的源極/汲極區之活化退火製程),會改變矽鍺及/或鍺通道材料的材料性質及/或特性,及/或鬆弛矽鍺及/或鍺通道材料所提供的應力。在一些例子中,較高溫會造成鍺自鰭狀物的通道區向外擴散。因此將熱預算導入矽鍺及/或鍺通道材料的後續製程中,以限制鍺向外擴散及/或應力鬆弛,進而最小化鰭狀場效電晶體的不穩定及/或缺陷產生。綜上所述,需要新的方法以利調整臨界電壓,其不受先進積體電路技術節點中鰭狀場效電晶體持續縮小的影響。
本發明實施例可解決鰭狀場效電晶體的挑戰。此處揭露的實施例提供互補式鰭狀場效電晶體裝置,其包括具有矽鰭狀物的n型鰭狀場效電晶體,具有矽鰭狀物的p型鰭狀場效電晶體,以及位於n型鰭狀場效電晶體之矽鰭狀的通道區與p型鰭狀場效電晶體之矽鰭狀物的通道區上的閘極結構。p型鰭狀場效電晶體更包括矽鍺通道蓋層(或其他III-V族材料的通道蓋層,其可達到高遷移率的應變通道)以包覆矽鰭狀物的通道區,使矽鍺通道蓋層位於閘極結構與矽鰭狀物的通道區之間。在閘極置換製程時形成矽鍺通道蓋層,可製作具有高遷移率的應變通道材料之p型鰭狀場效電晶體,且製作方式可最小化後續製程對通道材料的劣化效應。舉例來說,製作積體電路裝置的部份(例如鰭狀場效電晶體的輸入/輸出區)及/或其他鰭狀場效電晶體及/或積體電路裝置結構的輕摻雜源極與汲極區、高摻雜汲極區、磊晶源極/汲極結構、較厚的閘極介電層所用的熱製程及/或退火製程並未施加至矽鍺通道蓋層,進而避免或最小化鍺(或其他III-V族物種)向外擴散及/或應力鬆弛。本發明實施例提議的製作方法亦可最小化矽鍺通道蓋層暴露至熱製程的次數,可減少電晶體不匹配(例如電晶體相對於電晶體面積的平方根之匹配程度(比如約略相同的兩個相鄰電晶體之間的臨界電壓差異之標準差))及/或最小化記憶體所用的電壓。因此此處所述的互補式鰭狀場效電晶體具有p型鰭狀場效電晶體,其高遷移率的應變通道有助於調整p型鰭狀場效電晶體與n型鰭狀場效電晶體的臨界電壓,而不依賴個別閘極的層厚及/或層數。在一些實施方式中,由於p型鰭狀場效電晶體包括矽鍺通道蓋層,p型鰭狀場效電晶體與n型鰭狀場效電晶體的閘極可包含相同的功函數層及/或材料,且其臨界電壓仍有助於最佳效能。不同實施例可具有不同優點,且任何實施例不必具有特定優點。
圖1係本發明多種實施例中,用於製作積體電路裝置的方法10之流程圖。方法10的步驟15包含形成第一矽鰭狀物於第一型鰭狀場效電晶體裝置區中,並形成第二矽鰭狀物於第二型鰭狀場效電晶體區中。方法10的步驟20形成具有虛置閘極的閘極結構於第一矽鰭狀物的第一通道區上及第二矽鰭狀物的第二通道區上。在一些實施方式中,在形成閘極結構之前,形成隔離結構以圍繞第一矽鰭狀物與第二矽鰭狀物的一部份,可定義第一矽鰭狀物與第二矽鰭狀物的下側鰭狀物主動區與上側鰭狀物主動區。在這些實施方式中,閘極結構形成於第一矽鰭狀物與第二矽鰭狀物的上側鰭狀物主動區上。方法10的步驟25形成第一磊晶的源極/汲極結構於第一矽鰭狀物的第一源極/汲極區上,並形成第二磊晶的源極/汲極結構於第二矽鰭狀物的第二源極/汲極區上。在一些實施方式中,第一磊晶的源極/汲極結構包括矽與鍺,而第二磊晶的源極/汲極結構包括矽。方法10的步驟30形成層間介電層於第一磊晶的源極/汲極結構、第二磊晶的源極/汲極結構、與閘極結構上。方法10的步驟35移除虛置閘極以形成開口,其露出第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區。方法10的步驟40形成阻擋層,其部份地填入開口並覆蓋第二矽鰭狀物的第二通道區。在一些實施方式中,阻擋層包括介電材料。方法10的步驟45形成矽鍺通道蓋層於第一矽鰭狀物的第一通道區上。在一些實施方式中,進行選擇性沉積製程以選擇性沉積含矽與鍺的材料於第一矽鰭狀物上。在一些實施方式中,矽鍺通道蓋層的含矽與鍺的材料中的鍺濃度,小於第一磊晶的源極/汲極結構中的鍺濃度。方法10的步驟50移除阻擋層,比如以選擇性蝕刻製程移除。方法10的步驟55形成金屬閘極於第二矽鰭狀物與矽鍺通道蓋層上的開口中。在一些實施方式中,金屬閘極包括高介電常數的閘極介電層與金屬閘極。方法10的步驟60可完成製作。舉例來說,可形成多種接點至第一金屬閘極、第二金屬閘極、第一磊晶的源極/汲極結構、及/或第二磊晶的源極/汲極結構。在方法10之前、之中、或之後可提供額外步驟,且方法10的額外實施例可調換、取代、或省略一些所述步驟。
圖2A至11A係本發明多種實施例中,鰭狀場效電晶體裝置100於方法(如圖1的方法10)之多種製作階段的部份或全部上視圖。圖2B至11B係本發明多種實施例中,鰭狀場效電晶體裝置100沿著圖2A至11A的剖線B-B之部份剖視圖。圖6C、圖7C、與圖11C係本發明多種實施例中,鰭狀場效電晶體裝置100分別對應圖6A、圖7A、與圖11A的剖線C-C的部份剖視圖。圖11D係本發明多種實施例中,鰭狀場效電晶體裝置100沿著圖11A的剖線D-D的部份剖視圖。鰭狀場效電晶體裝置100包括多種裝置區,比如設置為包含p型鰭狀場效電晶體的p型鰭狀場效電晶體區102A,以及設置為包含n型鰭狀場效電晶體的n型鰭狀場效電晶體區,因此鰭狀場效電晶體裝置100包括互補式的鰭狀場效電晶體。p型鰭狀場效電晶體區102A及/或n型鰭狀場效電晶體區102B可為核心區(通常稱作邏輯區)、記憶體區(比如靜態隨機存取記憶體區)、類比區、周邊區(通常稱作輸入/輸出區)、虛置區、其他合適區、或上述之組合的一部份。在所述實施例中,p型鰭狀場效電晶體區102A與n型鰭狀場效電晶體區102B為鰭狀場效電晶體裝置100的核心區之一部份,其可進一步包含多種被動與主動微電子裝置如電阻、電容、電感、二極體、金氧半場效電晶體、互補式金氧半電晶體、雙極性接面電晶體、橫向擴散金氧半電晶體、高電壓電晶體、高頻電晶體、其他合適構件、或上述之組合。在一些實施方式中,鰭狀場效電晶體裝置100可為積體電路晶片、單晶片系統、或上述之部份。圖2A至11A、圖2B至11B、圖6C、圖7C、圖11C、與圖11D已簡化,以利清楚理解本發明實施例概念。鰭狀場效電晶體裝置100中可添加額外結構,且鰭狀場效電晶體裝置100的其他實施例可置換、調整、或省略一些下述結構。
如圖2A與2B所示,採用圖案化層120 (其可包括墊層122與遮罩層124)圖案化基板(晶圓) 110 (其可包括摻雜區112與摻雜區114),以形成鰭狀結構130A (具有鰭狀物132A)於p型的鰭狀場效電晶體區102A中,並形成鰭狀結構130B (具有鰭狀物132B)於n型的鰭狀場效電晶體區102B中。在所述實施例中,基板110為基體半導體基板,其包含矽。在其他或額外實施例中,基體基板包含另一半導體元素如鍺、半導體化合物(如碳化矽、磷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、氧化鋅、硒化鋅、硫化鋅、碲化鋅、硒化鎘、硫化鎘、及/或碲化鎘)、半導體合金(如矽鍺、碳磷化矽、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、其他III-V族材料、其他II-VI族材料、或上述之組合。在其他實施例中,基板110為絕緣層上半導體基板,比如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。絕緣層上半導體基板的製作方法可採用分離佈植氧、晶圓接合、及/或其他合適方法。基板110依據鰭狀場效電晶體裝置100的設計需求,設置為包含多種摻雜區如摻雜區112與114。在一些實施方式中,基板110包含摻雜p型摻質如硼(例如二氟化硼)、銦、其他p型摻質、或上述之組合的p型摻雜區(比如p型井)。在一些實施例中,基板110包含摻雜n型摻質如磷、砷、其他n型摻質、或上述之組合的n型摻雜區(比如n型井)。在一些實施方式中,基板110包含p型摻質與n型摻質之組合的摻雜區。在所述實施例中,摻雜區112設置為用於p型鰭狀場效電晶體,而摻雜區114設置為用於n型鰭狀場效電晶體。舉例來說,摻雜區112可為n型井,而摻雜區114可為p型井。舉例來說,多種摻雜區可直接形成於基板110之上及/或之中,以提供p型井結構、n型井結構、雙重井結構、隆起結構、或上述之組合。可進行離子佈植製程、擴散製程、及/或其他合適的摻雜製程,以形成多種摻雜區。
圖案化層120包含的材料不同於基板110的材料,以在蝕刻製程中具有蝕刻選擇性,因此在選擇性蝕刻基板110時可最小化地(或不)蝕刻圖案化層120。在所述實施例中,圖案化層120包含墊層122與遮罩層124,其中墊層122位於基板110上,而遮罩層124位於墊層122上。在一些實施方式中,墊層122包含矽與氧(例如氧化矽),遮罩層124包含矽與氮(例如氮化矽或氮氧化矽)。在一些實施方式中,墊層122為熱氧化及/或其他合適製程所形成的氧化矽層,而遮罩層124為化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、熱氮化(如矽的熱氮化)、其他合適製程、或上述之組合所形成的氮化矽層。在一些實施方式中,墊層122包括的材料可促進基板110與遮罩層124之間的黏著性,及/或作為蝕刻遮罩層124時的蝕刻停止層。本發明實施例可實施形成墊層122及/或遮罩層124所用的其他材料及/或方法,以及圖案化層120的其他設置。
鰭狀結構130A與鰭狀結構130B可包含比圖2A與2B所示的鰭狀物更多或更少的鰭狀物。鰭狀物132A的方向實質上彼此平行,而鰭狀物132B的方向實質上彼此平行。鰭狀物132A與132B各自具有定義於x方向中的寬度、定義於y方向中的長度、與定義於z方向中的高度。此外,鰭狀物132A與132B各自具有沿著y方向中的長度定義之至少一通道區C與至少一源極/汲極區S/D,其中至少一通道區C位於源極/汲極區S/D之間。通道區包括鰭狀物132A與132B的頂部(定義於鰭狀物132A與132B的側壁部份之間),其中頂部與側壁部份接合閘極結構(如下述),因此在操作鰭狀場效電晶體裝置100時,電流可在源極/汲極區之間流動。源極/汲極區亦可包含鰭狀物132A與132B的頂部,其定義於鰭狀物132A與132B的側壁部份之間。在一些實施方式中,鰭狀物132A與132B為基板110的一部份(比如基板110的材料層之一部份)。舉例來說,所述實施例的基板110包含矽時,鰭狀物132A與132B包含矽。在其他實施例中,一些實施方式的鰭狀物132A與132B定義於基板110上的材料層(如一或多個半導體材料層)中。舉例來說,鰭狀物132A與132B可包含基板110上的半導體層堆疊,其具有多種半導體層(如異質結構)。半導體層可包含任何合適的半導體材料,比如矽、鍺、矽鍺、其他合適的半導體材料、或上述之組合。半導體層可包含相同或不同的材料、蝕刻速率、組成原子%、組成重量%、厚度、及/或設置,端視鰭狀場效電晶體裝置100的設計需求而定。在一些實施方式中,半導體層堆疊包括交錯的半導體層,比如第一材料組成的半導體層與第二材料組成的半導體層。舉例來說,半導體層堆疊包括交錯的矽層與矽鍺層,比如由低至高的Si/SiGe/Si。在一些實施方式中,半導體層堆疊包括相同材料的半導體層,但具有交錯的組成原子%,比如具有第一原子%的組成的半導體層,以及具有第二原子%的組成的半導體層。舉例來說,半導體層堆疊包括矽鍺層(具有交錯的矽及/或鍺的原子%,比如由低至高的Sia Geb /Sic Ged /Sia Geb ,其中a與c為不同的矽原子%,而b與d為不同的鍺原子%)。在一些實施方式中,鰭狀物132A與132B包括相同或不同材料,及/或相同或不同的半導體層堆疊,端視個別鰭狀場效電晶體及/或鰭狀場效電晶體裝置100的區域之設計需求而定。在所述實施例中,溝槽定義於相鄰的鰭狀物132A之間、相鄰的鰭狀物132B之間、與相鄰的鰭狀結構之間(此處為鰭狀結構130A的鰭狀物132A之一者與鰭狀結構130B的鰭狀物132B之一者之間)。溝槽134具有鰭狀物132A與132B及/或圖案化層120的側壁所定義的側壁,以及基板110的上表面所定義的底部。
鰭狀物132A與132B形成於基板110上的方法可採用任何合適製程。在一些實施方式中,進行沉積、微影、及/或蝕刻製程的組合,以定義自基板110延伸的鰭狀物132A與132B,如圖2A與2B所示。舉例來說,形成鰭狀物132A與132B的方法包括形成墊層122與遮罩層124於基板110上、採用微影製程形成圖案化光阻層於遮罩層124上、採用圖案化光阻層作為蝕刻遮罩並蝕刻墊層122與遮罩層124、以及移除圖案化的光阻層(例如光阻剝除製程),保留具有開口的圖案化層120,且開口露出基板110。微影製程可包含形成光阻層(例如旋轉塗佈)、進行曝光前烘烤製程、採用光罩進行曝光製程、進行曝光後烘烤製程、以及進行顯影製程。在曝光製程時,以射線能量(如紫外線、深紫外線、或極紫外線)曝光光阻層,而光罩可阻擋、穿透、及/或反射射線至光阻層,端視光罩圖案及/或光罩種類(例如雙強度光罩、相移光罩、或極紫外線光罩)而定,使對應光罩圖案的影像可投射至光阻層上。由於光阻層對射線能量敏感,光阻層的曝光部份將產生化學變化,且光阻層的曝光部份(或未曝光部份)可在顯影製程時溶解,端視顯影製程所用的顯影溶液特性與光阻層的特性而定。在顯影後,圖案化的光阻層包括對應光罩的光阻圖案。接著在移除下方層(如犧牲層或芯材層)的部份之蝕刻製程時,可採用圖案化的光阻層作為蝕刻遮罩。蝕刻製程可包括乾蝕刻製程(例如反應性離子蝕刻製程)、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在蝕刻製程之前或之後可移除圖案化的光阻層。在一些實施方式中,曝光製程可實施無光罩微影、電子束寫入、離子束寫入、及/或奈米壓印技術。
在其他或額外實施例中,以多重圖案化製程如雙重圖案化製程(比如微影-蝕刻-微影-蝕刻製程、自對準雙重圖案化製程、間隔物為介電圖案化製程、其他雙重圖案化製程、或上述之組合)、三重圖案化製程(比如微影-蝕刻-微影-蝕刻-微影-蝕刻製程、自對準三重圖案化製程、其他三重圖案化製程、或上述之組合)、其他多重圖案化製程(比如自對準四重圖案化製程)、或上述之組合,形成鰭狀物132A與132B。一般而言,雙重圖案化製程及/或多重圖案化製程結合微影製程與自對準製程,其產生的圖案間距小於採用單一直接的微影製程所得之圖案間距。舉例來說,一些實施方式中的芯層作為移除遮罩層124與墊層122的部份以形成圖案化層120時的蝕刻遮罩,其中芯層的形成方法採用間隔物圖案化技術。舉例來說,形成芯層的方法包括採用微影製程形成圖案化的犧牲層(其包括具有第一間距的犧牲結構)於遮罩層124上;形成間隔物層於圖案化的犧牲層上;蝕刻間隔物層以沿著每一犧牲結構的側壁形成間隔物(舉例來說,自犧牲結構的上表面與遮罩層124的上表面之一部份移除間隔物層);以及移除圖案化的犧牲層,並留下具有第二間距的間隔物(其可稱作圖案化的間隔物層,且包括露出遮罩層124的一部份之開口)。因此芯層與芯可分別稱作間隔物層與間隔物。在一些實施方式中,間隔物層順應性地形成於圖案化的犧牲層上,因此間隔物層具有實質上一致的厚度。在一些實施方式中,可在移除圖案化的犧牲層之前或之後修整間隔物。在一些實施方式中,形成鰭狀物132A與132B時可實施定向自組裝技術。
如圖3A與3B所示,隔離層140形成於鰭狀場效電晶體裝置100上。隔離層140填入溝槽134,並覆蓋基板110、圖案化層120、鰭狀物132A、與鰭狀物132B。接著圖案化隔離層140 (如下詳述),以形成電性隔離所用的隔離結構(如淺溝槽隔離結構)。因此隔離層140包含隔離材料如氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如含矽、氧、氮、碳、及/或其他合適的隔離組成)、或上述之組成。在所述實施例中,隔離層140包含氧化物材料如氧化矽,因此可稱作氧化物層。在一些實施方式中,隔離層140包含多層結構,比如襯墊介電層上的基體介電層,其中基體介電層與襯墊介電層包括的材料取決於設計需求。在一些實施方式中,隔離層140包含摻雜的襯墊層(例如硼矽酸鹽玻璃及/或磷矽酸鹽玻璃)上的介電層。隔離層140的沉積方法為化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適的沉積製程、或上述之組合。在一些實施方式中,隔離層140的沉積方法為可流動的化學氣相沉積製程,其包含沉積可流動的介電材料(在一些實施方式中為液態)於基板110上,並將可流動的介電材料轉換成固體材料(例如採用退火製程)。可流動的介電材料流入溝槽134,並順應性地形成於鰭狀場效電晶體裝置100的露出表面,可在填入溝槽134時不具有空洞。可在隔離層140上進行平坦化製程如化學機械研磨製程。在所述實施例中,圖案化層120 (特別是遮罩層124)作為化學機械研磨停止層,以進行平坦化製程直到露出與達到遮罩層124。平坦化製程移除圖案化層120之上表面上的隔離層140。在一些實施方式中,平坦化製程之後的隔離層140與圖案化層120的上表面實質上共平面。
如圖4A與4B所示,使隔離層140凹陷,因此鰭狀物132A與132B自相鄰的隔離結構140'之間延伸(凸起)。隔離結構140'使鰭狀場效電晶體裝置100的主動裝置區及/或被動裝置區(比如p型鰭狀場效電晶體區102A與n型鰭狀場效電晶體區102B)彼此電性隔離。舉例來說,隔離結構140'分開並電性隔離鰭狀結構130A與鰭狀結構130B。隔離結構140'亦使鰭狀物132A與132B彼此分開並電性隔離。隔離結構140'可設置為不同結構,比如淺溝槽隔離結構、深溝槽隔離結構、局部氧化矽結構、或上述之組合。在所述實施例中,隔離結構140'圍繞鰭狀物132A與132B的底部,以定義上側鰭狀主動區142U與下側鰭狀物主動區142L。上側鰭狀主動區142U亦可稱作通道區,且通常指的是鰭狀物132A與132B自隔離結構140'的上表面延伸的一部份。下側鰭狀主動區142L亦可稱作非通道區,且通常指的是隔離結構140'圍繞的鰭狀物132A與132B的一部份,其自基板110的上表面延伸至隔離結構140'的上表面。上側鰭狀物主動區142U的高度定義於隔離結構140'的上表面與鰭狀物132A及132B的上表面之間。下側鰭狀物主動區142L的高度定義於基板110的上表面與隔離結構140'的上表面之間。在一些實施方式中,上側鰭狀物主動區142U的高度介於約35nm至約90nm之間,而下側鰭狀物主動區142L的高度介於約30nm至約200nm之間。在一些實施方式中,上側鰭狀物主動區142U的寬度介於約1nm至約8nm之間,而下側鰭狀物主動區142L的寬度介於約5nm至約20nm之間。在一些實施方式中,回蝕刻製程使隔離層140凹陷,直到上側鰭狀物主動區142U達到所需(目標)高度。回蝕刻製程亦自鰭狀物132A與132B上移除圖案化層120 (此處為遮罩層124與墊層122)。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、或上述之組合。回蝕刻製程選擇性地蝕刻隔離層140與圖案化層120,而不蝕刻(或最小化地蝕刻)鰭狀物132A與132B。舉例來說,可調整整個回蝕刻製程的蝕刻化學劑,以選擇性蝕刻氧化矽及/或氮化矽而不蝕刻(或最小化地蝕刻)矽。
如圖5A與5B所示,多種閘極結構(如閘極結構150A、閘極結構150B、閘極結構150C、閘極結構150D、與閘極結構150E)形成於鰭狀物132A與132B上。閘極結構150A至150E沿著x方向(例如實質上垂直於鰭狀物132A與132B的方向)延伸並越過個別的鰭狀結構130A與130B,使閘極結構150A至150E包覆個別鰭狀物132A與132B的上側鰭狀物主動區142U。在所述實施例中,閘極結構150A位於鰭狀物132A與132B的通道區上。閘極結構150A包覆鰭狀物132A與132B的通道區,因此夾設於個別的鰭狀物132A與132B的源極/汲極區之間。閘極結構150A接合個別的鰭狀物132A與132B的通道區,以在操作時使電流能流過個別的鰭狀物132A與132B的源極/汲極區之間。閘極結構150B包覆鰭狀物132A的部份,使鰭狀物132A的源極/汲極區位於閘極結構150A與閘極結構150B之間。閘極結構150C包覆鰭狀物132A的部份,使鰭狀物132A的源極/汲極區位於閘極結構150C與閘極結構150A之間。閘極結構150D包覆鰭狀物132B的部份,使鰭狀物132B的源極/汲極區位於閘極結構150D與閘極結構150A之間。閘極結構150E包覆鰭狀物132B的部份,使鰭狀物132B的源極/汲極區位於閘極結構150E與閘極結構150A之間。在一些實施方式中,閘極結構150A為主動閘極結構,而閘極結構150B至150E為虛置閘極結構。主動閘極結構通常指的是鰭狀場效電晶體裝置100中具有電性功能的閘極結構,而虛置閘極結構通常指的是鰭狀場效電晶體裝置100中不具有電性功能的閘極結構。在一些實施方式中,虛置閘極結構模擬主動閘極結構的物理性質(比如主動閘極結構的物理尺寸)但無法操作。換言之,電流無法流過虛置閘極結構。在一些實施方式中,閘極結構150B至150E實現實質上一致的製程環境,比如實施一致的磊晶材料成長於鰭狀物132A與132B的源極/汲極區中(例如在形成磊晶的源極/汲極結構時)、實施一致的蝕刻速率於鰭狀物132A與132B的源極/汲極區中(例如在形成源極/汲極凹陷時)、及/或實施一致的實質上平坦表面(例如減少或避免化學機械研磨誘發的碟狀效應)。在一些實施方式中,閘極結構150B與150C更隔離p型鰭狀場效電晶體區102A中的p型鰭狀場效電晶體(其包括閘極結構150A)與鰭狀場效電晶體裝置100的其他裝置及/或結構。閘極結構150D與150E更隔離n型鰭狀場效電晶體區102B中的n型鰭狀場效電晶體(其包括閘極結構150A)與鰭狀場效電晶體裝置100的其他裝置及/或結構。
閘極結構150A至150E包括閘極堆疊,其依據鰭狀場效電晶體裝置100的設計需求設置以達所需功能,使閘極結構150A至150E包括相同或不同的層狀物及/或材料。閘極結構150A至150E的製作方法依據閘極後製製程,因此圖5A與5B中的閘極結構150A至150E具有虛置閘極152,其將置換為金屬閘極。舉例來說,虛置閘極152包括界面層(例如包含氧化矽)與虛置閘極(例如包含多晶矽)。在一些實施方式中,虛置閘極152包含虛置閘極介電層於虛置閘極與界面層之間。虛置閘極層包含介電材料如氧化矽、高介電常數的介電材料、其他合適的介電材料、或上述之組合。高介電常數的介電材料之例子包含氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁、其他合適的高介電常數的介電材料、或上述之組合。高介電常數的介電材料一般指的是具有高介電常數的介電材料,比如介電常數大於氧化矽的介電常數(約3.9)之介電材料。虛置閘極可包含多個其他層,例如蓋層、界面層、擴散層、阻障層、硬遮罩層、或上述之組合。虛置閘極152的形成方法可為沉積製程、微影製程、蝕刻製程、其他合適製程、或上述之組合。舉例來說,進行沉積製程以形成虛置閘極層於基板110、鰭狀物132A、鰭狀物132B、與隔離結構140’上。在一些實施例中,在形成虛置閘極層之前,進行沉積製程以形成虛置閘極介電層,而虛置閘極層形成於虛置閘極介電層上。沉積製程包括化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。接著進行微影圖案化與蝕刻製程,以圖案化虛置閘極層以形成虛置閘極152,使虛置閘極152包覆鰭狀物132A與132B如圖所示。在一些實施方式中,上述步驟亦圖案化虛置閘極介電層。微影圖案化製程包括塗佈光阻(例如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(例如硬烘烤)、其他合適製程、或上述之組合。在其他實施例中,可由其他方法(如無光罩微影、電子束寫入、或離子束寫入)輔助、實施、或取代微影曝光製程。在又一實施例中,微影圖案化製程實施奈米壓印技術。蝕刻製程包括乾蝕刻製程、濕蝕刻製程、其他蝕刻製程、或上述之組合。
閘極結構150A至150E更包括與虛置閘極152相鄰(例如沿著虛置閘極152的側壁)的個別閘極間隔物154。閘極間隔物154的形成方法可為任何合適製程,且可包含介電材料。介電材料可包含矽、氧、碳、氮、其他合適材料、或上述之組合,例如氧化矽、氮化矽、氮氧化矽、或碳化矽。舉例來說,所述實施例的介電層包含矽與氮(如氮化矽層),其可沉積於基板110與虛置閘極152上。接著非等向蝕刻介電層以形成閘極間隔物154。在一些實施方式中,閘極間隔物154包含多層結構,比如包含氮化矽的第一介電層與包含氧化矽的第二介電層。在一些實施方式中,閘極間隔物154包含超過一組間隔物,比如密封間隔物、補償間隔物、犧牲間隔物、虛置間隔物、及/或主要間隔物,其與閘極堆疊相鄰。在這些實施方式中,多種間隔物組可包括具有不同蝕刻速率的材料。舉例來說,可沉積包括矽與氧的第一介電層於基板110及虛置閘極152上,之後非等向蝕刻第一介電層以形成與閘極堆疊相鄰的第一間隔物組。可沉積包含矽與氮的第二介電層於基板110與虛置閘極152上,之後非等向蝕刻第二介電層以形成與第一間隔物組相鄰的第二間隔物組。在形成閘極間隔物154之前及/或之後,可進行佈植、擴散、及/或退火製程,以形成輕摻雜源極與汲極結構及/或重摻雜源極與汲極結構(均未圖示於圖5A與5B中)於鰭狀物132A與鰭狀物132B的源極/汲極區S/D中。
如圖6A至6C所示,源極結構與汲極結構(稱作源極/汲極結構)形成於鰭狀物132A與132B的源極/汲極區中。舉例來說,磊晶成長半導體材料於鰭狀物132A與132B上,以形成磊晶的源極/汲極結構160A於鰭狀物132A上,並形成磊晶的源極/汲極結構160B於鰭狀物132B上。在所述實施例中,在鰭狀物132A與132B的源極/汲極區上進行鰭狀物的凹陷製程(例如回蝕刻製程),使磊晶的源極/汲極結構160A與160B自鰭狀物132A與132B的下側鰭狀物主動區142L成長。在一些實施方式中,不對鰭狀物132A與132B的源極/汲極區進行鰭狀物的凹陷製程,因此磊晶的源極/汲極結構160A與160B自上側鰭狀物主動區142U的至少一部份成長,並包覆上側鰭狀物主動區142U的至少一部份。在所述實施例中,磊晶的源極/汲極結構160A與160B沿著x方向橫向延伸(成長),且在一些實施方式中實質上垂直於鰭狀物132A與132B。如此一來,磊晶的源極/汲極結構160A與160B為合併的磊晶的源極/汲極結構,其跨過超過一個鰭狀物。舉例來說,磊晶的源極/汲極結構160A跨過鰭狀物132A,而磊晶的源極/汲極結構160B跨過鰭狀物132B。在一些實施方式中,磊晶的源極/汲極結構160A與160B包含部份合併的部份(具有間隔或間隙於自相鄰的鰭狀物132A與132B成長的磊晶材料之間),及/或完全合併的部份(不具有間隔或間隙於自相鄰的鰭狀物132A與132B成長的磊晶材料之間)。
磊晶製程可實施化學氣相沉積技術(例如氣相磊晶、超高真空化學氣相沉積、低壓化學氣相沉積、及/或電漿增強化學氣相沉積)、分子束磊晶、其他合適的選擇性磊晶成長製程、或上述之組合。磊晶製程可採用氣態及/或液態的前驅物,其可與鰭狀物132A與132B的組成作用。磊晶的源極/汲極結構160A與160B可摻雜n型摻質與p型摻質。在所述實施例中,磊晶的源極與汲極結構160A與160B的設置,取決於個別鰭狀場效電晶體裝置區中所製作的鰭狀場效電晶體的型態。舉例來說,p型鰭狀場效電晶體區102A中磊晶的源極/汲極結構160A可包含具有矽及/或鍺的磊晶層,其中含矽鍺的磊晶層摻雜硼、碳、其他p型摻質、或上述之組合,例如形成硼化矽鍺磊晶層或碳化矽鍺磊晶層。在此例的n型鰭狀場效電晶體區102B中,磊晶的源極/汲極結構160B可包含具有矽及/或碳的磊晶層,其中含矽的磊晶層或含矽與碳的磊晶層可摻雜磷、砷、其他n型摻質、或上述之組合,例如形成磷化矽的磊晶層、碳化矽的磊晶層、或碳磷化矽的磊晶層。在一些實施方式中,磊晶的源極/汲極結構160A與160B包含的材料及/或摻質可達通道區中所需的拉伸應力及/或壓縮應力。在一些實施方式中,添加雜質至磊晶製程的源材料,以在沉積時摻雜磊晶的源極/汲極結構160A與160B。一些實施方式在沉積製程後進行離子佈植製程,以摻雜磊晶的源極/汲極結構160A與160B。在一些實施方式中,進行退火製程以活化磊晶的源極/汲極結構160A、磊晶的源極/汲極結構160B、及/或鰭狀場效電晶體裝置100的其他源極/汲極結構如重摻雜源極與汲極區及/或輕摻雜源極與汲極區。
如圖7A至7C所示,層間介電層170形成於基板110上,特別是形成在磊晶的源極/汲極結構160A與160B、閘極結構150A至150E、與鰭狀物132A及132B上。在一些實施例中,層間介電層170為多層內連線結構的一部份,而多層內連線結構耦接鰭狀場效電晶體裝置100的多種裝置(例如電晶體、電阻、電容、及/或電感)及/或構件(例如閘極結構及/或源極/汲極結構),使多種裝置及/或構件可如鰭狀場效電晶體裝置100的設計需求進行特定操作。層間介電層170包括介電材料如氧化矽、氮化矽、氮氧化矽、四乙氧矽烷形成的氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、低介電常數的介電材料、其他合適的介電材料、或上述之組合。例示性的低介電常數的介電材料包括摻雜氟的矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond ® (購自加州SantaClara的Applied Material)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、苯并環丁烯、SiLK (購自密西根州Midland的Dow Chemical)、聚醯亞胺、其他低介電常數的介電材料、或上述之組合。在一些實施方式中,層間介電層170具有多個介電材料的多層結構。在一些實施方式中,接點蝕刻停止層位於層間介電層170以及磊晶的源極/汲極結構160A與160B、鰭狀物132A與132B、及/或閘極結構150A至150E之間。接點蝕刻停止層包括的材料與層間介電層170不同,比如與層間介電層170之介電材料不同的介電材料。在所述實施例中,層間介電層170包括低介電常數的介電材料,而接點蝕刻停止層可包括矽與氮(例如氮化矽或氮氧化矽)。舉例來說,層間介電層170及/或接點蝕刻停止層形成於基板110上的沉積製程可為化學氣相沉積、可流動的化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。在沉積層間介電層170及/或接點蝕刻停止層之後,可進行化學機械研磨製程及/或其他平坦化製程,直到達到(露出)虛置閘極152的上表面。
如圖8A與8B所示,移除閘極結構150A至150E的虛置閘極152,以形成閘極溝槽(閘極開口) 180於閘極結構150A至150E中。閘極溝槽180露出鰭狀物132A與132B的上側鰭狀物主動區142U。舉例來說,移除閘極結構150A的虛置閘極152可露出鰭狀物132A與132B的通道區。在一些實施方式中,移除虛置閘極152的一部份,使閘極溝槽180露出虛置閘極152的界面層及/或閘極介電層。在一些實施例中,上述步驟還露出虛置閘極152的虛置閘極介電層。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、或上述之組合。在一些實施方式中,蝕刻製程選擇性地移除虛置閘極152,而不移除(或最小化地移除)層間介電層170、閘極間隔物154、隔離結構140’、鰭狀物132A、鰭狀物132B、及/或鰭狀場效電晶體裝置100的其他結構。在一些實施方式中,可調整選擇性蝕刻製程,使虛置閘極層(例如多晶矽)具有適當的蝕刻速率(相對於虛置閘極152的虛置閘極介電層及/或界面層、閘極間隔物154、層間介電層170、及/或鰭狀場效電晶體裝置100的其他結構)。在一些實施方式中,閘極結構150A至150E的至少一者之虛置閘極152置換為金屬閘極,並保留閘極結構150A至150E的至少一者之虛置閘極152 (換言之,即未置換),使溝槽不會形成在所有的閘極結構150A至150E中。
如圖9A與9B所示,通道蓋層190形成於p型鰭狀場效電晶體區102A中的鰭狀物132A上,使通道蓋層190包覆鰭狀物132A的上側鰭狀物主動區142U。在所述實施例中,通道蓋層190包括矽與鍺,因此可稱作矽鍺蓋層。通道蓋層190中的鍺濃度小於磊晶的源極/汲極結構160A中的鍺濃度。在一些實施方式中,通道蓋層190中的鍺原子濃度介於約10%至約30%之間,而磊晶的源極/汲極結構160A中的鍺原子濃度介於約30%至約75%之間。鰭狀物132A上的通道蓋層190的厚度實質上一致。舉例來說,位於鰭狀物132A之側壁上的通道蓋層190之厚度,與位於鰭狀物132A之頂部上的通道蓋層190之厚度實質上相同。在一些實施方式中,通道蓋層190的厚度介於約0.2nm至約2nm之間。由於隔離結構140’圍繞鰭狀物132A的下側鰭狀物主動區142L,通道蓋層190不形成於鰭狀物132A的非通道區上。在一些實施方式中,p型鰭狀場效電晶體區102A的p型鰭狀場效電晶體之通道區寬度,為鰭狀物132A的寬寬度與通道蓋層190的厚度之總合。在一些實施方式中,鰭狀物132A的寬度介於約1nm至約8nm之間。在一些實施方式中,通道蓋層190的厚度介於約0.2nm至約2nm之間。在一些實施方式中,在形成通道蓋層190之後,p型鰭狀場效電晶體區102A中的p型鰭狀場效電晶體的通道區寬度介於約3nm至約12nm之間。
通道蓋層190的形成方法可採用選擇性磊晶成長製程,其可實施化學氣相沉積技術(如氣相磊晶、超高真空化學氣相沉積、低壓化學氣相沉積、及/或電漿增強化學氣相沉積)、分子束磊晶、其他合適的選擇性磊晶成長製程、或上述之組合。選擇性磊晶成長製程可採用氣態及/或液態的前驅物,其可與鰭狀物132A的組成作用。在所述實施例中,選擇性磊晶成長製程自矽成長矽鍺。在一些實施方式中,在選擇性磊晶成長同時及/或之後,以n型摻質及/或p型摻質摻雜通道蓋層190。在一些實施方式中,選擇性磊晶成長可消耗鰭狀物132A的一部份,因此可消耗鰭狀物132A的一部份以形成通道蓋層190。在這些實施方式中,鰭狀物132A的一部份可轉換為通道蓋層190的一部份。選擇性磊晶成長製程可稱作選擇性沉積製程,其通常指的是具有多種參數(設置以自半導體表面選擇性成長半導體材料,並限制或避免自介電表面成長半導體材料)的沉積製程。此處所述的半導體表面可為鰭狀物132A之上側鰭狀物主動區142U的露出表面,而介電表面可為隔離結構140’、層間介電層170、及/或阻擋層195的表面。舉例來說,通道蓋層190的形成方法包括調整沉積製程(如化學氣相沉積為主的選擇性磊晶成長製程)的多種參數,以自鰭狀物132A的含矽表面選擇性地成長含矽與鍺的材料,並限制(或避免)自隔離結構140’、層間介電層170、與阻擋層195成長含矽與鍺的材料。可調整的多種沉積參數包括沉積前驅物(例如半導體前驅物及/或反應物)、沉積前驅物的流速、沉積溫度、沉積時間、沉積壓力、源功率、射頻偏壓、射頻偏功率、其他合適的沉積參數、或上述之組合。在一些實施方式中,沉積製程可包含處理半導體表面及/或介電表面,以達到及/或增進半導體材料於半導體表面上的沉積速率(或成長速率),使其大於半導體材料於介電表面上的沉積速率(或成長速率)。在一些實施方式中,通道蓋層190的形成方法為化學氣相沉積、原子層沉積、其他合適的沉積製程、或上述之組合。
為避免通道蓋層190形成於n型鰭狀場效電晶體區102B中,可在形成通道蓋層190之前先形成阻擋層(遮罩層) 195於n型鰭狀場效電晶體區102B上。阻擋層195部份地填入閘極結構150A的閘極溝槽180,並完全填入閘極結構150D與150E的閘極溝槽180,使阻擋層195覆蓋鰭狀物132B (特別是鰭狀物132B的通道區及/或上側鰭狀物主動區142U)。阻擋層195包含有利於選擇性沉積通道蓋層190的任何材料。在所述實施例中,阻擋層195包含介電材料,例如含有矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、或碳化矽。阻擋層195的形成方法可為任何合適製程。在一些實施方式中,阻擋層195的形成方法為沉積介電層於鰭狀場效電晶體裝置100上;採用微影製程形成圖案化光阻層(或圖案化遮罩層)於介電層上,而圖案化光阻層包括開口以露出p型鰭狀場效電晶體區102A中的介電層;自p型鰭狀場效電晶體區102A蝕刻移除露出的介電層,使介電層只保留於n型鰭狀場效電晶體區102B中;以及移除圖案化光阻層。介電層的沉積方法可為化學氣相沉積、可流動的化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。微影製程與蝕刻製程可包含任何合適製程如上述。本發明實施例設想到可實施沉積、微影、及/或蝕刻製程的其他組合,以形成阻擋層195。一些實施方式(未圖示)在沉積阻擋層195之後,可進行化學機械研磨製程及/或其他平坦化製程,直到達到(露出)層間介電層170的上表面。在形成通道蓋層190之後,可自n型鰭狀場效電晶體區102B中的閘極溝槽180移除阻擋層195,且移除方法可為選擇性蝕刻製程。在一些實施方式中,蝕刻製程設置為蝕刻介電材料(例如氧化矽、氮化矽、或上述之組合)而不蝕刻(或最小化地蝕刻)半導體材料(例如矽、矽鍺、鍺、或上述之組合)。
如圖10A與10B所示,金屬閘極形成於閘極溝槽180中,比如金屬閘極200A形成於p型鰭狀場效電晶體區102A中的閘極溝槽180 (閘極結構150A、閘極結構150B、與閘極結構150C的一部份之閘極溝槽180)中,而金屬閘極200B形成於n型鰭狀場效電晶體區102B中的閘極溝槽180 (閘極結構150A、閘極結構150D、與閘極結構150E的一部份之閘極溝槽180)中。金屬閘極200A包括閘極介電層202A與閘極204A,而金屬閘極200B包括閘極介電層202B與閘極204B。金屬閘極200A與200B係設置以達鰭狀場效電晶體裝置100的設計需求所需的功能,因此金屬閘極200A與200B可包含相同或不同的層狀物及/或材料。在所述實施例中,相對於n型鰭狀場效電晶體區102B中n型鰭狀場效電晶體的臨界電壓,通道蓋層190可調整p型鰭狀場效電晶體區102A的p型鰭狀場效電晶體的臨界電壓(例如將所需應力導入通道區),因此金屬閘極200A可設置為與金屬閘極200B相同。舉例來說,金屬閘極200A的層狀物之數目、設置、及/或材料,可與金屬閘極200B的層狀物之數目、設置、及/或材料相同。在此實施方式中,閘極介電層202A可與閘極介電層202B相同,及/或閘極204A可與閘極204B相同。在一些實施方式中,閘極204A與閘極204B包含相同的功函數層,使p型鰭狀場效電晶體區102A中的p型鰭狀場效電晶體與n型鰭狀場效電晶體區102B中的n型鰭狀場效電晶體具有相同的功函數層但不同的臨界電壓(因為通道蓋層190)。在其他實施方式中,金屬閘極200A與金屬閘極200B不同,以調整p型鰭狀場效電晶體區102A中的p型鰭狀場效電晶體與n型鰭狀場效電晶體區102B中的n型鰭狀場效電晶體之臨界電壓。舉例來說,金屬閘極200A的層狀物之數目、設置、及/或材料,可與金屬閘極200B的層狀物之數目、設置、及/或材料不同。在這些實施方式中,閘極介電層202A可與閘極介電層202B不同,及/或閘極204A可與閘極204B不同。在這些實施例中,閘極結構150A的金屬閘極200A與200B可共用一或多個層狀物,使閘極結構150A的一些層狀物自金屬閘極200A連續地延伸至金屬閘極200B。在一些實施方式中,閘極結構150A中的金屬閘極200A與200B共用的一或多個層狀物,可設置以達不同特性。
閘極介電層202A包覆鰭狀物132A的上側鰭狀物主動區142U,而閘極介電層202B包覆鰭狀物132B的上側鰭狀物主動區142U。在所述實施例中,p型鰭狀場效電晶體區102A中的通道蓋層190位於鰭狀物132A與閘極介電層202A之間。閘極介電層202A與閘極介電層202B順應性地沉積於鰭狀物132A與132B及隔離結構140’上,使閘極介電層202A與閘極介電層202B具有實質上一致的厚度。在一些實施方式中,閘極介電層202A與閘極介電層202B的厚度介於約0.5nm至約3nm之間。在一些實施方式中,閘極介電層202A的厚度與閘極介電層202B的厚度實質上相同。在一些實施方式中,閘極介電層202A的厚度與閘極介電層202B的厚度不同。閘極介電層202A與202B包含介電材料,比如氧化矽、高介電常數的介電材料、其他合適的介電材料、或上述之組合。在所述實施例中,閘極介電層202A與202B包括一或多個高介電常數的介電層,其可包括鉿、鋁、鋯、鑭、鉭、鈦、釔、氧、氮、其他合適組成、或上述之組合。在一些實施方式中,一或多個高介電常數的介電層包括氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁、氧化鈦、氧化鉭、氧化鑭、氧化釔、其他合適的高介電常數之介電材料、或上述之組合。在一些實施方式中,高介電常數的介電材料之介地常數大於或等於約5。在一些實施方式中,閘極介電層202A與202B更包括界面層(包括介電材料如氧化矽)於高介電常數的介電層與鰭狀物132A與132B及/或隔離結構140’之間。在一些實施方式中,閘極介電層202A與202B包含摻雜氮的含氧介電層,與位於摻雜氮的含氧介電層上的高介電常數的介電層。在一些實施方式中,閘極介電層202A與202B設置為依據鰭狀場效電晶體裝置100的設計需求,調整p型鰭狀場效電晶體區102A中的p型鰭狀場效電晶體的功函數,並調整n型鰭狀場效電晶體區102B中的n型鰭狀場效電晶體的功函數。閘極介電層202A與202B的形成方法可為多種製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、及/或其他合適製程如上述。
閘極204A與204B各自位於閘極介電層202A與202B上。閘極204A與204B包含導電材料。在一些實施方式中,閘極204A與204B包含多層,比如一或多個蓋層、功函數層、黏著及/或阻障層、及/或金屬充填(或基體)層。蓋層包含的材料可避免或消除閘極介電層202A與202B與閘極結構150A至150E的其他層(特別是含金屬的閘極)之間的組成反應及/或擴散。在一些實施例中,蓋層包含金屬與氮如氮化鈦、氮化鉭、氮化鎢、氮化鈦矽、氮化鉭矽、或上述之組合。功函數層可包含導電材料,其可調整以具有所需功函數(如n型功函數或p型功函數)。功函數層可為n型功函數材料及/或p型功函數材料。p型功函數材料包括氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、氮化鎢、其他p型功函數材料、或上述之組合。n型功函數材料包含鈦、鋁、銀、錳、鋯、鈦鋁、碳化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、鉭鋁、碳化鉭鋁、氮化鈦鋁、其他n型功函數材料、或上述之組合。黏著及/或阻障層可包含促進相鄰層狀物(如功函數層與金屬充填層)之間的黏著性之材料,及/或阻擋及/或減少閘極層(如功函數層與金屬充填層)之間的擴散之材料。舉例來說,黏著及/或阻障層包括金屬(例如鎢、鋁、鉭、鈦、鎳、銅、鈷、其他合適金屬、或上述之組合)、金屬氧化物、金屬氮化物(如氮化鈦)、或上述之組合。金屬充填層可包含合適的導電材料,比如鋁、鎢、及/或銅。在一些實施方式中,硬遮罩層(例如氮化矽或碳化矽)位於閘極204A與204B的至少一部份上。閘極204A與204B的形成方法可為多種沉積製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、及/或其他合適製程如上述。可進行化學機械研磨製程,以移除閘極介電層202A與202B及/或閘極204A與204B的任何多餘材料,以平坦化閘極結構150A至150E。
如圖11A至11D所示,可對鰭狀場效電晶體裝置100進行額外製程。在一些實施方式中,形成多層內連線結構的多種接點至鰭狀場效電晶體裝置100的源極/汲極區及/或閘極結構,以利操作鰭狀場效電晶體裝置100。多層內連線結構包括介電層與導電層(例如金屬層)的組合,其設置以形成多種內連線結構。導電層設置為形成垂直內連線結構如裝置級接點及/或通孔,及/或水平內連線結構如導電線路。垂直內連線結構通常連接多層內連線結構的不同層(或不同平面)中的水平內連線結構。在操作鰭狀場效電晶體裝置100時,內連線結構設置為發送訊號於鰭狀場效電晶體裝置100的裝置(此處可為p型鰭狀場效電晶體區102A的p型鰭狀場效電晶體與n型鰭狀場效電晶體區102B的n型鰭狀場效電晶體)及/或構件之間,及/或發佈訊號(如計時訊號、電壓訊號、及/或地線訊號)至鰭狀場效電晶體裝置100的裝置及/或構件。本發明實施例設想到多層內連線結構可包括任何數目及/或設置的介電層及/或導電層,端視鰭狀場效電晶體裝置100的設計需求而定。
多層內連線結構可包括額外的層間介電層形成於基板110上。在所述實施例中,層間介電層210為多層內連線結構的一部份,其位於層間介電層170及閘極結構150A至150E上。層間介電層210與層間介電層170類似。在一些實施方式中,層間介電層210為多層內連線結構的第一層層間介電層。在一些實施方式中,接點蝕刻停止層位於層間介電層210與層間介電層170之間,其與此處所述的接點蝕刻停止層類似。在所述實施例中,裝置級接點215A至215E、通孔(未圖示)、與導電線路(未圖示)一併稱作金屬層(比如多層內連線結構的第一金屬層),其可位於多層內連線結構的層間介電層中,以形成內連線結構。裝置級接點215A至215E、通孔、與導電線路包括任何合適的導電材料,比如鉭、鈦、鋁、銅、鈷、鎢、氮化鈦、氮化鉭、其他合適的導電材料、或上述之組合。可結合多種導電材料,以提供具有多種層狀物的裝置級接點215A至215E、通孔、及/或導電線路,且多種層狀物可為阻障層、黏著層、襯墊層、基體層、其他合適層狀物、或上述之組合的一或多者。在一些實施方式中,裝置級接點215A至215E包含鈦、氮化鈦、及/或鈷,通孔包含鈦、氮化鈦、及/或鎢,而導電線路包括銅、鈷、及/或釕。裝置級接點215A至215E、通孔、與導電線路的形成方法可為圖案化層間介電層170、層間介電層210、及/或多層內連線結構的其他層間介電層。圖案化層間介電層的步驟可包含微影製程及/或蝕刻製程,以形成開口(溝槽)如接點開口、通孔開口、及/或線路開口於個別的層間介電層中。在一些實施方式中,微影製程包含形成光阻層於個別的層間介電層上、以圖案化射線曝光光阻層、及顯影曝光的光阻層,以形成圖案化光阻層。圖案化光阻層可作為蝕刻開口於個別層間介電層中所用的遮罩單元。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他蝕刻製程、或上述之組合。之後可將一或多種導電材料填入開口。導電材料的沉積方法可為物理氣相沉積、化學氣相沉積、原子層沉積、電鍍、無電鍍、其他合適的沉積製程、或上述之組合。之後可由平坦化製程如化學機械研磨製程移除任何多餘的導電材料,以平坦化層間介電層(例如層間介電層210)、裝置級接點215A至215E、通孔、及/或導電線路的上表面。
裝置級接點215A至215E (亦稱作局部內連線或局部接點),可電性耦接及/或物理耦接積體電路裝置結構(比如p型鰭狀場效電晶體區102A的p型鰭狀場效電晶體與n型鰭狀場效電晶體區102B的n型鰭狀場效電晶體的結構)至多層內連線結構。舉例來說,裝置級接點215A至215D係金屬至裝置的接點,一般指的是連接至導電區的接點,而導電區可為鰭狀場效電晶體裝置100的源極/汲極區。裝置級接點215E係閘極接點或金屬至多晶矽的接點,一般指的是連接至閘極結構的接點,而閘極結構可為鰭狀場效電晶體裝置100的多晶矽閘極結構或金屬閘極結構。在所述實施例中,裝置級接點215A與215B位於個別的磊晶的源極/汲極結構160A上,使裝置級接點215A與215B物理(或直接)連接p型鰭狀場效電晶體區102A的p型鰭狀場效電晶體至多層內連線結構(例如連接至個別通孔)。裝置級接點215C與215D位於個別的磊晶的源極/汲極結構160B上,使裝置級接點215C與215D物理(或直接)連接n型鰭狀場效電晶體區102B的n型鰭狀場效電晶體至多層內連線結構(例如連接至個別通孔)。在所述實施例中,裝置級接點215E位於閘極結構150A上,使裝置級接點215E物理(或直接)連接閘極結構150A至多層內連線結構(例如連接至個別通孔)。在一些實施方式中,多層內連線結構包括通孔,其可電性耦接及/或物理耦接閘極結構150A至150E的一或多者至多層內連線結構。在這些實施方式中,通孔位於個別的閘極結構150A至150E上,使通孔物理(或直接)連接個別閘極結構150A至150E到多層內連線結構(例如個別的導電線路)。裝置級接點215A至215E延伸穿過層間介電層210及/或層間介電層170,但本發明實施例考慮到裝置級接點215A至215E延伸穿過更多或更少的多層內連線結構的接點蝕刻停止層及/或層間介電層。本發明實施例考慮到裝置級接點、通孔、及/或導電線路的任何設置。
在一些實施方式中,矽化物層位於磊晶的源極/汲極結構160A與160B以及裝置級接點215A至215D之間。在一些實施方式中,矽化物層220的形成方法係在形成層間介電層170及/或層間介電層210之前或之後,沉積金屬層於磊晶的源極/汲極結構160A與160B上。金屬層包含適用於促進形成矽化物的任何材料,比如鎳、鉑、鈀、釩、鈦、鈷、鉭、鐿、鋯、其他合適金屬、或上述之組合。接著加熱鰭狀場較電晶體裝置(比如進行退火製程),使磊晶的源極/汲極結構160A與160B (例如矽及/或鍺)與金屬反應。因此矽化物層220包含金屬與磊晶的源極/汲極結構160A與160B的組成(例如矽及/或鍺)。在一些實施方式中,矽化物層220包含鎳矽化物、鈦矽化物、或鈷矽化物。可由任何合適製程如蝕刻製程,選擇性地移除未反應的金屬如金屬層的其餘部份。在一些實施方式中,矽化物層220與磊晶的源極/汲極區160A與160B可一起稱作磊晶的源極/汲極結構。在一些實施方式中,矽化物層220可視作裝置級接點215A至215D的一部份。
在圖11A至11D中,鰭狀場效電晶體裝置100包含p型鰭狀場效電晶體於p型鰭狀場效電晶體區102A中,其包括閘極結構150A位於鰭狀物132A的通道區上,使閘極結構150A位於鰭狀物132A的源極/汲極區之間。磊晶的源極/汲極結構160A位於鰭狀物132A的源極/汲極區上,而通道蓋層190位於鰭狀物132A的通道區上。在所述實施例中,鰭狀物132A包括矽,磊晶的源極/汲極結構160A包括矽與鍺 (一些實施方式可更包括硼摻質),而通道蓋層包括矽與鍺。鰭狀場效電晶體裝置100亦包括n型鰭狀場效電晶體於n型鰭狀場效電晶體區102B中,其包括閘極結構150A位於鰭狀物132B的通道區上,因此閘極結構150A位於鰭狀物132B的源極/汲極區之間。磊晶的源極/汲極結構160B位於鰭狀物132B的源極/汲極區上,而通道蓋層190不位於鰭狀物132B的通道區上。在所述實施例中,鰭狀物132B包括矽,且磊晶的源極/汲極結構160B包括矽。因此p型鰭狀場效電晶體與n型鰭狀場效電晶體形成鰭狀場效電晶體裝置100的互補式鰭狀場效電晶體,其中p型鰭狀場效電晶體與n型鰭狀場效電晶體均包含矽鰭狀物,因此p型鰭狀場效電晶體的通道區包括矽為主的鰭狀物通道材料。在進行閘極置換製程時形成通道蓋層190,可確保通道蓋層具有所需應力特性,以用於達到p型鰭狀場效電晶體所用的高遷移率應變通道,進而有利於達到p型鰭狀場效電晶體與n型鰭狀場效電晶體所需的臨界電壓,而不明顯依賴金屬閘極200A與200B的層狀物數目及/或厚度。舉例來說,由於一些實施例中的p型鰭狀場效電晶體包含通道蓋層190,金屬閘極200A與200B可包含相同的功函數層及/或材料,但仍具有促進最佳效能的臨界電壓(例如同時具有高臨界電壓與低臨界電壓的鰭狀場效電晶體)。在一些實施方式中,p型鰭狀場效電晶體與n型鰭狀場效電晶體為電路的一部份,而閘極結構150B與150C隔離積體電路裝置的p型鰭狀場效電晶體與相鄰電路,而閘極結構150D與150E隔離積體電路裝置的n型鰭狀場效電晶體與相鄰電路。在一些實施方式中,p型鰭狀場效電晶體與n型鰭狀場效電晶體為記憶體陣列中儲存位元或資料所用的記憶體的一部份,且記憶體陣列可為靜態隨機存取記憶體陣列,其通常結合鰭狀場效電晶體以增進效能。在一些實施方式中,p型鰭狀場效電晶體為積體電路裝置的核心區中的鰭狀場效電晶體。在一些實施方式中,通道蓋層190包含於積體電路裝置的核心區中的p型鰭狀場效電晶體中,但不包含於積體電路裝置的輸入/輸出區中的p型鰭狀場效電晶體裝置中,以實現效能改進。舉例來說,本發明一些實施方式在核心區的p型鰭狀場效電晶體中實施通道蓋層190,以利高臨界電壓操作的輸入/輸出電路(比如不具有通道蓋層190的純矽通道)與高速驅動的核心邏輯電路(比如開關電流比大於約10%),其可設置為用於低待機操作,以利降低接面漏電流與源極至汲極的關閉電流(Isoff)。在一些實施方式中,積體電路裝置的輸入/輸出區中的p型鰭狀場效電晶體及/或n型鰭狀場效電晶體之閘極介電層厚度,大於積體電路裝置的核心區中的p型鰭狀場效電晶體及/或n型鰭狀場效電晶體之閘極介電層厚度。在一些實施方式中,積體電路裝置的核心區中的p型鰭狀場效電晶體及/或n型鰭狀場效電晶體之閘極介電層厚度,小於積體電路裝置的輸入/輸出區中的p型鰭狀場效電晶體及/或n型鰭狀場效電晶體之閘極介電層厚度。為達不同閘極介電層厚度,可考慮多種製程。
本發明實施例考慮到處理與製作鰭狀場效電晶體裝置100時,可能造成鰭狀物132A與132B的高度、寬度、及/或長度變異。舉例來說,所述實施例的鰭狀物132A與132B沿著其個別高度的方向具有錐形寬度,即寬度沿著鰭狀物132A與132B的高度減少。在一些實施方式中,鰭狀物132A與132B的寬度指的是鰭狀物132A與132B之上側鰭狀物主動區142U之不同寬度的平均值。在這些實施方式中,寬度自隔離結構140'的上表面朝鰭狀物132A與132B的上表面減少,因此寬度指的是上側鰭狀物主動區142U沿著高度減少的寬度之平均值。在一些實施方式中,寬度指的是個別鰭狀物132A與132B的整體之不同寬度的平均值。在一些實施方式中,沿著鰭狀物132A與132B的寬度可在約5nm至約15nm之間變化,其取決於在鰭狀物132A與132B的高度何處量測寬度。在一些實施方式中,鰭狀物的寬度變化取決於鰭狀物相對於其他鰭狀物(及/或鰭狀場效電晶體裝置100的其他結構)的位置。舉例來說,中心鰭狀物的寬度可大於邊緣鰭狀物的寬度。在其他例中,中心鰭狀物的寬度可小於邊緣鰭狀物的寬度。在這些實施方式中,邊緣鰭狀物與中心鰭狀物的個別寬度,可為此處所述之任何方式中的邊緣鰭狀物與中心鰭狀物的個別平均寬度。雖然圖式中的鰭狀物132A與132B具有錐形寬度,但一些實施方式中的鰭狀物132A與132B沿著其個別高度向具有實質上相同的寬度。在一些實施例中,上側鰭狀物主動區142U的側壁高度(沿著z方向)比上側鰭狀物主動區142U的上表面寬度(沿著x方向)大了約四倍。
本發明提供許多不同實施例。此處揭露具有高遷移率的應變通道的鰭狀場效電晶體與其製作方法。例示性的方法包括形成第一矽鰭狀物於第一型鰭狀場效電晶體裝置區中,並形成第二矽鰭狀物於第二型鰭狀場效電晶體裝置區中。形成多個第一磊晶的源極/汲極結構於第一矽鰭狀物的多個第一源極/汲極區上,並形成多個第二磊晶的源極/汲極結構於第二矽鰭狀物的多個第二源極/汲極區上。進行閘極置換製程,以形成閘極結構於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上。閘極結構位於第一矽鰭狀物的第一源極/汲極區與第二矽鰭狀物的第二源極/汲極區之間。閘極置換製程包括在形成第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構之前,形成虛置閘極於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上。在形成第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構之後,移除虛置閘極以形成開口,且開口露出第一矽鰭狀物的第一通道區與該第二矽鰭狀物的第二通道區。在閘極置換製程時,形成矽鍺通道蓋層於第一矽鰭狀物的第一通道區上,其中形成矽鍺通道蓋層時以遮罩層覆蓋第二矽鰭狀物的第二通道區。形成金屬閘極於開口中,其中金屬閘極位於矽鍺通道蓋層與第二矽鰭狀物上。在一些實施方式中,第一磊晶的源極/汲極結構包括鍺,且第一磊晶的源極/汲極結構的鍺濃度大於矽鍺通道蓋層的鍺濃度。在一些實施例中,方法更包括形成隔離結構以圍繞第一矽鰭狀物與第二矽鰭狀物的下側部份,其中形成隔離結構之後再形成虛置閘極。
在一些實施方式中,方法更包括在移除虛置閘極之後,沉積介電層以填入開口。接著進行微影與蝕刻製程,以自第一型鰭狀場效電晶體裝置區中的開口移除介電層的一部份,其中介電層的保留部份為遮罩層。在形成金屬閘極之前移除介電層的保留部份。在一些實施方式中,移除介電層的保留部份之步驟包括選擇性蝕刻介電層。在一些實施方式中,形成矽鍺通道蓋層的步驟包括選擇性沉積矽鍺於矽上,而不沉積矽鍺於介電層上。在一些實施方式中,方法更包括形成隔離結構以圍繞第一矽鰭狀物與第二矽鰭狀物的下側部份,並在形成隔離結構之後形成虛置閘極。在一些實施方式中,形成金屬閘極的步驟包括:在第一型鰭狀場效電晶體裝置區中形成第一閘極介電層於該矽鍺通道蓋層上,並形成第一閘極於第一閘極介電層上;以及在第二型鰭狀場效電晶體區中形成第二閘極介電層於第二矽鰭狀物上,並形成第二閘極於第二閘極介電層上。在一些實施方式中,形成矽鍺通道蓋層的步驟包括將第一矽鰭狀物的一部份轉變成矽鍺通道蓋層的一部份。在一些實施方式中,第一磊晶的源極/汲極結構包括鍺,且第一磊晶的源極/汲極結構之鍺濃度大於矽鍺通道蓋層的鍺濃度。
另一例示性的方法包括:形成第一矽鰭狀物於第一型鰭狀場效電晶體區中,並形成第二矽鰭狀物於第二型鰭狀場效電晶體區中;形成具有虛置閘極的閘極結構於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上;移除虛置閘極以形成開口,其露出第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區;形成阻擋層以部份地填入開口並覆蓋第二矽鰭狀物的第二通道區;形成矽鍺通道蓋層於第一矽鰭狀物的第一通道區上;自開口移除阻擋層;以及形成金屬閘極於矽鍺通道蓋層及第二矽鰭狀物上的開口中。在一些實施方式中,方法更包括形成第一磊晶的源極/汲極結構於第一矽鰭狀物的第一源極/汲極區上,並形成第二磊晶的源極/汲極結構於第二矽鰭狀物的第二源極/汲極區上,其中第一磊晶的源極/汲極結構包括矽與鍺。在一些實施方式中,形成矽鍺通道蓋層的步驟包括進行選擇性沉積製程。在一些實施方式中,選擇性沉積製程包括沉積矽鍺材料於矽上,而不沉積矽鍺於氧化矽、氮化矽、或上述之組合上。在一些實施方式中,形成閘極結構之前更包括形成隔離結構,其定義第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區。在一些實施方式中,形成隔離結構的步驟包括:沉積介電層於第一矽鰭狀物與第二矽鰭狀物上;以及回蝕刻介電層以定義第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區。在一些實施方式中,形成阻擋層的步驟包括沉積介電層。
例示性的積體電路裝置包括:第一矽鰭狀物位於第一型鰭狀場效電晶體裝置區中,以及第二矽鰭狀物位於第二型鰭狀場效電晶體裝置區中。閘極結構位於第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區上,其中第一通道區位於第一矽鰭狀物的第一源極/汲極區之間,且第二通道區位於第二矽鰭狀物的第二源極/汲極區之間。通道蓋層位於閘極結構與第一矽鰭狀物的第一通道區之間,其中通道蓋層包括矽與鍺。在一些實施方式中,通道蓋層的濃度介於約10%至約30%之間。在一些實施方式中,通道蓋層的厚度介於約0.2nm至約2nm之間。
在一些實施方式中,積體電路裝置更包括隔離結構,其圍繞第一矽鰭狀物的一部份與第二矽鰭狀物的一部份,以定義第一矽鰭狀物的第一通道區與第二矽鰭狀物的第二通道區。通道蓋層不位於隔離結構與第一矽鰭狀物的部份之間。在一些實施方式中,閘極結構包括第一高介電常數的介電層與第一金屬閘極位於第一矽鰭狀物的第一通道區上,以及第二高介電常數的介電層與第二金屬閘極位於第二矽鰭狀物的第二通道區上。在一些實施方式中,積體電路裝置更包括第一磊晶的源極/汲極結構位於第一矽鰭狀物的第一源極/汲極區上,其中第一磊晶的源極/汲極結構包括矽與鍺;以及第二磊晶的源極/汲極結構位於第二矽鰭狀物的第二源極/汲極區上,其中第二磊晶的源極/汲極結構包括矽。在一些實施方式中,第一磊晶的源極/汲極結構的鍺濃度大於通道蓋層的鍺濃度。在一些實施方式中,第一磊晶的源極/汲極結構的鍺濃度介於約30%至約75%之間,而通道蓋層的鍺濃度介於約10%至約30%之間。
另一積體電路裝置包括具有第一金屬閘極位於第一矽鰭狀物的一部份上的第一型鰭狀場效電晶體,使第一金屬閘極位於第一矽鍺的磊晶結構與第二矽鍺的磊晶結構之間;具有第二金屬閘極位於第二矽鰭狀物的一部份上的第二型鰭狀場效電晶體,使第二金屬閘極位於第一矽的磊晶結構與第二矽的磊晶結構之間;以及矽鍺層位於第一金屬閘極與第一矽鰭狀物的部份之間,使矽鍺層包覆第一矽鰭狀物的部份。在一些實施方式中,第一矽鍺的磊晶結構與第二矽鍺的磊晶結構的鍺濃度,大於矽鍺層的鍺濃度。在一些實施方式中,第一矽鍺的磊晶結構與第二矽鍺的磊晶結構更包括硼。在一些實施方式中,第一矽鰭狀物的部份與第二矽鰭狀物的部份為上側鰭狀物主動區,而積體電路裝置更包括隔離結構圍繞第一矽鰭狀物的下側鰭狀物主動區與第二矽鰭狀物的下側鰭狀物主動區。在一些實施方式中,第一型鰭狀場效電晶體為p型鰭狀場效電晶體,而第二型鰭狀場效電晶體為n型鰭狀場效電晶體。在一些實施方式中,第一金屬閘極與第二金屬閘極為橫越第一矽鰭狀物與第二矽鰭狀物的閘極結構的一部份,且閘極結構包括沿著第一金屬閘極與第二金屬閘極的側壁之閘極間隔物。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
B-B、C-C、D-D‧‧‧剖線 C‧‧‧通道區 S/D‧‧‧源極/汲極區 10‧‧‧方法 15、20、25、30、35、40、45、50、55、60‧‧‧步驟 100‧‧‧鰭狀場效電晶體裝置 102A‧‧‧p型鰭狀場效電晶體區 102B‧‧‧n型鰭狀場效電晶體區 110‧‧‧基板 112、114‧‧‧摻雜區 120‧‧‧圖案化層 122‧‧‧墊層 124‧‧‧遮罩層 130A、130B‧‧‧鰭狀結構 132A、132B‧‧‧鰭狀物 134‧‧‧溝槽 140‧‧‧隔離層 140'‧‧‧隔離結構 142L‧‧‧下側鰭狀物主動區 142U‧‧‧上側鰭狀物主動區 150A、150B、150C、150D、150E‧‧‧閘極結構 152‧‧‧虛置閘極 154‧‧‧閘極間隔物 160A、160B‧‧‧磊晶的源極/汲極結構 170、210‧‧‧層間介電層 180‧‧‧閘極溝槽 190‧‧‧通道蓋層 195‧‧‧阻擋層 200A、200B‧‧‧金屬閘極 202A、202B‧‧‧閘極介電層 204A、204B‧‧‧閘極 215A、215B、215C、215D、215E‧‧‧裝置級接點 220‧‧‧矽化物層
圖1係本發明多種實施例中,製作積體電路裝置的方法之流程圖。 圖2A至11A係本發明多種實施例中,方法如圖1的方法之多種製作階段的鰭狀場效電晶體裝置之部份或全部的上視圖。 圖2B至11B係本發明多種實施例中,鰭狀場效電晶體裝置沿著圖2A至11A的剖線B-B的部份剖視圖。 圖6C、7C、與11C係本發明多種實施例中,鰭狀場效電晶體裝置分別沿著圖2A、7A、與11A的剖線C-C的部份剖視圖。 圖11D係本發明多種實施例中,鰭狀場效電晶體裝置沿著圖11A的剖線D-D的部份剖視圖。
102A‧‧‧p型鰭狀場效電晶體區
102B‧‧‧n型鰭狀場效電晶體區
110‧‧‧基板
112、114‧‧‧摻雜區
132A、132B‧‧‧鰭狀物
140'‧‧‧隔離結構
150A‧‧‧閘極結構
154‧‧‧閘極間隔物
170‧‧‧層間介電層
190‧‧‧通道蓋層
200A、200B‧‧‧金屬閘極
202A、202B‧‧‧閘極介電層
204A、204B‧‧‧閘極

Claims (1)

  1. 一種積體電路裝置的形成方法,包括: 形成一第一矽鰭狀物於一第一型鰭狀場效電晶體裝置區中,並形成一第二矽鰭狀物於一第二型鰭狀場效電晶體裝置區中; 形成多個第一磊晶的源極/汲極結構於該第一矽鰭狀物的多個第一源極/汲極區上,並形成多個第二磊晶的源極/汲極結構於該第二矽鰭狀物的多個第二源極/汲極區上;以及 進行一閘極置換製程,以形成一閘極結構於該第一矽鰭狀物的一第一通道區與該第二矽鰭狀物的一第二通道區上,使該閘極結構位於該第一矽鰭狀物的該些第一源極/汲極區與該第二矽鰭狀物的該些第二源極/汲極區之間,其中該閘極置換製程包括: 在形成該些第一磊晶的源極/汲極結構與該些第二磊晶的源極/汲極結構之前,形成一虛置閘極於該第一矽鰭狀物的該第一通道區與該第二矽鰭狀物的該第二通道區上; 在形成該些第一磊晶的源極/汲極結構與該些第二磊晶的源極/汲極結構之後,移除該虛置閘極以形成一開口,且該開口露出該第一矽鰭狀物的該第一通道區與該第二矽鰭狀物的該第二通道區; 形成一矽鍺通道蓋層於該第一矽鰭狀物的該第一通道區上,其中形成該矽鍺通道蓋層時以一遮罩層覆蓋該第二矽鰭狀物的該第二通道區;以及 形成一金屬閘極於該開口中,其中該金屬閘極位於該矽鍺通道蓋層與該第二矽鰭狀物上。
TW108128178A 2018-08-15 2019-08-08 積體電路裝置的形成方法 TW202010050A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862764807P 2018-08-15 2018-08-15
US62/764,807 2018-08-15
US16/262,507 2019-01-30
US16/262,507 US10861936B2 (en) 2018-08-15 2019-01-30 Fin-like field effect transistors having high mobility strained channels and methods of fabrication thereof

Publications (1)

Publication Number Publication Date
TW202010050A true TW202010050A (zh) 2020-03-01

Family

ID=69523031

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108128178A TW202010050A (zh) 2018-08-15 2019-08-08 積體電路裝置的形成方法

Country Status (3)

Country Link
US (2) US10861936B2 (zh)
CN (1) CN110890322A (zh)
TW (1) TW202010050A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797734B (zh) * 2021-02-09 2023-04-01 台灣積體電路製造股份有限公司 積體電路及其製造方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164952B2 (en) 2020-03-07 2021-11-02 Qualcomm Incorporated Transistor with insulator
KR20210134151A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 반도체 장치
US11335683B2 (en) * 2020-06-30 2022-05-17 Qualcomm Incorporated Device channel profile structure
US11670681B2 (en) 2021-01-14 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fully strained channels

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
WO2018182620A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Transistors employing cap layer for ge-rich source/drain regions

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797734B (zh) * 2021-02-09 2023-04-01 台灣積體電路製造股份有限公司 積體電路及其製造方法

Also Published As

Publication number Publication date
US20210118996A1 (en) 2021-04-22
US20200058739A1 (en) 2020-02-20
US10861936B2 (en) 2020-12-08
CN110890322A (zh) 2020-03-17

Similar Documents

Publication Publication Date Title
TWI749102B (zh) 鰭狀場效電晶體與其形成方法
US10026811B2 (en) Integrated circuit structure and method with solid phase diffusion
US8786019B2 (en) CMOS FinFET device
TW202004988A (zh) 半導體結構及形成積體電路結構的方法
TW202008511A (zh) 積體電路裝置的形成方法
TW201916115A (zh) 半導體結構的製造方法
TW202010050A (zh) 積體電路裝置的形成方法
US11532519B2 (en) Semiconductor device and method
TW202011518A (zh) 半導體裝置的形成方法
TW202008433A (zh) 半導體裝置的形成方法
US11637042B2 (en) Self-aligned metal gate for multigate device
TW202221925A (zh) 半導體裝置
CN110957271A (zh) 集成电路装置的形成方法
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
TW202303686A (zh) 半導體裝置
TW202143489A (zh) 半導體裝置與其形成方法
TW202217979A (zh) 半導體裝置
TWI831246B (zh) 多閘極裝置與其形成方法
US20230378304A1 (en) Source and Drain Enginering Process for Multigate Devices
US20230137528A1 (en) Multigate Device Structure with Stepwise Isolation Features and Method Making the Same
US20230118779A1 (en) Multigate Device Structure with Engineered Gate
TWI817165B (zh) 半導體裝置的形成方法
TW202339111A (zh) 半導體結構的形成方法
TW202320174A (zh) 半導體結構的形成方法
TW202406152A (zh) 半導體裝置結構與其形成方法