TW202008511A - 積體電路裝置的形成方法 - Google Patents

積體電路裝置的形成方法 Download PDF

Info

Publication number
TW202008511A
TW202008511A TW108123192A TW108123192A TW202008511A TW 202008511 A TW202008511 A TW 202008511A TW 108123192 A TW108123192 A TW 108123192A TW 108123192 A TW108123192 A TW 108123192A TW 202008511 A TW202008511 A TW 202008511A
Authority
TW
Taiwan
Prior art keywords
source
layer
drain
contact
drain contact
Prior art date
Application number
TW108123192A
Other languages
English (en)
Inventor
旭升 吳
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008511A publication Critical patent/TW202008511A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

此處揭露改善積體電路裝置效能所用的源極/汲極接點間隔物與其形成方法。例示性的方法包括蝕刻層間介電層以形成源極/汲極接點開口,其露出源極/汲極結構上的接點蝕刻停止層。沉積源極/汲極接點間隔物層,以部份地填入源極/汲極接點開口並覆蓋層間介電層與露出的接點蝕刻停止層。蝕刻源極/汲極接點間隔物層與接點蝕刻停止層,延伸源極/汲極接點開口以露出源極/汲極結構。蝕刻步驟形成源極/汲極接點間隔物。方法亦包含形成源極/汲極接點至延伸的源極/汲極接點開口中露出的源極/汲極結構。源極/汲極接點形成於源極/汲極接點間隔物上,並填入延伸的源極/汲極接點開口。在形成源極/汲極接點之前,可形成矽化物結構於露出的源極/汲極結構上。

Description

積體電路裝置的形成方法
本發明實施例一般關於積體電路裝置,更特別關於積體電路裝置的多層內連線結構之接點結構。
積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路都比前一代具有更小且更複雜的電路。在積體電路的演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(如製程所能產生的最小構件或線路)縮小而增加。尺寸縮小通常有利於增加產能並降低相關成本。
尺寸縮小會使形成與處理積體電路的方法複雜度增加。為實現這些進展,形成與處理積體電路的方法亦需類似發展。舉例來說,隨著積體電路結構尺寸縮小,多層內連線結構變得更緊密,而多層內連線結構的接點產生電阻增加及阻礙電流的現象,因此在效能、良率、與成本上面臨挑戰。已發現進階積體電路技術節點中的接點導入較高接點電阻與較多電流路徑,會明顯延遲甚至避免訊號自積體電路裝置有效發送(或有效發送至積體電路裝置如電晶體),這會抵消進階技術節點中的積體電路裝置效能改良。綜上所述,現有的接點通常適用於其預期目的,但無法完全符合所有方面。
本發明一實施例提供之積體電路裝置的形成方法,包括:蝕刻層間介電層,以形成源極/汲極接點開口,其露出源極/汲極結構上的接點蝕刻停止層;沉積源極/汲極接點間隔物層,其部份地填入源極/汲極接點開口,並覆蓋層間介電層與露出的接點蝕刻停止層;蝕刻源極/汲極接點間隔物層與接點蝕刻停止層,延伸源極/汲極接點開口以露出源極/汲極結構,其中蝕刻源極/汲極接點間隔物層與接點蝕刻停止層的步驟形成源極/汲極接點間隔物;以及形成源極/汲極接點至延伸的源極/汲極接點開口中露出的源極/汲極結構,其中源極/汲極接點形成於源極/汲極接點間隔物上,並填入延伸的源極/汲極接點開口。
本發明一實施例提供之積體電路裝置的形成方法,包括:形成第一接點蝕刻停止層於第一閘極結構與第二閘極結構之間的磊晶的源極/汲極結構上、形成第一層間介電層於第一接點蝕刻停止層上、形成第二接點蝕刻停止層於第一層間介電層上、並形成第二層間介電層於第二接點蝕刻停止層上;進行第一蝕刻製程以形成源極/汲極接點開口延伸穿過第二層間介電層、第二接點蝕刻停止層、與第一層間介電層,以露出磊晶的源極/汲極結構上的第一接點蝕刻停止層;沿著源極/汲極接點開口的側壁與底部形成介電襯墊層,其中側壁由第二層間介電層、第二接點蝕刻停止層、與第一層間介電層所定義,而底部由第一接點蝕刻停止層所定義;進行第二蝕刻製程以移除介電襯墊層與第一接點蝕刻停止層的一部份,延伸源極/汲極接點開口以露出磊晶的源極/汲極結構並形成介電間隔物;以及將導電材料填入延伸的源極/汲極接點開口。
本發明一實施例提供之積體電路裝置,包括:閘極結構,位於基板上;源極/汲極結構,與閘極結構相鄰;以及源極/汲極接點,位於源極/汲極結構上。源極/汲極接點延伸穿過層間介電層與接點蝕刻停止層至源極/汲極結構。積體電路裝置亦包括源極/汲極接點間隔物,位於源極/汲極接點的側壁與層間介電層之間。接點蝕刻停止層的一部份位於源極/汲極接點間隔物與源極/汲極結構之間,因此源極/汲極接點間隔物未物理接觸源極/汲極結構。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。
此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。
形成積體電路的製程流程通常分為三類:前段製程、中段製程、與後段製程。前段製程通常包含與製作積體電路裝置(如電晶體)相關的製程。舉例來說,前段製程可包含形成隔離結構、閘極結構、與源極與汲極結構(通常稱作源極/汲極結構)。中段製程通常包含製作接點至積體電路裝置的導電結構(或導電區),比如製作接點至閘極結構及/或源極/汲極結構。後段製程通常包含製作多層內連線結構,使前段製程與中段製程製作的積體電路結構內連線,進而使積體電路的操作可行。
本發明實施例一般關於中段製程,其製作平面積體電路裝置及/或非平面積體電路裝置(例如鰭狀場效電晶體裝置)所用的源極/汲極接點。習知的源極/汲極接點結構侵入源極/汲極結構中,阻擋積體電路裝置的源極/汲極接點與通道區之間的電流,及/或造成源極/汲極接點與通道區之間的電流路徑長度大於所需的電流路徑長度,其將增加寄生源極/汲極電容。此處所述的源極/汲極接點結構之製作方法,可形成源極/汲極接點間隔物,其未侵入或物理接觸源極/汲極結構。此處所述的源極/汲極接點結構包含源極/汲極接點與源極/汲極接點間隔物,因此發現其可降低電流擁擠、改善源極/汲極接點與通道區之間的電流路徑(比如減少電流路徑長度)、及/或降低寄生源極/汲極電容,進而改善積體電路裝置的源極/汲極接點與通道區之間的電流。不同實施例可具有不同優點,且任何實施例不必具有特定優點。
圖1係本發明多種實施例中,製作積體電路的源極/汲極接點結構之方法10的流程圖。步驟20蝕刻層間介電層以形成源極/汲極接點開口,其露出源極/汲極結構上的接點蝕刻停止層。在一些實施方式中,層間介電層的材料與接點蝕刻停止層的材料不同,因此相對於接點蝕刻停止層可選擇性地蝕刻層間介電層。步驟30沉積源極/汲極接點間隔物層,以部份地填入源極/汲極接點開口並覆蓋露出的接點蝕刻停止層。在一些實施方式中,源極/汲極接點間隔物層的材料與接點蝕刻停止層的材料實質上相同。在一些實施方式中,層間介電層含氧,接點蝕刻停止層含氮,而源極/汲極接點間隔物層含氮。步驟40蝕刻源極/汲極接點間隔物層與接點蝕刻停止層,可延伸源極/汲極接點開口以露出源極/汲極結構。蝕刻步驟可調整源極/汲極接點間隔物層,以形成源極/汲極接點間隔物,其定義延伸的源極/汲極接點開口之側壁。在一些實施方式中,蝕刻步驟可移除露出的源極/汲極結構的一部份(比如刻意的過蝕刻)。步驟50形成源極/汲極接點至延伸的源極/汲極接點開口中露出的源極/汲極結構。源極/汲極接點形成於源極/汲極接點間隔物上,並填入延伸的源極/汲極接點開口。源極/汲極接點與源極/汲極接點間隔物形成源極/汲極接點結構,以增進積體電路裝置效能。在一些實施方式中,在形成源極/汲極接點之前,形成矽化物結構於露出的源極/汲極結構上。在一些實施方式中,在形成源極/汲極接點之前,進行離子佈植製程以將摻質導入源極/汲極結構中。方法10的步驟60完成製作。在一些實施方式中,源極/汲極接點為多層內連線結購的一部份。方法10可形成多個線路層至源極/汲極接點,其可包含多層內連線結構的金屬層之通孔與導電線路。在方法10之前、之中、或之後可進行額外步驟,且方法10的額外實施例可調動、取代、或省略一些上述步驟。下述內容說明方法10之多種實施例所能製作的積體電路裝置。
圖2A至2H係本發明多種實施例中,部份或全部的積體電路裝置100於圖1的方法10之多種製作階段中的剖視圖。積體電路裝置100可包含為微處理器、記憶體、及/或其他積體電路裝置中。在一些實施例中,積體電路裝置100為積體電路晶片的一部份或單晶片系統或其部份,其包含多種被動與主動微電子裝置,比如電阻、電容、電感、二極體、p型場效電晶體、n型場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極接面電晶體、橫向擴散金氧半電晶體、高電壓電晶體、高頻電晶體、其他合適構件、或上述之組合。電晶體可為平面電晶體或非平面電晶體,比如鰭狀場效電晶體或全繞式閘極電晶體。圖2A至2H已簡化,有利清楚理解本發明實施例的發明概念。可添加額外結構至積體電路裝置100中,且積體電路裝置100的其他實施例可置換、調整、或省略一些下述結構。
如圖2A所示,積體電路裝置100包含基板110如含矽的基體基板。在其他或額外實施例中,基板半導體包含另一半導體元素如鍺、半導體化合物(如碳化矽、磷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、氧化鋅、硒化鋅、硫化鋅、碲化鋅、硒化鎘、硫化鎘、及/或碲化鎘)、半導體合金(如矽鍺、碳磷化矽、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、其他III-V族材料、其他II-VI族材料、或上述之組合。在其他實施例中,基板110為絕緣層上半導體基板,比如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。絕緣層上半導體基板的製作方法,可採用分離佈植氧、晶圓接合、及/或其他合適方法。基板110可包含多種摻雜區(未圖示),其設置依據積體電路裝置100的設計需求。在一些實施方式中,基板110包含摻雜p型摻質如硼(例如二氟化硼)、銦、其他p型摻質、或上述之組合的p型摻雜區(如p型井)。在一些實施方式中,基板110包含摻雜n型摻質如磷、砷、其他n型摻質、或上述之組合的n型摻雜區(如n型井)。在一些實施方式中,基板110包含結合p型摻質與n型摻質的摻雜區。舉例來說,多種摻雜區可直接形成於基板110之上及/或之中,以提供p型井結構、n型井結構、雙井結構、隆起結構、或上述之組合。可進行離子佈植製程、擴散製程、及/或其他合適的摻雜製程,以形成多種摻雜區。
多種閘極結構位於基板110上,比如閘極結構120A與閘極結構120B。閘極結構120A與120B各自夾設於源極區與汲極區之間,而通道區定義於源極區與汲極區之間的基板110中。閘極結構120A與120B接合通道區,因此操作時的電流可流動於源極/汲極區之間。在一些實施方式中,閘極結構120A與120B形成於鰭狀結構上,因此閘極結構120A與120B各自包覆鰭狀結構的一部份。舉例來說,閘極結構120A與120B包覆鰭狀結構的通道區,因此夾設於鰭狀結構的源極區與汲極區之間。閘極結構120A與120B包含金屬閘級堆疊,比如金屬閘極堆疊122A與金屬閘極堆疊122B。金屬閘極堆疊122A與122B設置為依據積體電路裝置100的設計需求以達所需功能,因此金屬閘極堆疊122A與122B包含相同或不同的層狀物及/或材料。在所述實施例中,金屬閘極堆疊122A與122B包含閘極介電層與閘極。閘極介電層位於基板110上,而閘極位於閘極介電層上。在一些實施方式中,閘極介電層順應性地位於定義金屬閘極堆疊122A與122B之積體電路裝置100的側壁表面與下表面上,因此閘極介電層一般為u型且具有實質上一致的厚度。閘極介電層包含介電材料,比如氧化矽、高介電常數的介電材料、其他合適的介電材料、或上述之組合。高介電常數介電材料一般指的是介電常數高於氧化矽的介電常數(約3.9)之介電材料。例示性的高介電常數材料包含鉿、鋁、鋯、鑭、鉭、鈦、釔、氧、氮、其他合適成份、或上述之組合。在一些實施例中,閘極介電層包含多層結構,比如界面層(例如氧化矽)與高介電常數介電層(例如氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁、氧化鈦、氧化鉭、氧化鑭、氧化釔、其他合適的高介電常數材料、或上述之組合)。閘極包含導電材料。在一些實施方式中,閘極包含多層,比如一或多個蓋層、功函數層、黏著/阻障層、及/或金屬充填(或基體)層。蓋層包含的材料,可避免及/或消除閘極介電層與閘極的其他層之間的成份反應及/或擴散。在一些實施方式中,蓋層包含金屬與氮如氮化鈦、氮化鉭、氮化鎢、氮化鈦矽、氮化鉭矽、或上述之組合。功函數層包含調整的導電材料以具有所需功函數(比如n型功函數或p型功函數),例如n型功函數材料及/或p型功函數材料。p型功函數材料包含氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、氮化鎢、其他p型功函數材料、或上述之組合。n型功函數材料包含鈦、鋁、銀、錳、鋯、鈦鋁、碳化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、鉭鋁、碳化鉭鋁、氮化鈦鋁、其他n型功函數材料、或上述之組合。黏著/阻障層包含的材料可促進相鄰層狀物之間的黏著性,比如功函數層與金屬充填層之間,及/或阻擋及/或減少閘極層之間擴散的材料之間(比如功函數層與金屬充填層之間)。舉例來說,黏著/阻障層包含金屬(例如鎢、鋁、鉭、鈦、鎳、銅、鈷、其他合適金屬、或上述之組合)、金屬氧化物、金屬氮化物(例如氮化鈦)、或上述之組合。金屬充填層可包含合適的導電材料,比如鋁、鎢、及/或銅。
金屬閘極堆疊122A與122B的製作方法可依據閘極後製製程、閘極優先製程、或閘極後製/閘極優先的混合製程。在閘極後製製程的實施方式中,閘極結構120A與120B包含之後取代為金屬閘極堆疊122A與122B的虛置閘極堆疊。舉例來說,虛置閘極堆疊包括界面層(例如氧化矽)與虛置閘極層(例如多晶矽)。在這些實施方式中,移除虛置閘極層以形成開口(溝槽),其用於形成金屬閘極堆疊122A與122B。在一些實施方式中,在形成層間介電層之前形成虛置閘極堆疊,並在形成層間介電層之後將虛置閘極堆疊取代為金屬閘極堆疊122A與122B。閘極後製製程及/或閘極優先製程可實施沉積製程、微影製程、蝕刻製程、其他合適製程、或上述之組合。沉積製程包含化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。微影製程包含塗佈光阻(例如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(例如硬烘烤)、其他合適製程、或上述之組合。在其他實施例中,可由其他方法(如無光罩微影、電子束寫入、或離子束寫入)輔助、實施、或取代微影曝光製程。蝕刻製程包含乾蝕刻製程、濕蝕刻製程、其他蝕刻製程、或上述之組合。
閘極結構120A及120B亦分別包含閘極間隔物126A及閘極間隔物126B,其分別與金屬閘極堆疊122A及122B相鄰(例如沿著金屬閘極堆疊122A及122B的側壁)。閘極間隔物126A與126B的形成方法可為任何合適製程,並包含介電材料。介電材料可包含矽、氧、碳、氮、其他合適材料、或上述之組合,例如氧化矽、氮化矽、氮氧化矽、或碳化矽。舉例來說,所述實施例中的介電層包含矽與氮(如氮化矽層),其可沉積於基板110上,之後非等向蝕刻介電層以形成閘極間隔物126A與126B。在一些實施方式中,閘極間隔物126A與126B包含多層結構,比如包含氮化矽的第一介電層與包含氧化矽的第二介電層。在一些實施方式中,閘極間隔物126A與126B包含超過一組間隔物,比如密封間隔物、偏移間隔物、犧牲間隔物、虛置間隔物、及/或主要間隔物,其與閘極堆疊相鄰。在這些實施方式中,多組間隔物可包含不同蝕刻速率的材料。舉例來說,可沉積含矽與氧的第一介電層於基板110上,接著非等向蝕刻第一介電層以形成與閘極堆疊相鄰的第一組間隔物。之後可沉積含矽與氮的第二介電層於基板110上,接著非等向蝕刻第二介電層以形成與第一組間隔物相鄰的第二組間隔物。在形成閘極間隔物126A與126B之前及/或之後,可進行佈植、擴散、及/或退火製程,以形成源極/汲極區中的輕摻雜源極與汲極結構及/或重摻雜源極與汲極結構。
磊晶的源極結構與磊晶的汲極結構(可稱作磊晶的源極/汲極結構)位於基板110的源極/汲極區中。舉例來說,磊晶成長半導體材料於基板110上,以形成磊晶的源極/汲極結構130於基板110的源極/汲極區上。在所述實施例中,閘極結構120A與120B夾設於個別之磊晶的源極/汲極結構130之間,且個別的通道區定義於個別之磊晶的源極/汲極結構130之間的基板110之中以及個別的閘極結構120A與120B之下。因此積體電路裝置100可設置為包括含有閘極結構120A、其對應之磊晶的源極/汲極結構130、與通道區的電晶體,以及含有閘極結構120B、其對應之磊晶的源極/汲極結構130、與通道區的電晶體。在一些實施方式中,磊晶的源極/汲極結構130包覆自基板110延伸的一或多個鰭狀結構之源極/汲極區,因此電晶體設置為鰭狀場效電晶體。在這些實施例中,磊晶的源極/汲極結構130包覆自基板110延伸的一或多個鰭狀結構之源極/汲極區,因此電晶體設置為鰭狀場效電晶體。磊晶製程可實施化學氣相沉積技術(例如氣相磊晶、超高真空化學氣相沉積、低壓化學氣相沉積、及/或電漿增強化學氣相沉積)、分子束磊晶、其他合適的選擇性磊晶成長製程、或上述之組合。磊晶製程可採用氣體及/或液體的前驅物,其與基板110的組成作用。磊晶的源極/汲極結構130摻雜n型摻質及/或p型摻質。在一些實施例中,電晶體設置為n型裝置,磊晶的源極/汲極結構130可為含矽的磊晶層或含碳與矽的磊晶層並摻雜磷、其他n型摻質、或上述之組合(例如形成矽:磷的磊晶層或矽:碳:磷的磊晶層)。在一些實施方式中,電晶體設置為p型裝置,磊晶的源極/汲極結構130可為含矽與鍺的磊晶層並摻雜硼、其他p型摻質、或上述之組合(例如形成矽:鍺:硼的磊晶層)。在一些實施方式中,磊晶的源極/汲極結構130包含材料及/或摻質,以達到所需的拉伸應力及/或壓縮應力於通道區中。在一些實施方式中,添加雜質至磊晶製程的源材料,以在沉積磊晶的源極/汲極結構130時進行摻雜。在一些實施方式中,在沉積製程之後佈植離子,以摻雜磊晶的源極/汲極結構。在一些實施方式中,進行退火製程以活化磊晶的源極/汲極結構130及/或積體電路裝置100的其他源極/汲極區(例如基板110及/或磊晶的源極/汲極結構130中的重摻雜源極與汲極區及/或輕摻雜源極與汲極區)中的摻質。
隔離結構(未圖示)可形成於基板110之上及/或之中,以隔離積體電路裝置的多種區域(如多種裝置區)。舉例來說,隔離結構定義並電性隔離主動區及/或被動區。在一些實施方式中,隔離結構可設置使對應閘極結構120A與120B及磊晶的源極/汲極結構130之電晶體,與積體電路裝置100的其他電晶體、裝置、及/或區域隔離。隔離結構包含隔離材料如氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如包含矽、氧、氮、碳、及/或其他合適的隔離成份)、或上述之組合。隔離結構可包含不同結構,比如淺溝槽隔離結構、深溝槽隔離結構、及/或局部氧化矽結構。在一些實施方式中,淺溝槽隔離結構的形成方法為蝕刻溝槽於基板中(例如採用乾蝕刻製程及/或濕蝕刻製程),並將絕緣材料填入溝槽(例如採用化學氣相沉積製程或旋轉塗佈玻璃製程)。可進行化學機械研磨製程以移除多餘的絕緣材料及/或平坦化淺溝槽隔離結構的上表面。在一些實施方式中,淺溝槽隔離結構的形成方法可為形成鰭狀物之後沉積絕緣材料於基板上,因此絕緣材料層填入間隙物之間的間隙(溝槽)。接著回蝕刻絕緣材料層。在一些實施方式中,隔離結構包含填入溝槽的多層結構,比如位於襯墊介電層上的基體介電層,其中基體介電層與襯墊介電層包含的材料取決於設計需求。舉例來說,基體介電層包含氮化矽,而襯墊介電層包含熱氧化物。在一些實施方式中,隔離結構包含介電層位於摻雜的襯墊層(例如硼矽酸鹽玻璃或磷矽酸鹽玻璃)上。
多層內連線結構140位於基板110上。多層內連線結構140電性耦接積體電路裝置100的多種裝置(例如電晶體、電阻、電容、及/或電感)及/或構件(例如閘極結構及/或源極/汲極結構),因此可依積體電路裝置100的特定設計需求操作多種裝置及/或構件。多層內連線結構140包含介電層與導電層(例如金屬層)的組合,其設置以形成多種內連線結構。導電層設置以形成垂直內連線結構如接點及/或通孔,及/或水平內連線結構如導電線路。舉例來說,垂直內連線結構可提供結構之間的垂直連接及/或垂直電性線路,而水平內連線結構可提供水平電性線路。垂直內連線結構一般可連接多層內連線結構140之不同層(或不同平面)中的水平內連線結構。在操作時,多層內連線結構140可在裝置及/或積體電路裝置100之間發送訊號,及/或分佈訊號(如時脈訊號、電壓訊號、及/或接地訊號)至裝置及/或積體電路裝置100。雖然圖式中的多層內連線結構140具有給定數目的介電層與導電層,但可預期本發明實施例的多層內連線結構140具有更多介電層及/或導電層,或者更少介電層及/或導電層。
在圖2A中,多層內連線結構140包含基板110上的層間介電層142,與層間介電層142上的層間介電層144。層間介電層142與144包含介電材料,例如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷的氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、低介電常數介電材料、其他合適的介電材料、或上述之組合。低介電常數介電材料包含氟化矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond® (Applied Materials,Santa Clara,加州)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、苯并環丁烯、SiLK (Dow Chemical,Midland,密西根州)、聚醯亞胺、其他低介電常數介電材料、或上述之組合。在所述實施例中,層間介電層142與144包括含氧材料如氧化矽。在此實施方式中,層間介電層142與144可稱作氧化物層。在一些實施方式中,層間介電層142與144可包含具有多種介電材料的多層結構。舉例來說,層間介電層142與144形成於基板110上的方法可為沉積製程如化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適方法、或上述之組合。在一些實施方式中,層間介電層142與144的形成方法為可流動的化學氣相沉積製程,其可包含沉積可流動的材料(如液體化合物)於基板110上,並以合適技術如熱退火及/或紫外線處理使可流動的材料轉換成固體材料。在沉積層間介電層142與144之後,可進行化學機械研磨及/或其他平坦化製程,使層間介電層142與144具有實質上平坦的表面。
多層內連線結構140亦包含一或多個接點蝕刻停止層於基板110上,比如層間介電層142與裝置等級的結構(此處為閘極結構120A與120B及磊晶的源極/汲極結構130)之間的接點蝕刻停止層152,以及層間介電層142與層間介電層144之間的接點蝕刻停止層154。接點蝕刻停止層152及154包含的材料,與層間介電層142及144不同,以達製程時的蝕刻選擇性,因此相對於層間介電層142與144可選擇性地蝕刻接點蝕刻停止層152與154,反之亦然。換言之,可不蝕刻層間介電層142與144 (或最小化地蝕刻層間介電層142與144)。舉例來說,接點蝕刻停止層152及154包含的介電材料,與層間介電層142及144包含的介電材料。介電材料可為氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮氧化矽、其他合適的介電材料(例如包含矽、氧、氮、碳、及/或其他合適的隔離成份)、或上述之組合。在所述實施例中,層間介電層142與144包括含氧材料,而接點蝕刻停止層152與154包括含氮材料。舉例來說,接點蝕刻停止層152與154包含矽與氮,比如氮化矽或氮氧化矽,因此接點蝕刻停止層152與154可稱作氮化物層。在一些實施方式中,接點蝕刻停止層152與154可包含具有多種介電材料的多層結構。接點蝕刻停止層152與154的形成方法為沉積製程,比如化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適方法、或上述之組合。在所述實施例中,以原子層沉積或其他合適的沉積製程順應性地沉積接點蝕刻停止層152,因此接點蝕刻停止層152在積體電路裝置100 (此處為基板110、閘極結構120A與120B、及/或磊晶的源極/汲極結構130)的表面上具有實質上一致的厚度T1。在所述實施例中,以原子層沉積或其他合適的沉積製程順應性地沉積接點蝕刻停止層154,因此接點蝕刻停止層154在積體電路裝置100 (此處為閘極結構120A與120B、層間介電層142、及/或接點蝕刻停止層152)的表面上具有實質上一致的厚度T2。接點蝕刻停止層152與154具有相同或不同的厚度,端視積體電路裝置100的設計與製程需求而定。在一些實施方式中,厚度T1與厚度T2實質上相同。在一些實施方式中,厚度T1介於約1nm至約10nm之間。在一些實施方式中,厚度T2介於約1nm至約10nm之間。在一些實施方式中,在沉積接點蝕刻停止層152與154之後,可進行化學機械研磨製程及/或其他平坦化製程,因此接點蝕刻停止層152與154具有實質上平坦的表面。
如圖2B所示,形成源極/汲極接點開口160,以露出至少一磊晶的源極/汲極結構130上的接點蝕刻停止層152的至少一部份。舉例來說,源極/汲極接點開口160完全延伸穿過層間介電層144、接點蝕刻停止層154、與層間介電層142以露出磊晶的源極/汲極結構130上的接點蝕刻停止層152之一部份,且源極/汲極接點開口160位於閘極結構120A與120B之間。在所述實施例中,源極/汲極接點開口160部份地延伸穿過接點蝕刻停止層152,其可為刻意蝕刻接點蝕刻停止層152或非刻意蝕刻接點蝕刻停止層152 (例如來自接點蝕刻停止層152與層間介電層142之間的完全蝕刻選擇性相關的固有製程限制)的結果。因此源極/汲極接點開口160包含側壁162、側壁164、與延伸於側壁162及側壁164之間的底部166。側壁162由層間介電層144、接點蝕刻停止層154、層間介電層142、與接點蝕刻停止層152所定義。側壁164由層間介電層144、接點蝕刻停止層154、層間介電層142、與接點蝕刻停止層152所定義。底部166由接點蝕刻停止層152所定義。在所述實施例中,由於部份地(最小化)蝕刻接點蝕刻停止層152,可由接點蝕刻停止層152的凹陷上表面定義底部166。在一些實施方式中,底部166由接點蝕刻停止層152的上表面(例如未發生蝕刻接點蝕刻停止層152的步驟,且磊晶的源極/汲極結構130上的接點蝕刻停止層152的上表面維持實質上平坦)所定義。在這些實施方式中,接點蝕刻停止層152並未部份地定義側壁162與164。源極/汲極接點開口160的深度D1定義於層間介電層144的上表面與接點蝕刻停止層152的上表面(此處為凹陷的上表面)之間,而源極/汲極接點開口160的寬度W1定義於側壁162與側壁164之間。深度D1設置以確認源極/汲極接點開口160未露出磊晶的源極/汲極結構130,因此空間S定義於磊晶的源極/汲極結構130之上表面130’與底部166之間。在一些實施方式中,深度D1介於約10nm至約200nm之間。在一些實施方式中,空間S小於或等於約10nm。寬度W1設置為允許足夠空間,以用於形成源極/汲極接點間隔物與源極/汲極接點。在一些實施方式中,寬度W1介於約10nm至約200nm之間。在所述實施例中,源極/汲極接點開口160具有漸變的寬度,比如自頂部至底部減少的寬度W1。本發明實施例預期源極/汲極接點開口160所用的任何寬度輪廓,取決於源極/汲極接點間隔物及/或源極/汲極接點的製程及/或設計需求。舉例來說,可由源極/汲極接點開口160之頂部至底部改變寬度,或者源極/汲極接點開口160之頂部至底部維持實質上一致的寬度。本發明實施例亦預期形成超過一個源極/汲極接點開口160,比如露出超過一個磊晶的源極/汲極結構130之源極/汲極接點開口。在一些實施方式中,寬度W1可大於圖示,端視設計需求與製程而定。因此源極/汲極接點開口160露出沿著閘極間隔物126A及/或閘極間隔物126B的接點蝕刻停止層152,或者露出閘極間隔物126A及/或閘極間隔物126B。
層間介電層144、接點蝕刻停止層154、與層間介電層142的圖案化方法可為微影與蝕刻製程。舉例來說,形成源極/汲極接點開口160的方法包括進行微影製程,以形成圖案化的遮罩層170於層間介電層144上,並進行蝕刻製程以將圖案化的遮罩層170中的一或多個開口172所定義的圖案,轉移至層間介電層144、接點蝕刻停止層154、與層間介電層142。微影製程可包含形成光阻層於層間介電層144上(例如旋轉塗佈)、進行曝光前烘烤製程、採用光罩進行曝光製程、進行曝光後烘烤製程、以及進行顯影製程。在曝光製程時,以射線能量如紫外光、深紫外光、或極紫外光曝光光阻層,其中光罩阻擋、穿透、及/或反射射線至光阻層,端視光罩的圖案及/或光罩種類(例如二元光罩、相移光罩、或極紫外線光罩)而定,因此投射至光阻層上的影像對應光罩圖案。在其他實施例中,可實施曝光製程或以其他方法取代,比如無遮罩微影、電子束寫入、離子束寫入、及/或奈米壓印技術。由於光阻層對射線能源敏感,光阻層的曝光部份產生化學變化,且在顯影製程時可溶解光阻層的曝光部份(或未曝光部份),端視光阻層的特性與顯影製程所用的顯影溶液的特性。在顯影之後,圖案化的光阻層包括對應光罩的光阻圖案。在一些實施方式中,圖案化的遮罩層170為圖案化的光阻層。在一些實施方式中,採用圖案化的光阻層作為蝕刻遮罩,並移除硬遮罩層的部份以形成圖案化的遮罩層170。
蝕刻製程接著採用圖案化的遮罩層170作為蝕刻遮罩,以移除開口172所露出的層間介電層144、接點蝕刻停止層154、與層間介電層142之部份,進而形成源極/汲極接點開口160以露出磊晶的源極/汲極結構130上的接點蝕刻停止層152的部份。在蝕刻製程時,接點蝕刻停止層152作為蝕刻停止層。由於層間介電層142及144包含的介電材料,與接點蝕刻停止層152及154包含的介電材料具有不同的蝕刻特性,蝕刻製程可選擇性地蝕刻層間介電層144與142而不蝕刻(或最小化地蝕刻)接點蝕刻停止層152與154。舉例來說,在整個蝕刻製程中可調整蝕刻化學劑,以選擇性地蝕刻氧化矽而不蝕刻(或最小化地蝕刻)氮化矽,反之亦然。在一些實施方式中,蝕刻製程為三階段的製程,其包含第一蝕刻階段:以第一蝕刻化學劑選擇性地蝕刻層間介電層144 (例如氧化矽)而不蝕刻或最小化地蝕刻圖案化的遮罩層170及/或接點蝕刻停止層154。第二蝕刻階段:以第二蝕刻化學劑選擇性地蝕刻接點蝕刻停止層154 (例如氮化矽)而不蝕刻或最小化地蝕刻圖案化的遮罩層170、層間介電層144、及/或層間介電層142。第三蝕刻階段:以第三蝕刻化學劑選擇性地蝕刻層間介電層142 (例如氧化矽)而不蝕刻或最小化地蝕刻圖案化的遮罩層170及/或接點蝕刻停止層152。在一些實施例中,調整整個蝕刻製程的蝕刻化學劑,比如在整個蝕刻製程中,改變層間介電層142及144與接點蝕刻停止層152及154之間的蝕刻選擇性。舉例來說,蝕刻化學劑可設置為在一段蝕刻時間後,增加氧化矽與氮化矽之間的蝕刻選擇性,因此蝕刻化學劑一開始對氧化矽與氮化矽的蝕刻選擇性較低(甚至沒有蝕刻選擇性),例如蝕刻製程移除層間介電層144與接點蝕刻停止層154的部份。之後隨著蝕刻時間增加,蝕刻化學劑的蝕刻選擇性增加,直到蝕刻化學劑設置為蝕刻氧化矽而不蝕刻氮化矽(或最小化地蝕刻氮化矽),例如蝕刻製程移除層間介電層142的部份。因此蝕刻製程在到達接點蝕刻停止層152時停止。在一些實施方式中,蝕刻製程的蝕刻時間可確保不會蝕刻接點蝕刻停止層152 (或最小化地蝕刻接點蝕刻停止層152)。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。乾蝕刻製程採用含氟前驅物(例如四氟化碳、六氟化硫、三氟化氮、二氟甲烷、氟仿、及/或六氟乙烷)、含氧前驅物、含氯前驅物(例如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴前驅物(例如溴化氫及/或溴仿)、含碘前驅物、其他合適前驅物(可用於產生蝕刻劑氣體及/或蝕刻電漿)、或上述之組合。濕蝕刻製程採用的蝕刻溶液包含氫氧化四甲基銨、氫氧化銨、過氧化氫、硫酸、氟化氫、氯化氫、其他合適的濕蝕刻成份、或上述之組合。可調整多種蝕刻參數以達選擇性蝕刻。蝕刻參數可為蝕刻劑組成、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、其他合適的蝕刻參數、或上述之組合。在蝕刻製程之後,可自層間介電層144移除圖案化的遮罩層170,且移除方法可為蝕刻製程及/或光阻剝除製程。
如圖2C所示,形成源極/汲極接點間隔物層175於源極/汲極接點開口160中。源極/汲極接點間隔物層175襯墊並部份地填入源極/汲極接點開口160。在所述實施例中,源極/汲極接點間隔物層175直接沉積於層間介電層144的上表面、源極/汲極接點開口160的側壁162與164 (此處由層間介電層144、接點蝕刻停止層154、層間介電層142、與接點蝕刻停止層152所定義)、與源極/汲極接點開口160的底部166 (此處由接點蝕刻停止層152所定義)上。源極/汲極接點間隔物層175包含的材料可與層間介電層142及144不同,以達製程時的蝕刻選擇性。因此相對於層間介電層142與144,可選擇性地蝕刻源極/汲極接點間隔物層175。換言之,不蝕刻或最小化蝕刻層間介電層142與144。舉例來說,源極/汲極接點間隔物層175包含的介電材料,不同於層間介電層142與144的介電材料。介電材料可為氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮氧化矽、其他合適的介電材料(例如含矽、氧、氮、碳、及/或其他合適的隔離成份)、或上述之組合。在一些實施方式中,源極/汲極接點間隔物層175與接點蝕刻停止層152包含相同材料。舉例來說,所述實施例的源極/汲極接點間隔物層175包含矽與氮,比如氮化矽或氮氧化矽。在此實施方式中,源極/汲極接點間隔物層175可稱作氮化物層。在一些實施例中,源極/汲極接點間隔物層175與接點蝕刻停止層152包含不同材料。源極/汲極接點間隔物層175的形成方法為沉積製程,比如化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿增強化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適製程、或上述之組合。舉例來說,以原子層沉積或其他合適的沉積製程順應性地沉積源極/汲極接點間隔物層175,因此積體電路裝置100 (比如層間介電層142與144及接點蝕刻停止層152與154)之表面上的源極/汲極接點間隔物層175具有實質上一致的厚度T3。在一些實施方式中,厚度T3介於約1nm至約10nm之間。
如圖2D所示,進行蝕刻製程以延伸源極/汲極接點開口160並露出磊晶的源極/汲極結構130,進而形成源極/汲極接點間隔物175A於延伸的源極/汲極接點開口160’中。源極/汲極接點間隔物175A具有厚度T4。在所述實施例中,蝕刻製程的結果為厚度T4小於厚度T3。在一些實施方式中,蝕刻製程造成漸變的厚度T4,比如厚度T4隨著延伸的源極/汲極接點開口160’的深度增加而縮小。在一些實施方式中,隨著延伸的源極/汲極接點開口160’的深度增加,厚度T4實質上保持一致。在一些實施方式中,厚度T4隨著延伸的源極/汲極接點開口160’的深度增加而增加。由於源極/汲極接點開口160一開始形成至接點蝕刻停止層152,源極/汲極接點間隔物175A不物理接觸磊晶的源極/汲極結構130。相反地,接點蝕刻停止層152與空間S維持在源極/汲極接點間隔物175A與磊晶的源極/汲極結構130之間,其可改善積體電路裝置100的效能如此處所述。在所述實施例的蝕刻製程之後,延伸的源極/汲極接點開口160’包含側壁162’、側壁164’、以及延伸於側壁162’與164’之間的底部166’。側壁162’由源極/汲極接點間隔物175A、接點蝕刻停止層152、與磊晶的源極/汲極結構130所定義。側壁164’由源極/汲極接點間隔物175A、接點蝕刻停止層152、與磊晶的源極/汲極結構130所定義。底部166’由磊晶的源極/汲極結構130所定義。在所述實施例中,底部166’由磊晶的源極/汲極結構130之凹陷的上表面(此處之磊晶的源極/汲極結構130的表面低於上表面130’)所定義,其為刻意過蝕刻的蝕刻製程之結果,可確保延伸的源極/汲極接點開口160’露出磊晶的源極/汲極結構130之足夠部份。為了本發明實施例的目的,磊晶的源極/汲極結構130之露出表面(其定義底部166’)、側壁162’的一部份、與側壁164’的一部份在此處統稱為源極/汲極接點表面。延伸的源極/汲極接點開口160’的總深度為深度D2與深度D3的總合。深度D2定義於層間介電層144的上表面與磊晶的源極/汲極結構130的上表面130’之間。深度D3定義於磊晶的源極/汲極結構130之上表面130’與底部166’之間。底部166’由磊晶的源極/汲極結構130之凹陷的上表面所定義。在一些實施方式中,深度D2介於約10nm至約200nm之間,而深度D3介於約0nm至約20nm之間。在一些實施方式中,深度D3小於或等於約3.5nm。延伸的源極/汲極接點開口160’亦具有寬度W2,且寬度W2小於寬度W1。在圖2D中,延伸的源極/汲極接點開口160’具有漸變的寬度,因此寬度W2自頂部朝底部變小。本發明實施例預期延伸的源極/汲極接點開口160’所用的任何寬度輪廓,取決於源極/汲極接點的製程及/或設計需求。舉例來說,一些實施方式之延伸的源極/汲極接點開口160’的寬度非錐形,因此延伸的源極/汲極接點開口160’的頂部至底部具有實質上一致的寬度W2。在一些實施方式中,延伸的源極/汲極接點開口160’的寬度W2自頂部朝底部增加。
由於層間介電層142與144包含的介電材料,與源極/汲極接點間隔物層175及接點蝕刻停止層152的介電材料具有不同的蝕刻特性,蝕刻製程設置以選擇性地蝕刻源極/汲極接點間隔物層175及接點蝕刻停止層152,而不蝕刻層間介電層144 (或最小化地蝕刻層間介電層144)。在此實施方式中,延伸的源極/汲極接點開口160’的形成方法不需遮罩(圖案化)。舉例來說,當源極/汲極接點間隔物層175與接點蝕刻停止層152為氮化矽層,且層間介電層144為氧化物層時,可調整蝕刻化學劑以選擇性地蝕刻氮化矽,而不蝕刻氧化矽(或最小化地蝕刻氧化矽)。在所述實施例中,蝕刻製程移除層間介電層144的上表面上的源極/汲極接點間隔物層175的部份,以及接點蝕刻停止層152上的源極/汲極接點間隔物層175的一部份,以露出接點蝕刻停止層152。接著持續蝕刻製程以移除露出的接點蝕刻停止層152,直到達到磊晶的源極/汲極結構130。在所述實施例中,持續蝕刻製程以移除磊晶的源極/汲極結構130的一部份。一些實施方式中的蝕刻製程的蝕刻化學劑,與蝕刻源極/汲極接點間隔物175A、接點蝕刻停止層152、與磊晶的源極/汲極結構130所用的蝕刻化學劑相同。舉例來說,可調整蝕刻化學劑以蝕刻氮化矽、矽、及/或矽鍺,而不蝕刻氧化矽(或最小化地蝕刻氧化矽)。在這些實施方式中,蝕刻化學劑在氮化矽、矽、與矽鍺之間具有蝕刻選擇性,以達磊晶的源極/汲極結構所需的移除。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。乾蝕刻製程採用含氟前驅物(例如四氟化碳、六氟化硫、三氟化氮、二氟甲烷、氟仿、及/或六氟乙烷)、含氧前驅物、含氯前驅物(例如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴前驅物(例如溴化氫及/或溴仿)、含碘前驅物、其他合適前驅物(可用於產生蝕刻劑氣體及/或蝕刻電漿)、或上述之組合。濕蝕刻製程採用的蝕刻溶液包含氫氧化四甲基銨、氫氧化銨、過氧化氫、硫酸、氟化氫、氯化氫、其他合適的濕蝕刻成份、或上述之組合。可調整多種蝕刻參數以達選擇性蝕刻。蝕刻參數可為蝕刻劑組成、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、其他合適的蝕刻參數、或上述之組合。在蝕刻製程之後,可自層間介電層144移除圖案化的遮罩層170,且移除方法可為蝕刻製程及/或光阻剝除製程。
如圖2E所示,進行佈植製程180以將摻質導入露出的磊晶的源極/汲極結構130。佈植製程180增加源極/汲極接點表面及/或靠近源極/汲極接點表面(比如磊晶的源極/汲極結構130的露出部份)的摻質濃度,其可降低源極/汲極接點電阻,進而改善積體電路裝置100的效能。在一些實施方式中,佈植製程180形成摻雜區182,其摻質濃度大於磊晶的源極/汲極結構130之摻質濃度。佈植製程將硼、磷、砷、其他合適摻質、或上述之組合導入磊晶的源極/汲極結構130。在所述實施例中,將硼導入磊晶的源極/汲極結構130,因此摻雜區182包含硼。在一些實施方式中,佈植製程為電漿為主的摻雜製程,其自摻質氣體(例如乙硼烷、三氟化硼、砷化氫、磷化氫、其他合適的摻質氣體前驅物、或上述之組合)、稀釋氣體前驅物(例如氬氣、氦氣、氖氣、氫氣、氧氣、氮氣、其他合適的稀釋氣體前驅物、或上述之組合)產生電漿。可進行退火製程以活化經由佈植製程180導入磊晶的源極/汲極結構130的摻質。
如圖2F所示,形成矽化物結構185於磊晶的源極/汲極結構130上,因此部份充填延伸的源極/汲極接點開口160’ 之矽化物結構185具有厚度T5。在所述實施例中,厚度T5大於或等於深度D3,因此矽化物結構185的一部份物理接觸磊晶的源極/汲極結構130、接點蝕刻停止層152、及/或源極/汲極接點間隔物175A。在一些實施方式中,厚度T5介於約1nm至約30nm之間。在一些實施方式中,矽化物結構185的形成方法採用任何合適的沉積製程,以沉積金屬層於磊晶的源極/汲極結構130上。金屬層包含適於促進形成矽化物的任何金屬成份,比如鎳、鉑、鈀、釩、鈦、鈷、鉭、釔、鋯、其他合適金屬、或上述之組合。接著加熱積體電路裝置100 (比如進行退火製程),使磊晶的源極/汲極結構130之成份(例如矽及/或鍺)與金屬層的金屬成份反應。因此矽化物層包含金屬成份與磊晶的源極/汲極結構130的成份(例如矽及/或鍺)。在所述實施例中,金屬層為含鈦層、含鈷層、或含鎳層,因此矽化物結構185 (包括矽與鈦、鈷、或鎳)可稱作鈦矽化物結構、鎳矽化物結構、或鈷矽化物結構。在一些實施方式中,磊晶的源極/汲極結構130之一部份在矽化製程時轉換成矽化物結構185。任何未反應的金屬如金屬層的殘留部份,可由任何合適製程(如蝕刻製程)選擇性地移除。
如圖2G所示,形成源極/汲極接點190於延伸的源極/汲極接點開口160’的任何剩餘部份(未填滿的部份)中。源極/汲極接點190包括接點襯墊層192,與位於接點襯墊層192上的接點基體層194。在所述實施例中,接點襯墊層192直接位於源極/汲極接點間隔物175A與矽化物結構185 (其定義延伸的源極/汲極接點開口160’的剩餘部份之側壁162’與164’及底部166’)上,而接點基體層194直接位於接點襯墊層192上。接點襯墊層192包含導電材料,其可促進介電材料(此處為源極/汲極接點間隔物175A的介電材料)與接點基體層194之間的黏著性,且接點基體層194包含導電材料。舉例來說,接點襯墊層192及/或接點基體層194包含鈦、鈦合金、鉭、鉭合金、鈷、鈷合金、釕、釕合金、鉬、鉬合金、其他合適成份、或上述之組合。在所述實施例中,接點襯墊層192包含鉭與氮(例如氮化鉭)或鈦與氮(例如氮化鈦),而接點基體層194包含鈷、鎢、或釕。在一些實施方式中,接點襯墊層192具有多層結構。舉例來說,接點襯墊層192包括含鈦或鉭的第一子層,以及含氮化鈦或氮化鉭的第二子層。在一些實施例方式中,源極/汲極接點190不包含接點襯墊層192,因此接點基體層194直接位於源極/汲極接點間隔物175A與矽化物結構185上。接點襯墊層192及/或接點基體層194的形成方法可為物理氣相沉積、化學氣相沉積、原子層沉積、電鍍、無電鍍、其他合適的沉積製程、或上述之組合。在所述實施例中,以原子層沉積製程或其他合適的沉積製程,順應性地沉積接點襯墊層192於層間介電層144、源極/汲極接點間隔物175A、與矽化物結構185上,因此接點襯墊層192在層間介電層144、源極/汲極接點間隔物175A、與矽化物結構185上具有實質上一致的厚度。在一些實施方式中,接點基體層194的形成方法為非選擇性的沉積製程。舉例來說,進行毯覆性的沉積製程如化學氣相沉積,以沉積接點基體材料於接點襯墊層192上。之後可採用平坦化製程(如化學機械研磨)移除多餘的導電材料,以平坦化源極/汲極接點190與層間介電層144的上表面。在一些實施方式中,接點基體層194的形成方法為由下至上的沉積製程,其通常可由下至上地填入開口。在一些實施方式中,由下至上的沉積製程包含設置沉積製程的多種參數,以選擇性地自金屬表面(此處為接點襯墊層192)成長接點基體材料,並限制或避免自介電表面(此處為層間介電層144)成長接點基體材料。此製程可稱作選擇性的沉積製程。
此處所述的源極/汲極接點結構(源極/汲極接點間隔物175A與源極/汲極接點190)可提供所需的隔離,並增進積體電路裝置100的效能。舉例來說,源極/汲極接點間隔物175A提供額外隔離於源極/汲極接點190及閘極結構120A與120B之間(舉例來說,此外還提供隔離至閘極間隔物126A與126B、接點蝕刻停止層152、與層間介電層142),可最小化製程中非預期地電性耦接源極/汲極接點190至閘極結構120A與120B的風險,進而最小化積體電路裝置100的短路問題。此外,此處所述的製程在露出磊晶的源極/汲極結構130之前,可形成源極/汲極接點間隔物175A。舉例來說,可將蝕刻源極/汲極接點開口的方法分為兩步驟。如此一來,源極/汲極接點間隔物175A不會物理接觸或侵入積體電路裝置100的源極/汲極區(比如磊晶的源極/汲極結構130)。換言之,源極/汲極接點間隔物175A不會延伸至低於磊晶的源極/汲極結構130之上表面130’,或低於金屬閘極堆疊122A與122B的下表面。此設置確保源極/汲極接點間隔物175A不會阻擋源極/汲極接點190、磊晶的源極/汲極結構130 (及電晶體的源極/汲極區)、以及閘極結構120A及120B下方的通道區之間的電流。因此電流不必由源極/汲極接點間隔物175A周圍流向通道區,且自源極/汲極接點190至磊晶的源極/汲極結構130至閘極結構120A及120B下方的通道區之電流路徑(長度)可最小化。這可降低(甚至消除)積體電路裝置100的電流擁擠效應,及/或減少積體電路裝置100的寄生源極/汲極電容。不同實施例可具有不同優點,且任何實施例不必具有特定優點。
如圖2H所示,可繼續製作積體電路裝置100,比如形成層間介電層202於層間介電層144上、形成層間介電層204於層間介電層202上、形成接點蝕刻停止層210於層間介電層144與層間介電層202之間、形成接點蝕刻停止層212於層間介電層202與層間介電層204之間、形成通孔220於層間介電層202與接點蝕刻停止層210中、以及形成導電線路230於層間介電層204與接點蝕刻停止層212中。層間介電層202及204與層間介電層142及144類似。接點蝕刻停止層210及212與接點蝕刻停止層152及154類似。圖案化層間介電層202與204及/或接點蝕刻停止層210與212,以形成通孔220及導電線路230,此圖案化方法與圖案化層間介電層142與144及接點蝕刻停止層152與154的方法類似。舉例來說,圖案化層間介電層202與204及/或層間介電層210與212的方法,可包含微影製程及/或蝕刻製程以形成開口(溝槽),比如源極/汲極接點190上的個別層間介電層202與204及/或接點蝕刻停止層210與212中的通孔開口及/或線路開口。在一些實施例中,微影製程包含形成光阻層於個別的層間介電層202與204及/或接點蝕刻停止層210與212 (或位於其上的硬遮罩層)上,採用圖案化射線曝光光阻層並顯影曝光的光阻層,以形成圖案化的光阻層,其可作為遮罩單元以用於蝕刻開口在個別的層間介電層202與204及/或接點蝕刻停止層210與212中(或位於其上的硬遮罩層中,接著以圖案化的硬遮罩層作為遮罩以用於蝕刻開口於個別的層間介電層202與204及/或接點蝕刻停止層210與212中)。蝕刻製程包含乾蝕刻製程、濕蝕刻製程、其他蝕刻製程、或上述之組合。開口之後填有一或多種導電材料,比如鎢、釕、鈷、銅、鋁、銥、鈀、鉑、鎳、其他低電阻金屬成份、上述之合金、或上述之組合。導電材料的沉積方法可為物理氣相沉積、化學氣相沉積、原子層沉積、電鍍、無電鍍、其他合適的沉積製程、或上述之組合。在一些實施方式中,通孔220及/或導電線路230包含基體層(又稱作導電插塞)。在一些實施方式中,通孔220及/或導電線路230包含阻障層、黏著層、及/或其他位於基體層與個別的層間介電層202與204 (以及個別的接點蝕刻停止層210與212)之間的合適層狀物。在這些實施方式中,阻障層及/或黏著層與接點開口共形,因此阻障層及/或黏著層位於個別的層間介電層202與204 (以及個別的接點蝕刻停止層210與212)上,而基體層位於阻障層及/或黏著層上。在一些實施方式中,阻障層、黏著層、及/或其他合適的層狀物包括鈦、鈦合金(例如氮化鈦)、鉭、鉭合金(例如氮化鉭)、其他合適成份、或上述之組合。在一些實施方式中,通孔220與導電線路230包含不同的基體層及/或不同的阻障層。在一些實施方式中,通孔220與導電線路230包含相同的基體層及/或相同的阻障層。在一些實施方式中,通孔220與導電線路230的形成方法為雙鑲嵌製程。之後可由平坦化製程如化學機械研磨製程移除任何多餘的導電材料,以平坦化層間介電層202與204及/或接點蝕刻停止層210與212與通孔220、及/或導電線路230的上表面。
源極/汲極接點190、通孔220、與導電線路230結合以形成多層內連線結構140的內連線結構。源極/汲極接點190可稱作裝置等級的接點(亦可稱作局部內連線或局部接點),其電性耦接與物理耦接積體電路裝置結構至多層內連線結構140的導電結構。舉例來說,源極/汲極接點190為金屬至裝置接點,其通常為連接至積體電路裝置100的導電區(比如源極/汲極區,此處為磊晶的源極/汲極結構130及/或矽化物結構185)之接點。通孔220垂直地延伸穿過層間介電層202與接點蝕刻停止層210,以物理地及電性耦接多層內連線結構140的不同等級(或不同層)中的內連線結構,此處指的是位於多層內連線結構140之接點層中的源極/汲極接點190以及位於多層內連線結構140之第一金屬層中的導電線路230。在所述實施例中,通孔220延伸穿過層間介電層202與接點蝕刻停止層210,而導電線路230延伸穿過層間介電層204與接點蝕刻停止層212。然而本發明實施例之通孔220及/或導電線路230,可穿過多層內連線結構140之超過一個層間介電層及/或接點蝕刻停止層。接著可持續製作以完成製作多層內連線結構140。舉例來說,可形成多層內連線結構140的額外等級於第一金屬層上,比如第二金屬層至第n金屬層,其中n指的是多層內連線結構140中的金屬層數目,且第二金屬層至第n金屬層各自包含通孔與導電線路(與通孔220及導電線路230類似)於介電材料中。可製作與通孔220類似的通孔,以連接相鄰的金屬層(如第二金屬層至第n金屬層)。在一些實施方式中,一或多個通孔可連接不相鄰的金屬層。
本發明提供許多不同實施例。此處揭露改善積體電路裝置效能所用的源極/汲極接點間隔物與其形成方法。例示性的方法包括蝕刻層間介電層,以形成源極/汲極接點開口,其露出源極/汲極結構上的接點蝕刻停止層;沉積源極/汲極接點間隔物層,其部份地填入源極/汲極接點開口,並覆蓋層間介電層與露出的接點蝕刻停止層;蝕刻源極/汲極接點間隔物層與接點蝕刻停止層,延伸源極/汲極接點開口以露出源極/汲極結構,其中蝕刻源極/汲極接點間隔物層與接點蝕刻停止層的步驟形成源極/汲極接點間隔物;以及形成源極/汲極接點至延伸的源極/汲極接點開口中露出的源極/汲極結構,其中源極/汲極接點形成於源極/汲極接點間隔物上,並填入延伸的源極/汲極接點開口。方法亦可包含在形成源極/汲極接點之前,形成矽化物結構於源極/汲極結構上。方法亦可包含在形成源極/汲極接點之前,進行離子佈植製程,以將摻質導入源極/汲極結構。
在一些實施方法中,蝕刻層間介電層的步驟包括選擇性地蝕刻層間介電層而實質上不蝕刻接點蝕刻停止層。在一些實施方式中,蝕刻源極/汲極接點間隔物層與接點蝕刻停止層的步驟,包括移除源極/汲極結構的一部份。在一些實施方式中,蝕刻層間介電層的步驟包括蝕刻源極/汲極結構上的接點蝕刻停止層的一部份。在一些實施方式中,源極/汲極接點間隔物層的材料與接點蝕刻停止層的材料,不同於層間介電層的材料。在一些實施方式中,源極/汲極接點間隔物層的材料與接點蝕刻停止層的材料相同。在一些實施方式中,蝕刻源極/汲極接點間隔物層與接點蝕刻停止層的步驟,包括選擇性地蝕刻源極/汲極接點間隔物層與接點蝕刻停止層,且實質上不蝕刻層間介電層。
另一例示性方法包括形成第一接點蝕刻停止層於第一閘極結構與第二閘極結構之間的磊晶的源極/汲極結構上、形成第一層間介電層於第一接點蝕刻停止層上、形成第二接點蝕刻停止層於第一層間介電層上、並形成第二層間介電層於第二接點蝕刻停止層上;進行第一蝕刻製程以形成源極/汲極接點開口延伸穿過第二層間介電層、第二接點蝕刻停止層、與第一層間介電層,以露出磊晶的源極/汲極結構上的第一接點蝕刻停止層;沿著源極/汲極接點開口的側壁與底部形成介電襯墊層,其中側壁由第二層間介電層、第二接點蝕刻停止層、與第一層間介電層所定義,而底部由第一接點蝕刻停止層所定義;進行第二蝕刻製程以移除介電襯墊層與第一接點蝕刻停止層的一部份,延伸源極/汲極接點開口以露出磊晶的源極/汲極結構並形成介電間隔物;以及將導電材料填入延伸的源極/汲極接點開口。在一些實施方式中,第一蝕刻製程時,隨著源極/汲極接點開口的深度增加而調整蝕刻化學劑,以增加第一層間介電層與第二層間介電層的材料相對於第一接點蝕刻停止層與第二接點蝕刻停止層的蝕刻選擇性,因此第一蝕刻製程止於第一接點蝕刻停止層。
在一些實施方式中,第一蝕刻製程移除第一接點蝕刻停止層的一部份,因此第一接點蝕刻停止層的凹陷上表面定義源極/汲極接點開口的底部。在一些實施方式中,形成介電襯墊層的步驟包括進行順應性的沉積製程,因此介電襯墊層包括實質上一致的厚度。在一些實施方式中,介電襯墊層的材料與第一接點蝕刻停止層的材料相同。在一些實施方式中,進行第二蝕刻製程的步驟包括選擇性地蝕刻介電襯墊層與第一接點蝕刻停止層,而實質上不蝕刻第一層間介電層與第二層間介電層。在一些實施方式中,進行第二蝕刻製程的步驟包括移除磊晶的源極/汲極結構的一部份。在一些實施方式中,以導電材料充填延伸的源極/汲極接點開口之步驟包括:沉積接點襯墊層於延伸的源極/汲極接點開口中;沉積接點基體層於接點襯墊層上;以及進行平坦化製程於接點襯墊層及接點基體層上。
例示性的積體電路裝置包括閘極結構,位於基板上;源極/汲極結構,與閘極結構相鄰;以及源極/汲極接點,位於源極/汲極結構上。源極/汲極接點延伸穿過層間介電層與接點蝕刻停止層至源極/汲極結構。積體電路裝置亦包括源極/汲極接點間隔物,位於源極/汲極接點的側壁與層間介電層之間。接點蝕刻停止層的一部份位於源極/汲極接點間隔物與源極/汲極結構之間,因此源極/汲極接點間隔物未物理接觸源極/汲極結構。在一些實施方式中,源極/汲極接點間隔物的材料與接點蝕刻停止層的材料相同。在一些實施方式中,源極/汲極接點間隔物延伸穿過部份的接點蝕刻停止層。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
D1、D2、D3‧‧‧深度 S‧‧‧空間 T1、T2、T3、T4、T5‧‧‧厚度 W1、W2‧‧‧寬度 10‧‧‧方法 20、30、40、50、60‧‧‧步驟 100‧‧‧積體電路裝置 110‧‧‧基板 120A、120B‧‧‧閘極結構 122A、122B‧‧‧金屬閘極堆疊 126A、126B‧‧‧閘極間隔物 130‧‧‧磊晶的源極/汲極結構 130’‧‧‧上表面 140‧‧‧多層內連線結構 142、144、202、204‧‧‧層間介電層 152、154、210、212‧‧‧接點蝕刻停止層 160‧‧‧源極/汲極接點開口 160’‧‧‧延伸的源極/汲極接點開口 162、162’、164、164’‧‧‧側壁 166、166’‧‧‧底部 170‧‧‧圖案化的遮罩層 172‧‧‧開口 175‧‧‧源極/汲極接點間隔物層 175A‧‧‧源極/汲極接點間隔物 180‧‧‧佈植製程 182‧‧‧摻雜區 185‧‧‧矽化物結構 190‧‧‧源極/汲極接點 192‧‧‧接點襯墊層 194‧‧‧接點基體層 220‧‧‧通孔 230‧‧‧導電線路
圖1係本發明多種實施例中,製作積體電路裝置的接點結構之方法的流程圖。 圖2A至2H係本發明多種實施例中,部份或全部的積體電路裝置在圖1的方法之多種製作階段中的剖視圖。
S‧‧‧空間
100‧‧‧積體電路裝置
110‧‧‧基板
120A、120B‧‧‧閘極結構
122A、122B‧‧‧金屬閘極堆疊
126A、126B‧‧‧閘極間隔物
130‧‧‧磊晶的源極/汲極結構
130’‧‧‧上表面
140‧‧‧多層內連線結構
142、144‧‧‧層間介電層
152、154‧‧‧接點蝕刻停止層
175A‧‧‧源極/汲極接點間隔物
185‧‧‧矽化物結構
190‧‧‧源極/汲極接點
192‧‧‧接點襯墊層
194‧‧‧接點基體層

Claims (1)

  1. 一種積體電路裝置的形成方法,包括: 蝕刻一層間介電層,以形成一源極/汲極接點開口,其露出一源極/汲極結構上的一接點蝕刻停止層; 沉積一源極/汲極接點間隔物層,其部份地填入該源極/汲極接點開口,並覆蓋該層間介電層與露出的該接點蝕刻停止層; 蝕刻該源極/汲極接點間隔物層與該接點蝕刻停止層,延伸該源極/汲極接點開口以露出該源極/汲極結構,其中蝕刻該源極/汲極接點間隔物層與該接點蝕刻停止層的步驟形成一源極/汲極接點間隔物;以及 形成一源極/汲極接點至延伸的該源極/汲極接點開口中露出的該源極/汲極結構,其中該源極/汲極接點形成於該源極/汲極接點間隔物上,並填入延伸的該源極/汲極接點開口。
TW108123192A 2018-07-30 2019-07-02 積體電路裝置的形成方法 TW202008511A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862711754P 2018-07-30 2018-07-30
US62/711,754 2018-07-30
US16/217,676 2018-12-12
US16/217,676 US10818543B2 (en) 2018-07-30 2018-12-12 Source/drain contact spacers and methods of forming same

Publications (1)

Publication Number Publication Date
TW202008511A true TW202008511A (zh) 2020-02-16

Family

ID=69178609

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108123192A TW202008511A (zh) 2018-07-30 2019-07-02 積體電路裝置的形成方法

Country Status (3)

Country Link
US (2) US10818543B2 (zh)
CN (1) CN110783256A (zh)
TW (1) TW202008511A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI774280B (zh) * 2020-04-21 2022-08-11 台灣積體電路製造股份有限公司 連接結構及其形成方法
TWI777629B (zh) * 2021-03-04 2022-09-11 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US12009305B2 (en) 2023-04-18 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10037912B2 (en) 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10818543B2 (en) * 2018-07-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact spacers and methods of forming same
US10930510B2 (en) 2019-05-21 2021-02-23 International Business Machines Corporation Semiconductor device with improved contact resistance and via connectivity
KR20210027643A (ko) * 2019-08-30 2021-03-11 삼성전자주식회사 반도체 장치 및 이의 제조 방법
DE102021100118B4 (de) * 2020-04-30 2023-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis und verfahren zu dessen herstellung
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11742210B2 (en) * 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
JP7480000B2 (ja) 2020-09-10 2024-05-09 キオクシア株式会社 半導体装置およびその製造方法
US20220102343A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Multi-layer etch stop layers for advanced integrated circuit structure fabrication
US20220216328A1 (en) * 2021-01-04 2022-07-07 Qualcomm Incorporated Gate-to-contact short prevention with an inner spacer
US20220223422A1 (en) * 2021-01-14 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface Oxidation Control of Metal Gates Using Capping Layer
US11764215B2 (en) * 2021-03-31 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11990416B2 (en) * 2021-04-22 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for making the same
KR102528066B1 (ko) * 2021-04-23 2023-05-03 주식회사 키파운드리 낮은 온 저항 및 낮은 기생 정전 용량을 갖는 반도체 소자 및 그의 제조 방법
US11575017B2 (en) * 2021-06-14 2023-02-07 Nanya Technology Corporation Semiconductor device with void-free contact and method for preparing the same
US20230163180A1 (en) * 2021-11-22 2023-05-25 International Business Machines Corporation Non-self-aligned wrap-around contact in a tight gate pitched transistor

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209302B2 (en) 2013-03-13 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing the heights of source-drain sidewall spacers of FinFETs through etching
US9219062B2 (en) 2013-05-24 2015-12-22 GlobalFoundries, Inc. Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits
US9385030B2 (en) 2014-04-30 2016-07-05 Globalfoundries Inc. Spacer to prevent source-drain contact encroachment
US9129988B1 (en) 2014-11-26 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and method of manufacturing the same
US9922978B2 (en) * 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10818543B2 (en) * 2018-07-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact spacers and methods of forming same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI774280B (zh) * 2020-04-21 2022-08-11 台灣積體電路製造股份有限公司 連接結構及其形成方法
US11791204B2 (en) 2020-04-21 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with connecting structure having a doped layer and method for forming the same
TWI777629B (zh) * 2021-03-04 2022-09-11 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US11935786B2 (en) 2021-03-04 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact features of semiconductor devices
US12009305B2 (en) 2023-04-18 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same

Also Published As

Publication number Publication date
US20200035549A1 (en) 2020-01-30
US10818543B2 (en) 2020-10-27
CN110783256A (zh) 2020-02-11
US11410877B2 (en) 2022-08-09
US20210043502A1 (en) 2021-02-11

Similar Documents

Publication Publication Date Title
TW202008511A (zh) 積體電路裝置的形成方法
TWI767123B (zh) 整合背側電源網格的半導體裝置及其相關的積體電路與製造方法
CN107154395B (zh) 半导体结构及其制造方法
CN107068555B (zh) 形成沟槽的方法
TW201820540A (zh) 鰭狀場效電晶體的形成方法
TW202025494A (zh) 半導體結構
US20230343680A1 (en) Semiconductor device and method for forming the same
TW201729312A (zh) 半導體裝置及其製造方法
TW201919111A (zh) 積體電路裝置及其形成方法
TWI731468B (zh) 半導體裝置及其製造方法
US10679891B2 (en) Methods of forming interconnect structures using a vacuum environment
TW202021002A (zh) 半導體結構及其形成方法
TW202008433A (zh) 半導體裝置的形成方法
TW202010050A (zh) 積體電路裝置的形成方法
US20220367344A1 (en) Contact Features and Methods of Fabricating the Same in Semiconductor Devices
TW202008597A (zh) 半導體裝置及其製造方法
TW201830490A (zh) 半導體裝置之形成方法
TW202109680A (zh) 半導體裝置及其形成方法
TW202139357A (zh) 半導體元件及其形成方法
TW202221925A (zh) 半導體裝置
TW202002039A (zh) 積體電路結構的形成方法
US20230290687A1 (en) Nanostructure field-effect transistor device and method of forming
CN113140508A (zh) 半导体装置的制造方法
TW202230530A (zh) 半導體結構與其形成方法
TW201732905A (zh) 半導體裝置結構之形成方法