TWI831246B - 多閘極裝置與其形成方法 - Google Patents

多閘極裝置與其形成方法 Download PDF

Info

Publication number
TWI831246B
TWI831246B TW111122409A TW111122409A TWI831246B TW I831246 B TWI831246 B TW I831246B TW 111122409 A TW111122409 A TW 111122409A TW 111122409 A TW111122409 A TW 111122409A TW I831246 B TWI831246 B TW I831246B
Authority
TW
Taiwan
Prior art keywords
layer
gate
type
work function
gate region
Prior art date
Application number
TW111122409A
Other languages
English (en)
Other versions
TW202305897A (zh
Inventor
邱詩航
劉冠廷
志安 徐
陳嘉偉
陳建豪
洪正隆
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202305897A publication Critical patent/TW202305897A/zh
Application granted granted Critical
Publication of TWI831246B publication Critical patent/TWI831246B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

此處揭露多閘極裝置與其製作方法。例示性的方法包括形成n型功函數層於閘極結構的閘極溝槽中,其中n型功函數層形成於p型閘極區中的多個第一通道層周圍以及n型閘極區中的多個第二通道層周圍;形成第一金屬填充層於p型閘極區中的n型功函數層之上的第一閘極溝槽中以及n型閘極區中的n型功函數層之上的第二閘極溝槽中;自p型閘極區移除第一金屬填充層;自p型閘極區移除n型功函數層;形成p型功函數層於p型閘極區的第一閘極溝槽中;以及形成第二金屬填充層於p型閘極區的第一閘極溝槽中。

Description

多閘極裝置與其形成方法
本發明實施例一般關於積體電路裝置,更特別關於多閘極裝置如全繞式閘極裝置。
電子產業對更小且更快的電子裝置的需求持續成長,其可同時支援大量的複雜功能。為了符合這些需求,積體電路產業的持續趨勢為製造低成本、高效能、與低能耗的積體電路。達成這些目標的主要方法為減少積體電路尺寸(比如最小的積體電路結構尺寸),進而改善產能與降低相關成本。然而縮小尺寸亦增加積體電路製造製程的複雜度。因此為了實現積體電路裝置與其效能的持續進展,積體電路的製造製程與技術亦需類似進展。
近來已導入多閘極裝置以改善閘極控制。多閘極裝置可增加閘極-通道耦合、減少關閉狀態電流、及/或降低短通道效應。這些多閘極裝置之一為全繞式閘極裝置,其包括堆疊的多個通道,且其閘極結構可部分或完全延伸於多個通道周圍以接觸通道區的至少兩側。全繞式閘極裝置可大幅縮小積體電路技術、維持閘極控制、緩解短通道效應、以及無縫整合至現有的積體電路製造製程。隨著全繞式閘極裝置持續縮小,如何採用現有技術縮小全繞式閘極裝置的閘極電阻而不劣化全繞式閘極裝置的效能將面臨挑戰。綜上所述,雖然現有的全繞式閘極裝置與其製作方法通常適用於預期目的,但無法符合所有方面的需求。
本發明實施例提供多閘極裝置的形成方法。例示性方法包括形成n型功函數層於閘極結構的閘極溝槽中,其中n型功函數層形成於p型閘極區中的多個第一通道層周圍以及n型閘極區中的多個第二通道層周圍;形成第一金屬填充層於p型閘極區中的n型功函數層之上的第一閘極溝槽中以及n型閘極區中的n型功函數層之上的第二閘極溝槽中;自p型閘極區移除第一金屬填充層;自p型閘極區移除n型功函數層;形成p型功函數層於p型閘極區的第一閘極溝槽中,其中p型功函數層與n型功函數層的材料不同;以及形成第二金屬填充層於p型閘極區的第一閘極溝槽中,其中第二金屬填充層與第一金屬填充層的材料不同。
本發明另一實施例提供多閘極裝置的形成方法,包括沉積n型功函數層於p型閘極區中的多個第一半導體層以及n型閘極區中的多個第二半導體層上,其中n型功函數層包覆第一半導體層與第二半導體層;沉積蓋層於p型閘極區與n型閘極區中的n型功函數層上,其中蓋層包覆第一半導體層與第二半導體層;沉積第一阻擋層於p型閘極區與n型閘極區中的蓋層上;沉積第一金屬填充層於p型閘極區與n型閘極區中的n型功函數層上;沉積硬遮罩於n型閘極區上,以露出p型閘極區;自p型閘極區移除第一金屬填充層、n型功函數層、蓋層、與第一阻擋層;沉積第二阻擋層於p型閘極區中的第一半導體層上,其中第二阻擋層包覆第一半導體層;沉積p型功函數層於p型閘極區中的第二阻擋層上,其中p型功函數層包覆第一半導體層;以及沉積第二金屬填充層於p型功函數層上。
本發明一實施例提供多閘極裝置,其包括多個第一通道層位於基板上的p型區中;多個第二通道層,位於基板上的n型區中;p型閘極堆疊,位於第一通道層周圍;以及n型閘極堆疊,位於第二通道層周圍。p型閘極堆疊包括第一閘極介電層,圍繞第一通道層;p型功函數層,位於圍繞第一通道層的第一閘極介電層上,p型功函數層包括無氟鎢,且相鄰的第一通道層之間具有第一氧濃度;以及第一金屬填充層,位於p型功函數層上,且第一金屬填充層包括第一材料。n型閘極堆疊包括第二閘極介電層,圍繞第二通道層;n型功函數層,位於第二閘極介電層上,且n型功函數層圍繞第二通道層;蓋層,位於n型功函數層上,蓋層圍繞第二通道層,其中相鄰的第二通道層之間具有第二氧濃度,且第二氧濃度大於第一氧濃度;以及第二金屬填充層,位於蓋層上,第二金屬填充層包括第二材料,且第二材料不同於第一材料。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或實例可實施本發明的不同結構。本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。此外,下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構的實施例中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間,使結構及另一結構不直接接觸。
此外,空間相對用語如「在…下方」、「下方」、「較低的」、「上方」、「較高的」、或類似用詞,用於描述圖式中一些元件或結構與另一元件或結構之間的關係。這些空間相對用語包括使用中或操作中的裝置之不同方向,以及圖式中所描述的方向。當裝置轉向不同方向時(旋轉90度或其他角度),則使用的空間相對形容詞也將依轉向後的方向來解釋。
圖1A及1B係本發明多種實施例中,製作多閘極裝置的方法100的流程圖。在一些實施例中,方法100製作的多閘極裝置包括p型全繞式閘極電晶體與n型全繞式閘極電晶體。在方法100中,可先沉積n型閘極結構層於n型全繞式閘極電晶體與p型全繞式閘極電晶體所用的閘極溝槽中。在自p型全繞式閘極電晶體所用的閘極溝槽選擇性移除n型閘極結構層之後,可沉積p型閘極結構層至p型全繞式閘極電晶體所用的閘極溝槽中。步驟102形成第一半導體層堆疊與第二半導體層堆疊於基板上。第一半導體層堆疊與第二半導體層堆疊包括以交錯設置的方式垂直堆疊的第一半導體層與第二半導體層。步驟104形成閘極結構於第一半導體層堆疊的第一區與第二半導體層堆疊的第一區上。閘極結構包括虛置閘極堆疊與閘極間隔物。步驟106移除第二區中的第一半導體層堆疊的部分以及第二區中的第二半導體層堆疊的部分,以形成源極/汲極凹陷。步驟108沿著第一半導體層堆疊與第二半導體層堆疊中的第一半導體層的側壁形成內側間隔物。步驟110形成磊晶源極/汲極結構於源極/汲極凹陷中。步驟112形成層間介電層於磊晶源極/汲極結構上。步驟114移除虛置閘極堆疊,以形成閘極溝槽而露出p型閘極區中的第一半導體層堆疊與n型閘極區中的第二半導體層堆疊。步驟116自閘極溝槽所露出的第一半導體層堆疊與第二半導體層堆疊移除第一半導體層,以形成間隙於第二半導體層之間。步驟118形成閘極介電層於p型閘極區與n型閘極區中的第二半導體層周圍的閘極溝槽中。閘極介電層部分地填入第二半導體層之間的間隙。
步驟120形成n型功函數層於p型閘極區與n型閘極區中的閘極介電層之上的閘極溝槽中。步驟122形成蓋層於p型閘極區與n型閘極區中的n型功函數層之上的閘極溝槽中。步驟124形成阻擋層於p型閘極區與n型閘極區中的蓋層之上的閘極溝槽中。步驟126形成n型金屬基體層於p型閘極區與n型閘極區中的阻擋層之上的閘極溝槽中。步驟128形成硬遮罩於n型閘極區上的閘極溝槽中。步驟130自p型閘極區的閘極溝槽移除n型閘極層。步驟132形成閘極介電層於p型閘極區與n型閘極區中的第二半導體層周圍的閘極溝槽中。閘極介電層可部分地填入第二半導體層之間的間隙。步驟134形成p型阻擋層於p型閘極區中的閘極介電層之上的閘極溝槽中。步驟136形成p型功函數層於p型閘極區中的p型阻擋層之上的閘極溝槽中。步驟138形成p型金屬基體填充層於p型閘極區中的p型功函數層之上的閘極溝槽中。步驟140自n型閘極區移除硬遮罩。步驟142進行平坦化製程於金屬基體層、n型功函數層、p型功函數層、與閘極介電層上,以形成p型金屬閘極於p型閘極區中,並形成n型金屬閘極於n型閘極區中。方法100的步驟144接著形成接點。本發明實施例可實施額外製程。在方法100之前、之中、與之後可提供額外步驟,且方法100的額外實施例可調換、置換、或省略一些所述步驟。下述說明奈米線為主的積體電路裝置的多種實施例,其製作方法可依據方法100。
圖2A至24A、圖2B至24B、圖2C至24C、與圖2D至24D係本發明多種實施例中,多閘極裝置200的部分或整體於多種製作階段(如圖1A及1B中的方法相關的製作階段)的部分圖式。具體而言,圖2A至24A係多閘極裝置200於X-Y平面的上視圖。圖2B至24B係多閘極裝置200分別沿著圖2A至24A的剖線B-B’的剖視圖(在X-Z平面中)。圖2C至24C係多閘極裝置200分別沿著圖2A至24A的剖線C-C’的剖視圖(在Y-Z平面中)。圖2D至24D係多閘極裝置200分別沿著圖2A至24A的剖線D-D’的剖視圖(在Y-Z平面中)。多閘極裝置200可包含於微處理器、記憶體、及/或其他積體電路裝置中。在一些實施例中,多閘極裝置200為積體電路晶片、單晶片系統、或其部分的一部分,且可包括多種被動與主動半導體裝置如電阻、電容器、電感、二極體、p型場效電晶體、n型場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極接面電晶體、橫向擴散金氧半電晶體、高電壓電晶體、高頻電晶體、其他合適構件、或上述之組合。在一些實施例中,多閘極裝置200包含於非揮發性記憶體如非揮發性隨機存取記憶體、快閃記憶體、電可抹除可程式化唯讀記憶體、電可程式化唯讀記憶體、其他合適記憶體種類、或上述之組合中。已簡化圖2A至24A、圖2B至24B、圖2C至24C、與圖2D至24D以利理解本發明實施例的發明概念。可添加額外結構至多閘極裝置200中,且多閘極裝置200的其他實施例可置換、調整、或省略一些下述結構。
如圖2A至2D所示,多閘極裝置200包括基板(晶圓)202。在所述實施例中,基板202包括矽。基板202可額外或替代地包含另一半導體元素如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。基板202可改為絕緣層上半導體基板,比如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。絕緣層上半導體基板的製作方法可採用分離佈植氧、晶圓接合、及/或其他合適方法。基板202可包括多種摻雜區,端視多閘極裝置200的設計需求而定。在所述實施例中,基板202包括p型摻雜區如p型井204A (其可設置為用於n型全繞式閘極電晶體),以及n型摻雜區如n型井204B (其可設置為用於p型全繞式閘極電晶體)。n型摻雜區如n型井204B可摻雜n型摻質如磷、砷、其他n型摻質、或上述之組合。p型摻雜區如p型井204A可摻雜p型摻質如硼、銦、其他p型摻質、或上述之組合。在一些實施方式中,基板202包括的摻雜區具有p型摻質與n型摻質的組合。舉例來說,多種摻雜區可直接形成於基板202之上及/或之中,以提供p型井結構、n型井結構、雙井結構、隆起結構、或上述之組合。可進行離子佈植製程、擴散製程、及/或其他合適的摻雜製程,以形成多種摻雜區。
半導體層堆疊205形成於基板202上,其中半導體層堆疊205包括自基板202的表面交錯設置的半導體層210與半導體層215的垂直堆疊(如沿著z方向)。在一些實施例中,以交錯設置的方式磊晶成長半導體層210與半導體層215。舉例來說,磊晶成長第一個半導體層210於基板上,磊晶成長的一個半導體層215於第一個半導體層210上,磊晶成長二個半導體層210於第一個半導體層215上,且以此類推,直到半導體層堆疊205具有所需數目的半導體層210與半導體層215。在這些實施例中,半導體層210與半導體層215可視作磊晶層。在一些實施例中,磊晶成長半導體層210與半導體層215的方法可為分子束磊晶製程、化學氣相沉積製程、有機金屬化學氣相沉積製程、其他合適的磊晶成長製程、或上述之組合。
半導體層210與半導體層215的組成不同,以在後續製程中達到蝕刻選擇性及/或不同的氧化速率。在一些實施例中,半導體層210對蝕刻劑具有第一蝕刻速率,半導體層215對蝕刻劑具有第二蝕刻速率,且第二蝕刻速率小於第一蝕刻速率。在一些實施例中,半導體層210具有第一氧化速率,半導體層215具有第二氧化速率,且第二氧化速率小於第一氧化速率。在所述實施例中,半導體層210與半導體層215包括不同材料、組成原子%、組成重量%、厚度、及/或其他特性,以在蝕刻製程(如形成懸空通道層於多閘極裝置200的通道區中的蝕刻製程)時達到所需的蝕刻選擇性。舉例來說,當半導體層210包括矽鍺而半導體層215包括矽時,半導體層215的矽蝕刻速率小於半導體層210的矽鍺蝕刻速率。在一些實施例中,半導體層210與半導體層215可包括相同材料但不同的組成原子%,以達蝕刻選擇性及/或不同的氧化速率。舉例來說,半導體層210與半導體層215可包括矽鍺,其中半導體層210具有第一矽原子%及/或第一鍺原子%,而半導體層215具有不同的第二矽原子%與不同的第二鍺源子%。本發明實施例的半導體層210與半導體層215可包括任何半導體材料的組合,其可提供所需的蝕刻選擇性、所需的氧化速率差異、及/或所需的效能特性(比如最大化電流的材料),且可包括此處所述的任何半導體材料。
如下所述,半導體層215或其部分可形成多閘極裝置200的通道區。在所述實施例中,半導體層堆疊205包括四個半導體層210與四個半導體層215,其設置以形成四對半導體層於基板202上,且每一對半導體層具有個別的半導體層210與個別的半導體層215。在進行後續製程之後,此設置將造成多閘極裝置200具有四個通道。然而本發明實施例的半導體層堆疊205可包括更多或更少的半導體層,端視多閘極裝置200 (如全繞式閘極電晶體)所需的通道數目及/或多閘極裝置的設計需求而定。舉例來說,半導體層堆疊205可包括二至十個半導體層210與二至十個半導體層215。在所述實施例中,
半導體層210具有厚度t1,半導體層215具有厚度t2,且厚度t1與厚度t2的選擇依據多閘極裝置200的製作及/或裝置效能考量。舉例來說,厚度t1可設置以定義多閘極裝置200的相鄰通道之間(如半導體層215之間)所需的距離(或間隙),厚度t2可設置以達多閘極裝置200的通道所需的厚度,且厚度t1與厚度t2均可設置以達多閘極裝置200所需的效能。在一些實施例中,厚度t1與厚度t2為約1 nm至約10 nm。
如圖3A至3D所示,圖案化半導體層堆疊205以形成鰭狀物218A與鰭狀物218B (亦可視作鰭狀結構、鰭狀物單元、或類似結構)。鰭狀物218A及218B包括基板部分(如基板202的一部分)與半導體層堆疊部分(如含有半導體層210與半導體層215的半導體層堆疊205的保留部分)。鰭狀物218A及218B沿著y方向彼此實質上平行,且具有定義於y方向中的長度、定義於x方向中的寬度、與定義於z方向中的高度。在一些實施方式中,可進行微影及/或蝕刻製程以圖案化半導體層堆疊205而形成鰭狀物218A及218B。微影製程可包括形成光阻層於半導體層堆疊205上(比如旋轉塗佈)、進行曝光前烘烤製程、採用光罩進行曝光製程、進行曝光後烘烤製程、以及進行顯影製程。在曝光製程時,可由射線能量如紫外光、深紫外光、或極紫外光照射光阻層,其中光罩阻擋、穿透、及/或反射射線至光阻層,端視光罩的光罩圖案及/或光罩種類(比如二元光罩、相移光罩、或及紫外光光罩)而定,使投射於光阻層上的影像對應光罩圖案。由於光阻層對射線能量敏感,光阻層的曝光部分將產生化學變化,且顯影製程時可溶解光阻層的曝光部分(或未曝光部分),端視光阻層的特性與顯影製程中所用的顯影溶液的特性而定。在顯影之後,圖案化的光阻層包括光阻圖案以對應光罩。蝕刻製程可採用圖案化光阻層作為蝕刻遮罩,並移除半導體層堆疊205的部分。在一些實施例中,形成圖案化光阻層於半導體層堆疊205上的硬遮罩層之上,以第一蝕刻製程移除硬遮罩層的部分而形成圖案化硬遮罩層,並採用圖案化硬遮罩層作為蝕刻遮罩且以第二蝕刻製程移除半導體層堆疊205的部分。蝕刻製程可包括乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程為反應性離子蝕刻製程。舉例來說,蝕刻製程之後移除圖案化的光阻層(在一些實施例中亦可移除硬遮罩層),且移除方法可為光阻剝除製程或其他合適製程。鰭狀物218A及218B的形成方法可改用多重圖案化製程,比如雙重圖案化製程(如微影-蝕刻-微影-蝕刻製程、自對準雙重圖案化製程、間隔物為介電層的自對準雙重圖案化製程、其他雙重圖案化製程、或上述之組合)、三重圖案化製程(如微影-蝕刻-微影-蝕刻-微影-蝕刻製程、自對準三重圖案化製程、其他三重圖案化製程、或上述之組合)、其他多重圖案化製程(比如自對準四重圖案化製程)、或上述之組合。在一些實施例中,圖案化半導體層堆疊205時可實施定向自組裝技術。此外,一些實施例的曝光製程可實施無光罩微影、電子束寫入、及/或離子束寫入以圖案化光阻層。
隔離結構230形成於基板202之上及/或之中,以隔離多閘極裝置200的多種區域如多種裝置區。舉例來說,隔離結構230圍繞鰭狀物218A及218B的底部,因此隔離結構230使鰭狀物218A及218B彼此分開並隔離。在所述實施例中,隔離結構230圍繞鰭狀物218A及218B的基板部分(比如基板202的摻雜區如p型井204A與n型井204B),且部分圍繞鰭狀物218A及218B的半導體層堆疊部分(如最底部的半導體層210的一部分)。然而本發明實施例相對於鰭狀物218A及218B可實施不同設置的隔離結構230。隔離結構230包括氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(比如含矽、氧、氮、碳、或其他合適的隔離組成)、或上述之組合。隔離結構230可包括不同結構,比如淺溝槽隔離結構、深溝槽隔離結構、及/或局部氧化矽結構。舉例來說,隔離結構230可包括淺溝槽隔離結構,其可定義並電性隔離鰭狀物218A及218B與其他主動裝置區(如鰭狀物)及/或被動裝置區。淺溝槽隔離結構的形成方法,可為蝕刻溝槽於基板202中(比如採用乾蝕刻製程及/或濕蝕刻製程),並將絕緣材料填入溝槽(比如採用化學氣相沉積製程或旋轉塗佈玻璃製程)。可進行化學機械研磨製程以移除多餘的絕緣材料,及/或平坦化隔離結構230的上表面。在另一例中,可在形成鰭狀物218A及218B之後沉積絕緣材料於基板202上以形成淺溝槽隔離結構(在一些實施方式中,絕緣材料層可填入鰭狀物218A及218B之間的間隙或溝槽),並回蝕刻絕緣材料層而形成隔離結構230。在一些實施例中,淺溝槽隔離結構包括多層結構以填入溝槽,比如含氮化矽的層狀物位於含熱氧化物的襯墊層上。在另一例中,淺溝槽隔離結構包括介電層位於摻雜襯墊層(包括硼矽酸鹽玻璃或磷矽酸鹽玻璃)上。在又一例中,淺溝槽隔離結構包括基體介電層位於襯墊介電層上,其中基體介電層與襯墊介電層包括的材料端視設計需求而定。
如圖4A至4D所示,閘極結構240形成於鰭狀物218A及218B的部分與隔離結構230上。閘極結構240的長度方向不同於(比如垂直於)鰭狀物218A及218B的長度方向。舉例來說,閘極結構240沿著x方向延伸且彼此實質上平行,其具有定義於y方向中的長度、定義於x方向中的寬度、與定義於z方向中的高度。閘極結構240位於鰭狀物218A及218B的部分上,並定義鰭狀物218A及218B的通道區244與源極/汲極區242。在X-Z平面中,閘極結構240包覆鰭狀物218A及218B的上表面與側壁表面。在Y-Z平面中,閘極結構240位於鰭狀物218A及218B的個別通道區244的上表面上,使閘極結構240夾設於個別的源極/汲極區242之間。閘極結構240各自包括閘極區240-1以對應即將設置為用於n型全繞式閘極電晶體的個別閘極結構240的一部分(因此對應跨過n型全繞式閘極電晶體區的一部分),以及閘極區240-2以對應即將設置為用於p型全繞式閘極電晶體的個別閘極結構240的一部分(因此對應跨過p型全繞式閘極電晶體區的一部分)。可設置不同的閘極結構240於閘極區240-1與閘極區240-2中。舉例來說,閘極結構240的金屬閘極堆疊各自越過閘極區240-1與閘極區240-2,且在閘極區240-1與閘極區240-2中可具有不同設置,以最佳化n型全繞式閘極電晶體(具有n型閘極於閘極區240-1中)與p型全繞式閘極電晶體(具有p型閘極於閘極區240-2中)的效能。綜上所述,閘極區240-1可視作n型閘極區240-1,而閘極區240-2可視作p型閘極區240-2。
在圖4A至4D中,閘極結構240各自包括虛置閘極堆疊245。在所述實施例中,虛置閘極堆疊245的寬度可定義閘極結構240的閘極長度L g(於y方向中),其中閘極長度L g定義n型全繞式閘極電晶體及/或p型全繞式閘極電晶體開啟時,電流(如載子,比如電子或電洞)流動於源極/汲極區242之間的距離(或長度)。在一些實施例中,閘極長度為約5 nm至約250 nm。可調整閘極長度以達全繞式閘極電晶體所需的操作速度,及/或全繞式閘極電晶體所需的封裝密度。舉例來說,當全繞式閘極電晶體開啟時,電流流動於全繞式閘極電晶體的源極/汲極區之間。增加閘極長度將增加電流流動於源極/汲極區之間所需的距離,並增加完全開啟全繞式閘極電晶體所需的時間。相反地,減少閘極長度可減少電流流動於源極/汲極區之間所需的距離,並減少完全開啟全繞式閘極電晶體所需的時間。較小的閘極長度可更快地開關全繞式閘極電晶體,有利於更快的高速操作。較小的閘極長度亦有利於更緊密的封裝密度(比如製作更多全繞式閘極電晶體於積體電路晶片的給定面積中),其可增加積體電路晶片上的功能與應用。在所述實施例中,可設置一或多個閘極結構240的閘極長度,使全繞式閘極電晶體的通道長度短。舉例來說,長度通道短的全繞式閘極電晶體的閘極長度可為約5 nm至約20 nm。
在一些實施例中,多閘極裝置200可包括具有不同閘極長度的全繞式閘極電晶體。舉例來說,可設置一或多個閘極結構240的閘極長度,使全繞式閘極電晶體的通道長度中等或長。在一些實施例中,通道長度中等或長的全繞式閘極電晶體的閘極長度,可為約20 nm至約250 nm。
虛置閘極堆疊245包括虛置閘極。在一些實施例中,虛置閘極堆疊245可包括虛置閘極介電層。虛置閘極包括合適的虛置閘極材料,比如多晶矽。在虛置閘極堆疊245包括虛置閘極介電層位於虛置閘極與鰭狀物218A及218B之間的實施例中,虛置閘極介電層包括介電材料如氧化矽、高介電常數的介電材料、其他合適的介電材料、或上述之組合。高介電常數的介電材料的例子包括氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。在一些實施例中,虛置閘極介電層包括界面層(比如氧化矽)位於鰭狀物218A及218B上,以及高介電常數的介電層位於界面層上。虛置閘極堆疊245可包括多個其他層,比如蓋層、界面層、擴散層、阻障層、硬遮罩層、或上述之組合。舉例來說,虛置閘極堆疊245可進一步包括硬遮罩層位於虛置閘極上。
虛置閘極堆疊245的形成方法可為沉積製程、微影製程、蝕刻製程、其他合適製程、或上述之組合。舉例來說,可進行沉積製程以形成虛置閘極層於鰭狀物218A及218B與隔離結構230之上。在一些實施例中,在形成虛置閘極層之前,進行沉積製程以形成虛置閘極介電層於鰭狀物218A及218B與隔離結構230之上。在這些實施例中,虛置閘極層沉積於虛置閘極介電層上。在一些實施例中,硬遮罩層沉積於虛置閘極層上。沉積製程包括化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。接著進行微影圖案化與蝕刻製程以圖案化虛置閘極層(在一些實施例中亦圖案化虛置閘極介電層與硬遮罩層),以形成虛置閘極堆疊245,使虛置閘極堆疊245 (含虛置閘極層、虛置閘極介電層、硬遮罩層、及/或其他合適層)設置如圖4A至4D所示。微影圖案化製程包括塗佈光阻(如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(比如硬烘烤)、其他合適的微影製程、或上述之組合。蝕刻製程包括乾蝕刻製程、濕蝕刻製程、其他蝕刻方法、或上述之組合。
閘極結構240可各自進一步包括閘極間隔物247以與個別虛置閘極堆疊245相鄰(比如沿著個別虛置閘極堆疊245的側壁)。閘極間隔物247的形成方法可為任何合適製程,且可包括介電材料。介電材料可包括矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽、碳氧化矽、或碳氮氧化矽。舉例來說,可沉積介電層(包括矽與氮如氮化矽層)於虛置閘極堆疊245上,接著蝕刻(如非等向蝕刻)介電層以形成閘極間隔物247。在一些實施例中,閘極間隔物247包括多層結構如含氮化矽的第一介電層與含氧化矽的第二介電層。在一些實施例中,可形成超過一組間隔物如密封間隔物、補償間隔物、犧牲間隔物、虛置間隔物、及/或主要間隔物以與虛置閘極堆疊245相鄰。在這些實施方式中,多組間隔物包括的材料具有不同蝕刻速率。舉例來說,可沉積並蝕刻含矽與氧如氧化矽的第一介電層以形成第一組間隔物而與虛置閘極堆疊245相鄰,且可沉積並蝕刻含矽與氮如氮化矽的第二介電層以形成第二組間隔物而與第一組間隔物相鄰。
如圖5A至5D所示,至少部分地移除鰭狀物218A及218B的露出部分(如閘極結構240未覆蓋的鰭狀物218A及218B的源極/汲極區242),以形成源極/汲極溝槽250 (如凹陷)。在所述實施例中,蝕刻製程完全移除鰭狀物218A及218B的源極/汲極區242中的半導體層堆疊205,以露出源極/汲極區242中的鰭狀物218A及218B的基板部分(如p型井204A與n型井204B)。因此源極/汲極溝槽250的側壁可由半導體層堆疊205的保留部分定義(其位於閘極結構240之下的通道區244中),且底部可由基板202所定義(比如源極/汲極區242中的p型井204A的與n型井204B的上表面)。在一些實施例中,蝕刻製程可移除一些但非全部的半導體層堆疊205,使源極/汲極溝槽250的底部可由源極/汲極區242中的半導體層210或半導體層215定義。在一些實施例中,蝕刻製程可進一步移除一些但非全部的鰭狀物218A及218B的基板部分,使源極/汲極溝槽250可延伸至低於基板202的上表面。蝕刻製程可包括乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程為多步驟的蝕刻製程。舉例來說,蝕刻製程可改變蝕刻劑以分開並交錯地移除半導體層210與半導體層215。在一些實施例中,蝕刻製程的參數設置以選擇性蝕刻半導體層堆疊,且最小或地蝕刻(或不蝕刻)閘極結構240 (如虛置閘極堆疊245與閘極間隔物247)及/或隔離結構230。在一些實施例中,可進行此處所述的微影製程以形成圖案化遮罩層而覆蓋閘極結構240及/或隔離結構230,且蝕刻製程可採用圖案化遮罩層作為蝕刻遮罩。
如圖6A至6D所示,內側間隔物255沿著半導體層210的側壁形成於通道區244中,其形成方法可為任何合適製程。舉例來說,可進行第一蝕刻製程以選擇性蝕刻源極/汲極溝槽250所露出的半導體層210,並最小化地蝕刻(或不蝕刻)半導體層215,使間隙形成於閘極間隔物247之下的基板202與半導體層215之間以及半導體層215之間。因此半導體層215的部分(如邊緣)懸空於閘極間隔物247之下的通道區244中。在一些實施例中,間隙部分地延伸於虛置閘極堆疊245之下。第一蝕刻製程可設置以橫向(如沿著y方向)蝕刻半導體層210,以沿著y方向減少半導體層210的長度。第一蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。接著以沉積製程形成間隔物層於閘極結構240之上與定義源極/汲極溝槽250所用的結構(如半導體層215、半導體層210、與基板202)之上,且沉積製程可為化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適方法、或上述之組合。間隔物層部分地填入(在一些實施例中可完全填入)源極/汲極溝槽250。沉積製程設置以確保間隔物層填入閘極間隔物247之下的基板202與半導體層215之間以及半導體層215之間的間隙。接著進行第二蝕刻製程,以選擇性蝕刻間隔物層而形成圖6A至6D所示的內側間隔物255,並最小化地蝕刻(或不蝕刻)半導體層215、虛置閘極堆疊245、與閘極間隔物247。在一些實施例中,自閘極間隔物247的側壁、半導體層215的側壁、虛置閘極堆疊245、與基板202移除間隔物層。間隔物層(與內側間隔物255)包括的材料不同於半導體層215的材料與閘極間隔物247的材料,以在第二蝕刻製程時達到所需的蝕刻選擇性。在一些實施例中,間隔物層包括的介電材料含矽、氧、碳、氮、其他合適材料、或上述之組合,比如氧化矽、氮化矽、氮氧化矽、碳化矽、或碳氮氧化矽。在一些實施例中,間隔物層包括此處所述的低介電常數的介電材料。在一些實施例中,可將摻質如p型摻質、n型摻質、或上述之組合導入介電材料,使間隔物層包括摻雜的介電材料。
如圖7A至7D所示,磊晶源極/汲極結構形成於源極/汲極溝槽250中。舉例來說,可自源極/汲極溝槽250所露出的基板202的部分與半導體層215磊晶成長半導體材料,以形成磊晶源極/汲極結構260A於對應n型全繞式閘極電晶體區的源極/汲極區242中,並形成磊晶源極/汲極結構260B於對應p型全繞式閘極電晶體區的源極/汲極區242中。磊晶製程可採用化學氣相沉積技術(比如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、其他合適的磊晶成長製程、或上述之組合。磊晶製程可採用氣相及/或液相前驅物,其可與基板202及/或半導體層堆疊205 (具體為半導體層215)的組成作用。磊晶源極/汲極結構260A及260B可摻雜n型摻質及/或p型摻質。在一些實施例中,對n型全繞式閘極電晶體而言,磊晶源極/汲極結構260A包括矽。磊晶源極/汲極結構260A可摻雜碳、磷、砷、其他n型摻質、或上述之組合,比如形成摻雜碳的矽磊晶源極/汲極結構、摻雜磷的矽源極/汲極結構、或摻雜磷與碳的矽磊晶源極/汲極結構。在一些實施例中,對p型全繞式閘極電晶體而言,磊晶源極/汲極結構260B包括矽鍺或鍺。磊晶源極/汲極結構260B可摻雜硼、其他p型摻質、或上述之組合,比如形成摻雜硼的矽鍺磊晶源極/汲極結構。在一些實施例中,磊晶源極/汲極結構260A及/或磊晶源極/汲極結構260B包括多個磊晶半導體層,其中磊晶半導體層可包括相同或不同的材料及/或摻質濃度。在一些實施例中,磊晶源極/汲極結構260A及260B包括的材料及/或摻質可達到個別通道區244中所需的拉伸應力及/或壓縮應力。在一些實施例中,可在沉積時添加雜質至磊晶製程的源材料以摻雜(如原位摻雜)磊晶源極/汲極結構260A及260B。在一些實施例中,可在沉積製程之後以離子佈植製程摻雜磊晶源極/汲極結構260A及260B。在一些實施例中,可進行退火製程(如快速熱退火及/或雷射退火)以活化磊晶源極/汲極結構260A及260B及/或其他源極/汲極區(比如重摻雜源極/汲極區及/或輕摻雜源極/汲極區)中的摻質。在一些實施例中,磊晶源極/汲極結構260A及260B可由分開的製程順序形成,比如在形成磊晶源極/汲極結構260A於n型全繞式閘極電晶體區之中時,遮罩p型全繞式閘極電晶體區;並在形成磊晶源極/汲極結構260B於p型全繞式閘極電晶體區之中時,遮罩n型全繞式閘極電晶體區。
如圖8A至8D所示,形成層間介電層270於隔離結構230、磊晶源極/汲極結構260A及260B、與閘極間隔物247上,其形成方法可為沉積製程如化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、電鍍、其他合適方法、或上述之組合。層間介電層270位於相鄰的閘極結構240之間。在一些實施例中,層間介電層270的形成方法可為可流動的化學氣相沉積製程,其包括沉積可流動的材料(如液體化合物)於多閘極裝置200上,並由合適技術如熱退火及/或紫外線處理以將可流動的材料轉變成固體材料。舉例來說,層間介電層270包括介電材料如氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷的氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、低介電常數的介電材料、其他合適的介電材料、或上述之組合。例示性的低介電常數的介電材料包括氟矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond® (購自加州Santa Clara的Applied Materials)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、苯并環丁烯、SiLK (購自密西根州Midland的Dow Chemical)、聚醯亞胺、其他低介電常數的介電材料、或上述之組合。在所述實施例中,層間介電層270為具有低介電常數的材料的介電層。層間介電層270可包括多層結構,其具有多種介電材料。在一些實施例中,接點蝕刻停止層位於層間介電層270與隔離結構230、磊晶源極/汲極結構260A及260B、以及閘極間隔物247之間。接點蝕刻停止層包括的材料不同於層間介電層270,比如不同於層間介電層270的介電材料。舉例來說,當層間介電層270包括低介電常數的介電材料時,接點蝕刻停止層可包括矽與氮如氮化矽或氮氧化矽。在沉積層間介電層270及/或接點蝕刻停止層之後,可進行化學機械研磨製程及/或其他平坦化製程,直到露出虛置閘極堆疊245的頂部或上表面。在一些實施例中,平坦化製程可移除虛置閘極堆疊245的硬遮罩層,以露出下方的虛置閘極堆疊245的虛置閘極如多晶矽閘極層。
層間介電層270可為基板202上的多層內連線結構的一部分。多層內連線結構電性耦接多種裝置(比如多閘極裝置200的p型全繞式閘極電晶體及/或n型全繞式閘極電晶體、電晶體、電阻、電容器、及/或電感)及/或構件(比如p型全繞式閘極電晶體及/或n型全繞式閘極電晶體的閘極結構及/或磊晶源極/汲極結構),使多種裝置及/或構件可依多閘極裝置200所需的設計規格操作。多層內連線結構包括介電層與導電層(如金屬層)的組合,其設置以形成多種內連線結構。導電層設置以形成垂直內連線結構如裝置層的接點及/或通孔,及/或水平內連線結構如導電線路。垂直內連線結構通常可連接多層內連線結構的不同層或不同平面中的結構。在操作時,內連線結構設置以輸送訊號於多閘極裝置200的裝置及/或構件之間,及/或傳遞訊號(如時序訊號、電壓訊號、及/或地線訊號)至多閘極裝置200的裝置及/或構件。
如圖9A至9D所示,自閘極結構240移除虛置閘極堆疊245,以露出n型閘極區240-1與p型閘極區240-2中的鰭狀物218A及218B的半導體層堆疊205。在所述實施例中,蝕刻製程可完全移除虛置閘極堆疊245以露出通道區244中的半導體層215與半導體層210。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程可為多步驟的蝕刻製程。舉例來說,蝕刻製程可改變蝕刻劑以分開移除虛置閘極堆疊245的多種層狀物如虛置閘極層、虛置閘極介電層、及/或硬遮罩層。在一些實施例中,蝕刻製程設置以選擇性蝕刻虛置閘極堆疊245,且最小化地蝕刻(或不蝕刻)多閘極裝置200的其他結構如層間介電層270、閘極間隔物247、隔離結構230、半導體層215、與半導體層210。在一些實施例中,進行此處所述的微影製程,以形成圖案化遮罩層而覆蓋層間介電層270及/或閘極間隔物247,且蝕刻製程採用圖案化遮罩層作為蝕刻遮罩。
如圖10A至10D所示,自通道區244選擇性移除閘極溝槽275所露出的半導體層堆疊205的半導體層210,進而形成懸空的半導體層如通道層215’於通道區244中。在所述實施例中,蝕刻製程可選擇性蝕刻半導體層210而最小化地蝕刻(或不蝕刻)半導體層215。在一些實施例中,蝕刻製程可最小化地蝕刻(或不蝕刻)閘極間隔物247及/或內側間隔物255。可調整多種蝕刻參數以選擇性蝕刻半導體層210,比如蝕刻劑組成、蝕刻溫度、蝕刻溶液濃度、蝕刻時間、蝕刻壓力、源功率、射頻偏電壓、射頻偏功率、蝕刻劑流速、其他合適的蝕刻參數、或上述之組合。舉例來說,選擇蝕刻製程所用的蝕刻劑,使半導體層210的材料(在所述實施例中為矽鍺)的蝕刻速率高於半導體層215的材料(在所述實施例中為矽)的蝕刻速率,比如蝕刻劑對半導體層210的材料具有高蝕刻選擇性。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程、或上述之組合。在一些實施例中,乾蝕刻製程(如反應性離子蝕刻)採用含氟氣體(如六氟化硫)以選擇性蝕刻半導體層210。在一些實施例中,可調整含氟氣體與含氧氣體(如氧氣)的比例、蝕刻溫度、及/或射頻功率,以選擇性蝕刻矽鍺或矽。在一些實施例中,濕蝕刻製程採用含氫氧化銨與水的蝕刻溶液,以選擇性蝕刻半導體層210。在一些實施例中,化學氣相蝕刻製程可採用氯化氫以選擇性蝕刻半導體層210。
因此閘極溝槽275露出n型閘極區240-1與p型閘極區240-2中的至少一懸空的半導體層如通道層215’。在所述實施例中,n型閘極區240-1與p型閘極區240-2各自包括垂直堆疊的四個懸空的半導體層如通道層215’,其在操作全繞式閘極電晶體時可提供個別磊晶源極/汲極結構(如磊晶源極/汲極結構260A或磊晶源極/汲極結構260B)之間的電流流動的四個通道。因此懸空的半導體層可視作通道層215’。n型閘極區240-1中的通道層215’隔有間隙277A,而p型閘極區240-2中的通道層215’隔有間隙277B。n型閘極區240-1中的通道層215’與基板202亦可隔有間隙277A,而p型閘極區240-2中的通道層215’與基板202亦可隔有間隙277B。空間s1沿著z方向定義於n型閘極區240-1中的通道層215’之間,而空間s2沿著z方向定義於p型閘極區240-2中的通道層215’之間。空間s1與空間s2分別對應間隙277A與間隙277B的寬度。在所述實施例中,空間s1大致等於空間s2,但本發明實施例的空間s1亦可不同於空間s2。在一些實施例中,空間s1與空間s2均大致等於半導體層210的厚度t1。此外,n型閘極區240-1中的通道層215’具有沿著x方向的長度l1與沿著y方向的寬度w1,且p型閘極區240-2中的通道層215’具有沿著y方向的長度l2與沿著x方向的寬度w2。在所述實施例中,長度l1大致等於長度l2,而寬度w1大致等於寬度w2,但本發明實施例的長度l1可不同於長度l2及/或寬度w1可不同於寬度w2。在一些實施例中,長度l1及/或長度l2可為約10 nm至約50 nm。在一些實施例中,寬度w1及/或寬度w2可為約4 nm至約10 nm。在一些實施例中,通道層215’各自具有奈米尺寸且可視作奈米線,其通常指的是懸空的通道層,而金屬閘極可物理接觸通道層的至少兩側。在全繞式閘極電晶體中,金屬閘極可物理接觸通道層的至少四側(如圍繞通道層)。在這些實施例中,懸空通道層的垂直堆疊可視作奈米結構,且圖10A至10D所示的製程可視作通道奈米線釋放製程。一些實施例在移除半導體層210之後,可進行蝕刻製程調整通道層215’的輪廓,以達所需尺寸及/或所需形狀(比如圓柱狀(如奈米線)、矩形(如奈米棒)、片狀(如奈米片)、或類似形狀)。本發明實施例的通道層215’ (奈米線)亦可具有次奈米尺寸,端視多閘極裝置200的設計需求而定。
如圖11A至11D所示,閘極介電層形成於多閘極裝置200上,其中閘極介電層部分地填入閘極溝槽275,並包覆(圍繞)閘極結構240的n型閘極區240-1與p型閘極區240-2中的通道層215’。在所述實施例中,閘極介電層包括界面層280與高介電常數的介電層282,其中界面層280位於高介電常數的介電層282與通道層215’之間。在所述實施例中,界面層280與高介電常數的介電層282部分地填入n型閘極區240-1中的通道層215’之間以及通道層215’與基板202之間的間隙277A,並部分地填入p型閘極區240-2中的通道層215’之間以及通道層215’與基板202之間的間隙277B。在一些實施例中,界面層280及/或高介電常數的介電層282亦可位於基板202、隔離結構230、及/或閘極間隔物247上。界面層280包括介電材料如氧化矽、氧化鉿矽、氮氧化矽、其他含矽的介電材料、其他合適的介電材料、或上述之組合。高介電常數的介電層282包括高介電常數的介電材料,比如氧化鉿、氧化鉿矽、矽酸鉿、氮氧化鉿矽、氧化鉿鑭、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鉿鋁、氧化鋯、二氧化鋯、氧化鋯矽、氧化鋁、氧化鋁矽、三氧化二鋁、氧化鈦、二氧化鈦、氧化鑭、氧化鑭矽、三氧化二鉭、五氧化二鉭、氧化釔、鈦酸鍶、氧化鋇鋯、鈦酸鋇、鈦酸鋇鍶、氮化矽、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。高介電常數的介電材料通常視作具有高介電常數(比如大於氧化矽的介電常數如約3.9)的介電材料。界面層280的形成方法可為此處所述的任何製程,比如熱氧化、化學氧化、原子層沉積、化學氣相沉積、其他合適製程、或上述之組合。在一些實施例中,界面層280的厚度可為約0.5 nm至約3 nm。高介電常數的介電層282的形成方法可為任何此處所述的製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、氧化為主的沉積製程、其他合適製程、或上述之組合。在一些實施例中,高介電常數的介電層282的厚度為約1 nm至約2 nm。
如圖12A至12D所示,n型功函數層300形成於多閘極裝置200上,具體為部分地形成於閘極結構240的n型閘極區240-1與p型閘極區240-2中的高介電常數的介電層282上。舉例來說,原子層沉積製程順應性地沉積n型功函數層300於高介電常數的介電層282上,使n型功函數層300具有實質上一致的厚度,並沿著閘極長度方向部分地填入n型閘極區240-1與p型閘極區240-2中的閘極溝槽275。在n型閘極區240-1與p型閘極區240-2中,n型功函數層300位於高介電常數的介電層282上並圍繞高介電常數的介電層282、界面層280、與通道層215’。舉例來說,n型功函數層300沿著通道層215’的側壁、頂部、與底部。在所述實施例中,n型功函數層300的厚度可部分地填入n型閘極區240-1與p型閘極區240-2中的通道層215’之間以及通道層215’與基板202之間的間隙277A及277B。在一些實施例中,n型功函數層300的厚度為約1 nm至約5 nm。n型功函數層300包括任何合適的n型功函數材料,比如鈦、鋁、銀、錳、鋯、鈦鋁、碳化鈦鋁、碳化鈦鋁矽、碳化鉭、碳氮化鉭、氮化鉭矽、鉭鋁、碳化鉭鋁、碳化鉭鋁矽、氮化鈦鋁、其他n型功函數材料、或上述之組合。在所述實施例中,n型功函數層300包括鋁。舉例來說,n型功函數層300包括鈦與鋁,比如鈦鋁、碳化鈦鋁、鈦鋁矽化物、或碳化鈦鋁矽。n型功函數層300的形成方法可改為採用另一合適的沉積製程,比如化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。
如圖13A至13D所示,形成蓋層310於多閘極裝置200上,具體形成於閘極結構240的n型閘極區240-1與p型閘極區240-2中的n型功函數層300上。舉例來說,原子層沉積製程可順應性地沉積蓋層310於n型功函數層300上,使蓋層310具有實質上一致的厚度並沿著閘極長度方向部分地填入n型閘極區240-1與p型閘極區240-2中的閘極溝槽275。在n型閘極區240-1與p型閘極區240-2中,蓋層310位於n型功函數層300上,並圍繞n型功函數層300、高介電常數的介電層282、界面層280、與通道層215’。舉例來說,蓋層310沿著通道層215’的側壁、頂部、與底部。在所述實施例中,蓋層310的厚度可完全填入n型閘極區240-1與p型閘極區240-2中的通道層215’之間以及通道層215’與基板202之間的間隙277A及277B。在一些實施例中,蓋層310的厚度為約1 nm至約5 nm。在一些實施例中,蓋層的形成方法可採用原位原子層沉積製程,在形成蓋層310時不暴露n型功函數層300至氧,以避免氧化n型功函數層。原位形成蓋層310可減少n型功函數層300的氧化,以改善n型全繞式閘極電晶體的效能。蓋層310可包括一或多種導電材料,比如氮化鈦、氮化鈦矽、氮化鉭矽、氮化鉭、碳化鉭、碳氮化鉭、碳氮化鎢、氮化鈦鋁、矽、其他合適的金屬材料、或上述之組合。蓋層310的形成方法可改用另一合適的沉積製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。
在一些其他實施例中,蓋層310可包括鈦、氮、氧、或矽。在形成蓋層310的製程例子中,可採用原子層沉積製程形成金屬氮化物層如氮化鈦層,且原子層沉積製程可採用含鈦試劑如四(二甲基胺基)鈦或四氯化鈦,以及含氮試劑如氨。一實施例在沉積氮化鈦層之後,製程腔室可破真空並將多閘極裝置200浸入矽烷。矽烷與環境大氣中的氧可反應形成氧化矽層於氮化鈦層上。在此實施例中,蓋層310可包括鈦、氮、氧、與矽。在其他實施例中,沉積氮化鈦層之後的多閘極裝置可原位浸入矽完以形成鈦的矽化物。在此實施例中,蓋層310可包括鈦、氮、與矽。在此實施例中,蓋層310的厚度可為約1 nm至約2 nm。由於蓋層310薄,蓋層310不會非必要地增加最終閘極結構的電阻。
如圖14A至14D所示,阻擋層320形成於多閘極裝置200上,具體形成於閘極結構240的n型閘極區240-1與p型閘極區240-2中的蓋層310上。舉例來說,原子層沉積製程可順應性地沉積阻擋層320於蓋層310上,使阻擋層320具有實質上一致的厚度並部分地填入閘極溝槽275。阻擋層320包括的材料可阻擋及/或減少閘極層(如金屬填充層與n型功函數層300)之間的擴散。阻擋層320的形成方法可改用另一合適的沉積製程如原子層沉積、化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。
如圖15A至15D所示,n型金屬填充層350 (或基體層)形成於多閘極裝置200上,具體為n型閘極區240-1與p型閘極區240-2中的阻擋層320上。舉例來說,化學氣相沉積或物理氣相沉積製程可沉積金屬填充層350於阻擋層320上,使金屬填充層350填入閘極溝槽275的任何其餘部分。金屬填充層350包括合適的導電材料如鋁、鎢、及/或銅。金屬填充層350可額外或共同包含其他金屬、金屬氧化物、金屬氮化物、其他合適材料、或上述之組合。舉例來說,所述實施例的n型金屬填充層350包括無氟鎢。
如圖16A至16D所示,具有一或多個開口的圖案化遮罩層352形成於多閘極裝置200上,具體形成於閘極結構240的n型閘極區240-1上。圖案化遮罩層352的形成方法可為微影製程。圖案化遮罩層352的材料可不同於金屬填充層350、阻擋層320、蓋層310、與n型功函數層300,以在自閘極結構240的p型閘極區240-2移除金屬填充層350、阻擋層320、蓋層310、與n型功函數層300時達到蝕刻選擇性。在一些實施例中,圖案化遮罩層352的材料可不同於高介電常數的介電層282與界面層280,以在自閘極結構240的p型閘極區240-2移除高介電常數的介電層282與界面層280時達到蝕刻選擇性。舉例來說,圖案化遮罩層352包括光阻材料(因此可視作圖案化光阻層)。在一些實施例中,圖案化遮罩層352具有多層結構,比如光阻層位於抗反射塗層上。本發明實施例可實施其他材料以用於圖案化遮罩層352,只要在移除n型閘極材料(具體為金屬填充層350、阻擋層320、蓋層310、與n型功函數層300)時達到蝕刻選擇性即可。在一些實施例中,微影製程可包括形成光阻層於多閘極裝置200上(比如旋轉塗佈)、進行曝光前烘烤製程、採用光罩進行曝光製程、進行曝光後烘烤製程、以及進行顯影製程。
在曝光製程時,可由射線能量如紫外光、深紫外光、或極紫外光照射光阻層,其中光罩阻擋、穿透、及/或反射射線至光阻層,端視光罩的光罩圖案及/或光罩種類(比如二元光罩、相移光罩、或及紫外光光罩)而定,使投射於光阻層上的影像對應光罩圖案。由於光阻層對射線能量敏感,光阻層的曝光部分將產生化學變化,且顯影製程時可溶解光阻層的曝光部分(或未曝光部分),端視光阻層的特性與顯影製程中所用的顯影溶液的特性而定。在顯影之後,圖案化光阻層(如圖案化遮罩層352)包括光阻圖案以對應光罩,其中圖案化光阻層覆蓋含有n型閘極區240-1的n型全繞式閘極電晶體區,並露出含有p型閘極區240-2的p型全繞式閘極電晶體區。曝光製程可改為實施其他方法如無光罩微影、電子束寫入、離子束寫入、或上述之組合。
如圖17A至17D所示,可由蝕刻製程自閘極結構240的p型閘極區240-2移除n型閘極層,包括通道層215’之間與周圍的n型閘極層以及沿著閘極溝槽275的側壁與底部的n型閘極層。具體而言,可自閘極結構240的p型閘極區240-2移除金屬填充層350、阻擋層320、蓋層310、n型功函數層300、高介電常數的介電層282、與界面層280,且少量蝕刻或不蝕刻閘極結構240的n型閘極區240-1中的n型閘極層。在一些實施例中,蝕刻製程時可不自閘極結構240的p型閘極區240-2移除高介電常數的介電層282與界面層280。在移除n型閘極層時,蝕刻製程採用圖案化遮罩層352作為蝕刻遮罩,進而露出閘極結構240的p型閘極區240-2中的通道層215’。蝕刻製程基本上可重新形成p型閘極區240-2中的間隙277B的一部分。在一些實施例中,蝕刻製程可為濕蝕刻製程、乾蝕刻製程、及/或上述蝕刻製程的組合。在一些實施例中,蝕刻製程可為單一蝕刻製程,其可自閘極結構240的p型閘極區240-2移除所有的n型閘極層。在一些實施例中,蝕刻製程包括多個蝕刻製程,且每一蝕刻製程對每一層(具體為金屬填充層350、阻擋層320、蓋層310、n型功函數層300、高介電常數的介電層282、與界面層280)具有高蝕刻選擇性。控制蝕刻製程的參數如蝕刻溫度、蝕刻溶液濃度、蝕刻時間、其他合適的蝕刻參數、或上述之組合,以確保自p型閘極區204-2完全移除每一n型閘極層。舉例來說,可調整蝕刻製程以在垂直方向中移除閘極結構240的p型閘極區240-2中的金屬填充層350,在水平方向中少量蝕刻或不蝕刻移除p型閘極區240-2中的金屬填充層350,以及少量蝕刻或不蝕刻n型閘極區240-1中的金屬填充層350。
如圖18A至18D所示,閘極介電層形成於多閘極裝置200上,其中閘極介電層部分地填入閘極溝槽275並包覆(圍繞)閘極結構240的p型閘極區240-2中的通道層215’。在所述實施例中,閘極介電層包括界面層280與高介電常數的介電層282,其中界面層280位於高介電常數的介電層282與通道層215’之間。在所述實施例中,界面層280與高介電常數的介電層282部分地填入p型閘極區240-2中的通道層215’之間以及通道層215’與基板202之間的間隙277B。在一些實施例中,界面層280及/或高介電常數的介電層282亦位於基板202、隔離結構230、及/或閘極間隔物247之上。界面層280包括介電材料如氧化矽、氧化鉿矽、氮氧化矽、其他含矽的介電材料、其他合適的介電材料、或上述之組合。高介電常數的介電層282包括高介電常數的介電材料,比如氧化鉿、氧化鉿矽、矽酸鉿、氮氧化鉿矽、氧化鉿鑭、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鉿鋁、氧化鋯、二氧化鋯、氧化鋯矽、氧化鋁、氧化鋁矽、三氧化二鋁、氧化鈦、二氧化鈦、氧化鑭、氧化鑭矽、三氧化二鉭、五氧化二鉭、氧化釔、鈦酸鍶、氧化鋇鋯、鈦酸鋇、鈦酸鋇鍶、氮化矽、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、或上述之組合。高介電常數的介電材料通常視作具有高介電常數(比如大於氧化矽的介電常數如約3.9)的介電材料。界面層280的形成方法可為此處所述的任何製程,比如熱氧化、化學氧化、原子層沉積、化學氣相沉積、其他合適製程、或上述之組合。在一些實施例中,界面層280的厚度可為約0.5 nm至約3 nm。高介電常數的介電層282的形成方法可為任何此處所述的製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、氧化為主的沉積製程、其他合適製程、或上述之組合。在一些實施例中,高介電常數的介電層282的厚度為約1 nm至約2 nm。
如圖19A至19D所示,阻擋層370形成於多閘極裝置200上,其中阻擋層370部分地填入閘極溝槽275並包覆(圍繞)p型閘極區240-2中的通道層215’。舉例來說,阻擋層370沉積於高介電常數的介電層282上的方法可為此述所述的任何製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適製程、或上述之組合。在所述實施例中,阻擋層370位於高介電常數的介電層282上並圍繞高介電常數的介電層282、界面層280、與通道層215’。舉例來說,阻擋層370沿著通道層215’的側壁、頂部、與底部。阻擋層370的厚度設置以部分地填入p型閘極區240-2中的通道層215’之間的間隙277B,但不填入閘極溝槽275或間隙277B。在一些實施例中,阻擋層370的厚度可為約0.5 nm至約5 nm。阻擋層370可包括導電材料如氮化鈦、氮化鈦矽、氮化鉭矽、氮化鉭、碳化鉭、碳氮化鉭、碳氮化鎢、氮化鈦鋁、矽、其他合適的金屬材料、或上述之組合。阻擋層370的形成方法可為另一合適的沉積製程如原子層沉積、化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。
如圖20A至20D所示,形成p型功函數層380於多閘極裝置200上,具體形成於閘極結構240的p型閘極區240-2中的阻擋層370上。舉例來說,原子層沉積製程可順應性地沉積p型功函數層380於阻擋層370上,使p型功函數層380具有實質上一致的厚度並填入p型閘極區240-2中的閘極溝槽275的其餘部分。在p型閘極區240-2中,p型功函數層380位於阻擋層370上並圍繞阻擋層370、高介電常數的介電層282、界面層280、與通道層215’。舉例來說,p型功函數層380沿著通道層的側壁、頂部、與底部。p型功函數層380的厚度設置以完全填入通道層215’之間以及通道層215’與基板202之間的間隙277B。在一些實施例中,p型功函數層380的厚度可為約1 nm至約10 nm。p型功函數層380包括任何合適的p型功函數材料,比如氮化鈦、氮化鉭、氮化鉭矽、釕、鉬、鋁、氮化鎢、碳氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、其他p型功函數材料、或上述之組合。p型功函數層380的形成方法可採用另一合適的沉積製程,比如化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。
在所述實施例中,p型功函數層380採用原子層沉積製程所順應性沉積的無氟鎢材料。原子層沉積製程採用固態的氯化鎢做為前驅物。加熱前驅物到約100℃至150℃,以提供原子層沉積製程所用的氯化鎢氣體。在形成氯化鎢氣體之後,可增加溫度到約400℃至約500℃的製程溫度,並調整製程壓力到約10 torr至約50 torr。採用載氣將還原氣體導入製程。舉例來說,載氣可為氬氣,而還原氣體可為氫氣。將氯化鎢暴露至氫氣以產生氯化氫副產物,並留下鎢於多閘極裝置200上。
採用此製程可形成鎢作為閘極金屬,而無含氟製程所造成的任何負面影響。無氟鎢閘極金屬可大幅降低閘極電阻,並緩解一般較低閘極電阻所造成的任何臨界電壓偏移。在一些實施例中,採用無氟鎢而非其他金屬作為p型功函數層380,可使閘極電阻減少的程度高達70%。舉例來說,分析閘極結構240的p型閘極區240-2的方法可採用穿透式電子顯微鏡,其顯示鎢包覆個別的通道層215’。此外,穿透式電子顯微鏡分析結果,顯示個別的通道層215’之間以及通道層215’與基板202之間的氧濃度較低。
如圖21A至21D所示,p型金屬填充層382 (或基體層)形成於多閘極裝置200上,具體為p型閘極區240-2中的p型功函數層380上。舉例來說,化學氣相沉積或物理氣相沉積製程可沉積金屬填充層382於p型功函數層380上,使金屬填充層382填入p型閘極區240-2中的閘極溝槽275的任何其餘部分,包括p型閘極區240-2中的間隙277B的任何其餘部分。金屬填充層382包括合適的導電材料如氮化鈦、氮化鉭、氮化鉭矽、鋁、及/或銅。金屬填充層382可額外或共同包含其他金屬、金屬氧化物、金屬氮化物、其他合適材料、或上述之組合。一些實施方式在形成金屬填充層382之前,可視情況形成阻擋層於p型功函數層380上,使金屬填充層382位於阻擋層上。舉例來說,原子層沉積製程可順應性沉積阻擋層p型功函數層380上,使阻擋層具有實質上一致的厚度並部分地填入閘極溝槽275。阻擋層包括的材料可阻擋及/或減少閘極層(如金屬填充層382與p型功函數層380)之間的擴散。金屬填充層382及/或阻擋層的形成方法可改為採用另一合適的沉積製程如原子層沉積、化學氣相沉積、物理氣相沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、旋轉塗佈、電鍍、其他沉積製程、或上述之組合。
如圖22A至22D所示,可自多閘極裝置200移除硬遮罩層如圖案化遮罩層352,具體為自n型閘極區240-1移除硬遮罩層如圖案化遮罩層352。硬遮罩層如圖案化遮罩層352的移除方法可為光阻剝除製程或其他合適製程。
如圖23A至23D所示,進行平坦化製程以自多閘極裝置200移除多餘閘極材料。舉例來說,可進行化學機械研磨製程,直到露出層間介電層270的上表面,使化學機械研磨製程之後的閘極結構240的上表面與層間介電層270的上表面實質上共平面。在所述實施例中,閘極結構240因此設置為具有兩個不同的金屬閘極部分:n型閘極區240-1中的n型金屬閘極390A以及p型閘極區240-2中的p型金屬閘極390B。金屬閘極390A包括閘極介電層(如界面層280與高介電常數的介電層282)與閘極(如n型功函數層300與金屬填充層350)。金屬閘極390B包括閘極介電層(如界面層280與高介電常數的介電層282)與閘極(如p型功函數層380與金屬填充層382)。綜上所述,多閘極裝置200包括n型全繞式閘極電晶體,其具有金屬閘極390A包覆個別通道層215’,使金屬閘極390A位於個別的磊晶源極/汲極結構260A之間;以及p型全繞式閘極電晶體,其具有金屬閘極390B包覆個別通道層215’,使金屬閘極390B位於個別的磊晶源極/汲極結構260B之間。
如圖24A至24D所示,形成金屬蓋層395於多閘極裝置200上,具體形成於n型閘極區240-1與p型閘極區240-2上。在一些實施例中,金屬蓋層395可包括鎢、鈷、鎳、鉬、或釕,且其沉積於n型閘極區240-1與p型閘極區240-2上的方法可採用原子層沉積、有機金屬化學氣相沉積、或合適的沉積製程。在一些例子中,金屬蓋層395可選擇性地沉積於導電表面上。在一實施例中,金屬蓋層395可包括鎢。金屬蓋層395可減少閘極電阻並改善多閘極裝置200的效能。
在另一實施例中,在形成金屬蓋層395之前採用回蝕刻製程或凹陷製程以形成凹陷,具體形成於n型閘極區240-1與p型閘極區240-2中。在一些實施例中,可採用乾蝕刻、濕蝕刻、或上述之組合回蝕刻n型閘極區240-1與p型閘極區240-2。乾蝕刻製程的例子可採用碳氟化物(如四氟化碳、二氟化碳、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、氧氣、聯胺、氬氣、或上述之組合。濕式清潔製程的例子可採用氫氧化銨、過氧化氫、熱去離子水、異丙醇、或臭氧。接著可形成金屬蓋層395於n型閘極區240-1與p型閘極區240-2的凹陷中,如上所述。
可持續製作多閘極裝置200。舉例來說,可形成多種接點以利操作n型全繞式閘極電晶體與p型全繞式閘極電晶體。舉例來說,可形成一或多個層間介電層(與層間介電層270類似)及/或接點蝕刻停止層於基板202之上,具體為層間介電層270與閘極結構240之上。接著可形成接點於層間介電層270及/或層間介電層270之上的層間介電層之中。舉例來說,接點分別電性及/或物理耦接至閘極結構240,而接點可分別電性及/或物理耦揭至n型全繞式閘極電晶體與p型全繞式閘極電晶體的源極/汲極區(具體為磊晶源極/汲極結構260A及260B)。接點包括導電材料如金屬。金屬包括鋁、鋁合金(如鋁、矽、與銅的合金)、銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物、其他合適金屬、或上述之組合。金屬矽化物可包括鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、或上述之組合。在一些實施方式中,位於層間介電層270與接點(比如延伸穿過層間介電層270及/或其他層間介電層的接點)上的層間介電層,為上述多層內連線結構的一部分。
圖25A及25B係本發明多種實施例中,製作多閘極裝置的方法400的流程圖。在一些實施例中,方法400製作的多閘極裝置包括p型全繞式閘極電晶體與n型全繞式閘極電晶體。方法400與方法100不同,可沉積p型閘極結構層於n型全繞式閘極電晶體與p型全繞式閘極電晶體所用的閘極溝槽中。在自n型全繞式閘極電晶體所用的閘極溝槽選擇性移除p型閘極結構層之後,可沉積n型閘極結構層至n型全繞式閘極電晶體所用的閘極溝槽中。步驟402形成第一半導體層堆疊與第二半導體層堆疊於基板上。第一半導體層堆疊(與圖3B所示的鰭狀物218A類似)以及第二半導體層堆疊(與圖3B所示的鰭狀物218B類似)包括以交錯設置的方式垂直堆疊的第一半導體層與第二半導體層。步驟404形成閘極結構(與圖4B所示的虛置閘極堆疊245類似)於第一半導體層堆疊的第一區與第二半導體層堆疊的第一區上。閘極結構包括虛置閘極堆疊與閘極間隔物。步驟406移除第二區中的第一半導體層堆疊的部分與第二區中的第二半導體層堆疊的部分,以形成源極/汲極凹陷。步驟408沿著第一半導體層堆疊與第二半導體層堆疊中的第一半導體層的側壁形成內側間隔物。步驟410形成磊晶源極/汲極結構(與圖7C所示的磊晶源極/汲極結構260A類似)於源極/汲極凹陷中。步驟412形成層間介電層於磊晶源極/汲極結構上。步驟414移除虛置閘極堆疊,以形成閘極溝槽(與圖9C所示的閘極溝槽275類似)而露出p型閘極區中的第一半導體層堆疊與n型閘極區中的第二半導體層堆疊。步驟416自閘極溝槽所露出的第一半導體層堆疊與第二半導體層堆疊移除第一半導體層,以形成間隙於第二半導體層之間。
步驟420形成閘極介電層於p型閘極區中的第二半導體層周圍的閘極溝槽中。閘極介電層部分地填入第二半導體層之間的間隙。步驟422形成p型阻擋層(與圖19B所示的阻擋層370類似)於p型閘極區中的閘極介電層之上的閘極溝槽中。步驟424形成p型功函數層(與圖20B所示的p型功函數層380類似)於p型閘極區中的p型阻擋層之上的閘極溝槽中。步驟426形成p型金屬基體填充層(與圖21B所示的p型金屬填充層382類似)於p型閘極區中的p型功函數層之上的閘極溝槽中。步驟428形成硬遮罩於p型閘極區上的閘極溝槽中。步驟430自n型閘極區的閘極溝槽移除p型閘極層。步驟432形成n型功函數層(與圖12B所示的n型功函數層300類似)於p型閘極區與n型閘極區中的閘極介電層之上的閘極溝槽中。步驟434形成蓋層(與圖13B所示的蓋層310類似)於p型閘極區與n型閘極區中的n型功函數層之上的閘極溝槽中。步驟436形成阻擋層(與圖14B所示的阻擋層320類似)於p型閘極區與n型閘極區中的蓋層之上的閘極溝槽中。步驟438形成n型金屬基體層(與圖15B所示的n型金屬填充層350類似)於p型閘極區與n型閘極區中的阻擋層之上的閘極溝槽中。步驟440自p型閘極區移除硬遮罩。步驟442進行平坦化製程於金屬基體層、n型功函數層、p型功函數層、與閘極介電層上,以形成p型金屬閘極於p型閘極區中,並形成n型金屬閘極於n型閘極區中。方法400的步驟444接著形成接點。本發明實施例可實施額外製程。在方法400之前、之中、與之後可提供額外步驟,且方法400的額外實施例可調換、取代、或省略一些所述步驟。
圖26A及26B係本發明多種實施例中,製作多閘極裝置的方法500的流程圖。在一些實施例中,方法500製作的多閘極裝置包括p型全繞式閘極電晶體與n型全繞式閘極電晶體。方法500與方法100不同,可沉積n型閘極結構層於n型全繞式閘極電晶體所用的閘極溝槽中,並覆蓋p型全繞式閘極電晶體所用的閘極溝槽。在沉積n型閘極結構層於n型全繞式閘極電晶體所用的閘極溝槽中之後,選擇性沉積p型閘極結構層於p型全繞式閘極電晶體所用的閘極溝槽中。步驟502形成第一半導體層堆疊(與圖3B所示的鰭狀物218A類似)以及第二半導體層堆疊(與圖3B所示的鰭狀物218B類似)於基板上。第一半導體層堆疊以及第二半導體層堆疊包括以交錯設置的方式垂直堆疊的第一半導體層與第二半導體層。步驟504形成閘極結構(與圖4B所示的虛置閘極堆疊245類似)於第一半導體層堆疊的第一區與第二半導體層堆疊的第一區上。閘極結構包括虛置閘極堆疊與閘極間隔物。步驟506移除第二區中的第一半導體層堆疊的部分與第二區中的第二半導體層堆疊的部分,以形成源極/汲極凹陷。步驟508沿著第一半導體層堆疊與第二半導體層堆疊中的第一半導體層的側壁形成內側間隔物。步驟510形成磊晶源極/汲極結構(與圖7C所示的磊晶源極/汲極結構260A類似)於源極/汲極凹陷中。步驟512形成層間介電層於磊晶源極/汲極結構上。步驟514移除虛置閘極堆疊,以形成閘極溝槽(與圖9C所示的閘極溝槽275類似)而露出p型閘極區中的第一半導體層堆疊與n型閘極區中的第二半導體層堆疊。步驟516自閘極溝槽所露出的第一半導體層堆疊與第二半導體層堆疊移除第一半導體層,以形成間隙於第二半導體層之間。步驟518形成閘極介電層(與圖11B所示的界面層280以及高介電常數的介電層282類似)於p型閘極區與n型閘極區中的第二半導體層周圍的閘極溝槽中。閘極介電層部分地填入第二半導體層之間的間隙。
步驟520形成硬遮罩於p型閘極區上。步驟522形成n型功函數層(與圖12B所示的n型功函數層300類似)於p型閘極區與n型閘極區中的閘極介電層之上的閘極溝槽中。步驟524形成蓋層(與圖13B所示的蓋層310類似)於p型閘極區與n型閘極區中的n型功函數層之上的閘極溝槽中。步驟526形成阻擋層(與圖14B所示的阻擋層320類似)於p型閘極區與n型閘極區中的蓋層之上的閘極溝槽中。步驟528形成n型金屬基體層(與圖15B所示的n型金屬填充層350類似)於p型閘極區與n型閘極區中的阻擋層之上的閘極溝槽中。步驟530自p型閘極區移除硬遮罩。步驟532形成硬遮罩於n型閘極區上的閘極溝槽中。步驟534形成閘極介電層(與圖11B所示的界面層280與高介電常數的介電層282類似)於p型閘極區與n型閘極區中的第二半導體層周圍的閘極溝槽中。閘極介電層可部分地填入第二半導體層之間的間隙。步驟536形成p型阻擋層(與圖19B所示的阻擋層370類似)於p型閘極區中的閘極介電層之上的閘極溝槽中。步驟538形成p型功函數層(與圖20B所示的p型功函數層380類似)於p型閘極區中的p型阻擋層之上的閘極溝槽中。步驟540形成p型金屬基體填充層(與圖21B所示的p型金屬填充層382類似)於p型閘極區中的p型功函數層之上的閘極溝槽中。步驟542自n型閘極區移除硬遮罩。步驟544進行平坦化製程於金屬基體層、n型功函數層、p型功函數層、與閘極介電層上,以形成p型金屬閘極於p型閘極區中,並形成n型金屬閘極於n型閘極區中。方法500的步驟546之後可形成接點。本發明實施例可實施額外製程。在方法500之前、之中、與之後可提供額外步驟,且方法500的額外實施例可調換、取代、或省略一些所述步驟。
圖27A及27B係本發明多種實施例中,製作多閘極裝置的方法600的流程圖。在一些實施例中,方法600製作的多閘極裝置包括p型全繞式閘極電晶體與n型全繞式閘極電晶體。方法600不同於方法400,可沉積p型閘極結構層於p型全繞式閘極電晶體所用的閘極溝槽中,並覆蓋n型全繞式閘極電晶體所用的閘極溝槽。在沉積p型閘極結構層於p型全繞式閘極電晶體所用的閘極溝槽中之後,可選擇性沉積n型閘極結構層於n型全繞式閘極電晶體所用的閘極溝槽中。步驟602形成第一半導體層堆疊(與圖3B所示的鰭狀物218A類似)以及第二半導體層堆疊(與圖3B所示的鰭狀物218B類似)於基板上。第一半導體層堆疊以及第二半導體層堆疊包括以交錯設置的方式垂直堆疊的第一半導體層與第二半導體層。步驟604形成閘極結構(與圖4B所示的虛置閘極堆疊245類似)於第一半導體層堆疊的第一區與第二半導體層堆疊的第一區上。閘極結構包括虛置閘極堆疊與閘極間隔物。步驟606移除第二區中的第一半導體層堆疊的部分與第二區中的第二半導體層堆疊的部分,以形成源極/汲極凹陷。步驟608沿著第一半導體層堆疊與第二半導體層堆疊中的第一半導體層的側壁形成內側間隔物。步驟610形成磊晶源極/汲極結構(與圖7C所示的磊晶源極/汲極結構260A類似)於源極/汲極凹陷中。步驟612形成層間介電層於磊晶源極/汲極結構上。步驟614移除虛置閘極堆疊,以形成閘極溝槽(與圖9C所示的閘極溝槽275類似)而露出p型閘極區中的第一半導體層堆疊與n型閘極區中的第二半導體層堆疊。步驟616自閘極溝槽所露出的第一半導體層堆疊與第二半導體層堆疊移除第一半導體層,以形成間隙於第二半導體層之間。步驟618形成閘極介電層(與圖11B所示的界面層280以及高介電常數的介電層282類似)於p型閘極區與n型閘極區中的第二半導體層周圍的閘極溝槽中。閘極介電層部分地填入第二半導體層之間的間隙。
步驟620形成硬遮罩於n型閘極區上的閘極溝槽中。步驟624形成p型阻擋層(與圖19B所示的阻擋層370類似)於p型閘極區中的閘極介電層之上的閘極溝槽中。步驟626形成p型功函數層(與圖20B所示的p型功函數層380類似)於p型閘極區中的p型阻擋層之上的閘極溝槽中。步驟628形成p型金屬基體填充層(與圖21B所示的p型金屬填充層382類似)於p型閘極區中的p型功函數層之上的閘極溝槽中。步驟630自n型閘極區移除硬遮罩。步驟632形成硬遮罩於p型閘極區上。步驟634形成n型功函數層(與圖12B所示的n型功函數層300類似)於p型閘極區與n型閘極區中的閘極介電層之上的閘極溝槽中。步驟636形成蓋層(與圖13B所示的蓋層310類似)於p型閘極區與n型閘極區中的n型功函數層之上的閘極溝槽中。步驟638形成阻擋層(與圖14B所示的阻擋層320類似)於p型閘極區與n型閘極區中的蓋層之上的閘極溝槽之中。步驟640形成n型金屬基體層(與圖15B所示的n型基體層類似)於p型閘極區與n型閘極區中的阻擋層之上的閘極溝槽中。步驟642自p型閘極區上移除硬遮罩。步驟644進行平坦化製程於金屬基體層、n型功函數層、p型功函數層、與閘極介電層上,以形成p型閘極於p型閘極區中並形成n型閘極於n型閘極區中。方法600的步驟646接著形成接點。本發明實施例可實施額外製程。在方法600之前、之中、與之後可提供額外步驟,且方法600的額外實施例可調換、取代、或省略一些所述步驟。
本發明實施例提供多閘極裝置的形成方法。例示性方法包括形成n型功函數層於閘極結構的閘極溝槽中,其中n型功函數層形成於p型閘極區中的多個第一通道層周圍以及n型閘極區中的多個第二通道層周圍;形成第一金屬填充層於p型閘極區中的n型功函數層之上的第一閘極溝槽中以及n型閘極區中的n型功函數層之上的第二閘極溝槽中;自p型閘極區移除第一金屬填充層;自p型閘極區移除n型功函數層;形成p型功函數層於p型閘極區的第一閘極溝槽中,其中p型功函數層與n型功函數層的材料不同;以及形成第二金屬填充層於p型閘極區的第一閘極溝槽中,其中第二金屬填充層與第一金屬填充層的材料不同。
在一些實施例中,方法更包括形成閘極介電層於p型閘極區中的第一通道層之上與n型閘極區中的第二通道層之上的閘極溝槽中;以及形成界面層於p型閘極區與n型閘極區中的閘極介電層上。
在一些實施例中,自p型閘極區移除第一金屬填充層的步驟包括進行微影製程以形成圖案化遮罩層而覆蓋n型閘極區並露出p型閘極區;以及進行蝕刻製程以移除p型閘極區中的第一金屬填充層,其中蝕刻製程採用圖案化遮罩作為蝕刻遮罩。
在一些實施例中,形成p型功函數層的步驟包括完全填入相鄰的第一通道層之間的空間,且形成n型功函數層的步驟包括完全填入相鄰的第二通道層之間的空間。
在一些實施例中,形成n型功函數層的步驟更包括進行原位沉積製程以形成蓋層於n型功函數層上。
在一些實施例中,形成p型功函數層的步驟包括採用無氟鎢,且形成n型功函數層的步驟包括採用含鋁材料。
在一些實施例中,形成p型功函數層的步驟更包括提供加熱到約100℃至150℃的氯化鎢前驅物;在約400℃至約500℃的溫度下進行沉積製程;以及暴露氯化鎢以還原形成無氟鎢層於第一閘極溝槽中。
本發明另一實施例提供多閘極裝置的形成方法,包括沉積n型功函數層於p型閘極區中的多個第一半導體層以及n型閘極區中的多個第二半導體層上,其中n型功函數層包覆第一半導體層與第二半導體層;沉積蓋層於p型閘極區與n型閘極區中的n型功函數層上,其中蓋層包覆第一半導體層與第二半導體層;沉積第一阻擋層於p型閘極區與n型閘極區中的蓋層上;沉積第一金屬填充層於p型閘極區與n型閘極區中的n型功函數層上;沉積硬遮罩於n型閘極區上,以露出p型閘極區;自p型閘極區移除第一金屬填充層、n型功函數層、蓋層、與第一阻擋層;沉積第二阻擋層於p型閘極區中的第一半導體層上,其中第二阻擋層包覆第一半導體層;沉積p型功函數層於p型閘極區中的第二阻擋層上,其中p型功函數層包覆第一半導體層;以及沉積第二金屬填充層於p型功函數層上。
在一些實施例中,第一金屬填充層與第二金屬填充層的材料不同。
在一些實施例中,n型功函數層與p型功函數層的材料不同。
在一些實施例中,沉積p型功函數層的步驟包括進行原子層沉積製程,其採用無氟鎢的前驅物以沉積無氟鎢作為p型閘極區中的p型功函數層。
在一些實施例中,方法更包括:在沉積該n型功函數層之前,沉積閘極介電層於p型閘極區與n型閘極區中,其中閘極介電層包覆第一半導體層與第二半導體層,其中沉積閘極介電層之後,第一半導體層之間具有多個第一間隙,且第二半導體層之間具有多個第二間隙。
在一些實施例中,沉積p型功函數層的步驟包括完全填入相鄰的多個第一通道層之間的空間,以及沉積蓋層的步驟包括完全填入相鄰的多個第二通道層之間的空間。
在一些實施例中,沉積蓋層於n型功函數層上的步驟更包括以原位沉積製程沉積蓋層。
本發明一實施例提供多閘極裝置,其包括多個第一通道層位於基板上的p型區中;多個第二通道層,位於基板上的n型區中;p型閘極堆疊,位於第一通道層周圍;以及n型閘極堆疊,位於第二通道層周圍。p型閘極堆疊包括第一閘極介電層,圍繞第一通道層;p型功函數層,位於圍繞第一通道層的第一閘極介電層上,p型功函數層包括無氟鎢,且相鄰的第一通道層之間具有第一氧濃度;以及第一金屬填充層,位於p型功函數層上,且第一金屬填充層包括第一材料。n型閘極堆疊包括第二閘極介電層,圍繞第二通道層;n型功函數層,位於第二閘極介電層上,且n型功函數層圍繞第二通道層;蓋層,位於n型功函數層上,蓋層圍繞第二通道層,其中相鄰的第二通道層之間具有第二氧濃度,且第二氧濃度大於第一氧濃度;以及第二金屬填充層,位於蓋層上,第二金屬填充層包括第二材料,且第二材料不同於第一材料。
在一些實施例中,多閘極裝置更包括第一阻擋層,位於p型閘極堆疊中的第一閘極介電層與p型功函數層之間,且第一阻擋層圍繞第一通道層;以及第二阻擋層,位於n型閘極堆疊中的蓋層上。
在一些實施例中,多閘極裝置更包括阻擋層位於蓋層與第二金屬填充層之間。
在一些實施例中,第二金屬填充層包括無氟鎢。
在一些實施例中,n型功函數層包括鋁。
在一些實施例中,p型功函數層填入相鄰的第一通道層之間的間隙。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
B-B’,C-C’,D-D’:剖線 L g:閘極長度 l1,l2:長度 s1,s2:空間 t1,t2:厚度 w1,w2:寬度 100,400,500,600:方法 102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136, 138,140,142,144,402,404,406,408,410,412,414,416,420,422,424,426,428,430, 432,434,436,438,440,442,444,502,504,506,508,510,512,514,516,518,520,522, 524,526,528,530,532,534,536,538,540,542,544,546,602,604,606,608,610,612, 614,616,618,620,624, 626,628,630,632,634,636,638,640,642,644,646:步驟 200:多閘極裝置 202:基板 204A:p型井 204B:n型井 205:半導體層堆疊 210,215:半導體層 215’:通道層 218A,218B:鰭狀物 230:隔離結構 240:閘極結構 240-1,240-2:閘極區 242:源極/汲極區 244:通道區 245:虛置閘極堆疊 247:閘極間隔物 250:源極/汲極溝槽 255:內側間隔物 260A,260B:磊晶源極/汲極結構 270:層間介電層 275:閘極溝槽 277A,277B:間隙 280:界面層 282:高介電常數的介電層 300:n型功函數層 310:蓋層 320,370:阻擋層 350,382:金屬填充層 352:圖案化遮罩層 380:p型功函數層 390A,390B:金屬閘極 395:金屬蓋層
圖1A及1B係本發明多種實施例中,製作多閘極裝置的方法的流程圖。 圖2A至24A、圖2B至24B、圖2C至24C、與圖2D至24D係本發明多種實施例中,多閘極裝置的部分或整體於多種製作階段(如圖1A及1B中的方法相關的製作階段)的部分圖式。 圖25A及25B係本發明多種實施例中,製作多閘極裝置的方法的流程圖。 圖26A及26B係本發明多種實施例中,製作多閘極裝置的方法的流程圖。 圖27A及27B係本發明多種實施例中,製作多閘極裝置的方法的流程圖。
202:基板
215’:通道層
230:隔離結構
240-1,240-2:閘極區
247:閘極間隔物
280:界面層
282:高介電常數的介電層
300:n型功函數層
370:阻擋層
350,382:金屬填充層
380:p型功函數層
390A,390B:金屬閘極
395:金屬蓋層

Claims (10)

  1. 一種多閘極裝置的形成方法,包括:形成一n型功函數層於一閘極結構的一閘極溝槽中,其中該n型功函數層形成於一p型閘極區中的多個第一通道層周圍以及一n型閘極區中的多個第二通道層周圍;形成一第一金屬填充層於該p型閘極區中的該n型功函數層之上的一第一閘極溝槽中以及該n型閘極區中的該n型功函數層之上的一第二閘極溝槽中;自該p型閘極區移除該第一金屬填充層;自該p型閘極區移除該n型功函數層;形成一p型功函數層於該p型閘極區的該第一閘極溝槽中,其中該p型功函數層與該n型功函數層的材料不同;以及形成一第二金屬填充層於該p型閘極區的該第一閘極溝槽中,其中該第二金屬填充層與該第一金屬填充層的材料不同。
  2. 如請求項1之多閘極裝置的形成方法,更包括:形成一閘極介電層於該p型閘極區中的該些第一通道層之上與該n型閘極區中的該些第二通道層之上的該閘極溝槽中;以及形成一界面層於該p型閘極區與該n型閘極區中的該閘極介電層上。
  3. 如請求項1或2之多閘極裝置的形成方法,其中自該p型閘極區移除該第一金屬填充層的步驟包括:進行微影製程以形成一圖案化遮罩層而覆蓋該n型閘極區並露出該p型閘極區;以及進行蝕刻製程以移除該p型閘極區中的該第一金屬填充層,其中蝕刻製程採 用該圖案化遮罩層作為蝕刻遮罩。
  4. 如請求項1或2之多閘極裝置的形成方法,其中形成該p型功函數層的步驟包括完全填入相鄰的該些第一通道層之間的空間,且其中形成n型功函數層的步驟包括完全填入相鄰的該些第二通道層之間的空間。
  5. 一種多閘極裝置的形成方法,包括:沉積一n型功函數層於一p型閘極區中的多個第一半導體層以及一n型閘極區中的多個第二半導體層上,其中該n型功函數層包覆該些第一半導體層與該些第二半導體層;沉積一蓋層於該p型閘極區與該n型閘極區中的該n型功函數層上,其中該蓋層包覆該些第一半導體層與該些第二半導體層;沉積一第一阻擋層於該p型閘極區與該n型閘極區中的該蓋層上;沉積一第一金屬填充層於該p型閘極區與該n型閘極區中的該n型功函數層上;沉積一硬遮罩於該n型閘極區上,以露出該p型閘極區;自該p型閘極區移除該第一金屬填充層、該n型功函數層、該蓋層、與該第一阻擋層;沉積一第二阻擋層於該p型閘極區中的該些第一半導體層上,其中該第二阻擋層包覆該些第一半導體層;沉積一p型功函數層於該p型閘極區中的該第二阻擋層上,其中該p型功函數層包覆該些第一半導體層;以及沉積一第二金屬填充層於該p型功函數層上。
  6. 如請求項5之多閘極裝置的形成方法,其中該第一金屬填充層與 該第二金屬填充層的材料不同。
  7. 如請求項5或6之多閘極裝置的形成方法,其中該n型功函數層與該p型功函數層的材料不同。
  8. 一種多閘極裝置,包括:多個第一通道層,位於一基板上的一p型區中;多個第二通道層,位於該基板上的一n型區中;一p型閘極堆疊,位於該些第一通道層周圍,其中該p型閘極堆疊包括:一第一閘極介電層,圍繞該些第一通道層;一p型功函數層,位於圍繞該些第一通道層的該第一閘極介電層上,該p型功函數層包括無氟鎢,且相鄰的該些第一通道層之間具有一第一氧濃度;以及一第一金屬填充層,位於該p型功函數層上,且該第一金屬填充層包括一第一材料;以及一n型閘極堆疊,位於該些第二通道層周圍,其中該n型閘極堆疊包括:一第二閘極介電層,圍繞該些第二通道層;一n型功函數層,位於該第二閘極介電層上,且該n型功函數層圍繞該些第二通道層;一蓋層,位於該n型功函數層上,該蓋層圍繞該些第二通道層,其中相鄰的該些第二通道層之間具有一第二氧濃度,且該第二氧濃度大於該第一氧濃度;以及一第二金屬填充層,位於該蓋層上,該第二金屬填充層包括一第二材料,且該第二材料不同於該第一材料。
  9. 如請求項8之多閘極裝置,更包括: 一第一阻擋層,位於該p型閘極堆疊中的該第一閘極介電層與該p型功函數層之間,且該第一阻擋層圍繞該些第一通道層;以及一第二阻擋層,位於該n型閘極堆疊中的該蓋層上。
  10. 如請求項8或9之多閘極裝置,其中該第二金屬填充層包括無氟鎢。
TW111122409A 2021-07-09 2022-06-16 多閘極裝置與其形成方法 TWI831246B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202163219937P 2021-07-09 2021-07-09
US63/219,937 2021-07-09
US202163222494P 2021-07-16 2021-07-16
US63/222,494 2021-07-16
US17/738,378 2022-05-06
US17/738,378 US20230011783A1 (en) 2021-07-09 2022-05-06 Metal gate for gate-all-around devices and methods for forming the same

Publications (2)

Publication Number Publication Date
TW202305897A TW202305897A (zh) 2023-02-01
TWI831246B true TWI831246B (zh) 2024-02-01

Family

ID=84311812

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111122409A TWI831246B (zh) 2021-07-09 2022-06-16 多閘極裝置與其形成方法

Country Status (3)

Country Link
US (1) US20230011783A1 (zh)
CN (1) CN218004870U (zh)
TW (1) TWI831246B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201013850A (en) * 2008-09-26 2010-04-01 Taiwan Semiconductor Mfg Method for forming metal gates in a gate last process
US20200373206A1 (en) * 2019-05-22 2020-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US20210057550A1 (en) * 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US20210118995A1 (en) * 2019-10-18 2021-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
CN113053823A (zh) * 2020-03-30 2021-06-29 台湾积体电路制造股份有限公司 多栅极器件及其制造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201013850A (en) * 2008-09-26 2010-04-01 Taiwan Semiconductor Mfg Method for forming metal gates in a gate last process
US20200373206A1 (en) * 2019-05-22 2020-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US20210057550A1 (en) * 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US20210118995A1 (en) * 2019-10-18 2021-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
CN113053823A (zh) * 2020-03-30 2021-06-29 台湾积体电路制造股份有限公司 多栅极器件及其制造方法

Also Published As

Publication number Publication date
US20230011783A1 (en) 2023-01-12
TW202305897A (zh) 2023-02-01
CN218004870U (zh) 2022-12-09

Similar Documents

Publication Publication Date Title
TWI828806B (zh) 半導體裝置與其形成方法
TW202025494A (zh) 半導體結構
TW201916256A (zh) 半導體裝置的形成方法
TW202127663A (zh) 半導體裝置
TW202125828A (zh) 半導體裝置
TW202011518A (zh) 半導體裝置的形成方法
TW202008433A (zh) 半導體裝置的形成方法
TW202118058A (zh) 半導體裝置
TW202013493A (zh) 積體電路裝置的形成方法
TW202010050A (zh) 積體電路裝置的形成方法
TW202221925A (zh) 半導體裝置
CN114038801A (zh) 半导体装置的形成方法
TW202145319A (zh) 半導體裝置與其形成方法
TW202129765A (zh) 半導體裝置的形成方法
TW202044593A (zh) 鰭狀場效電晶體裝置
TW202125581A (zh) 半導體裝置的形成方法
TWI765673B (zh) 半導體裝置與其形成方法
TWI831246B (zh) 多閘極裝置與其形成方法
TW202329253A (zh) 半導體裝置的形成方法
TW202318663A (zh) 半導體裝置與其形成方法
TW202217979A (zh) 半導體裝置
TW202131519A (zh) 半導體裝置
TW202010044A (zh) 積體電路結構的製作方法
TWI817165B (zh) 半導體裝置的形成方法
TW202339111A (zh) 半導體結構的形成方法