TWI762202B - 半導體裝置與其製造方法 - Google Patents

半導體裝置與其製造方法 Download PDF

Info

Publication number
TWI762202B
TWI762202B TW110105923A TW110105923A TWI762202B TW I762202 B TWI762202 B TW I762202B TW 110105923 A TW110105923 A TW 110105923A TW 110105923 A TW110105923 A TW 110105923A TW I762202 B TWI762202 B TW I762202B
Authority
TW
Taiwan
Prior art keywords
epitaxial layer
layer
source
drain
semiconductor
Prior art date
Application number
TW110105923A
Other languages
English (en)
Other versions
TW202133445A (zh
Inventor
沙哈吉 B 摩爾
蔡俊雄
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/933,626 external-priority patent/US11316046B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202133445A publication Critical patent/TW202133445A/zh
Application granted granted Critical
Publication of TWI762202B publication Critical patent/TWI762202B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

在半導體裝置的製造方法中,形成上側鰭狀結構,且上側鰭狀結構中的多個第一半導體層與多個第二半導體層交錯堆疊於下側鰭狀結構上;形成犧牲閘極結構於上側鰭狀結構上;蝕刻犧牲閘極結構未覆蓋的上側鰭狀結構的源極/汲極區,以形成源極/汲極空間;經由源極/汲極空間橫向蝕刻第一半導體層;形成介電材料組成的內側間隔物於蝕刻後的每一第一半導體層的末端上;以及形成源極/汲極磊晶層於源極/汲極空間中,以覆蓋內側間隔物。蝕刻源極/汲極區的步驟亦蝕刻下側鰭狀結構的一部分以形成凹陷,且凹陷中露出(111)表面。

Description

半導體裝置與其製造方法
本發明實施例關於製作全繞式閘極場效電晶體與堆疊通道的場效電晶體所用的源極/汲極(源極及/或汲極)磊晶層的方法,更特別關於調整犧牲半導體層的組成(如鍺濃度),以控制犧牲半導體層的橫向蝕刻。
隨著半導體產業進展至奈米技術製程節點以追求更高裝置密度、更高效能、與更低成本時,製作與設計的挑戰導致三維設計的發展,比如多閘極場效電晶體(含鰭狀場效電晶體與全繞式閘極場效電晶體)。在鰭狀場效電晶體中,閘極與通道區的三側表面相鄰,且閘極與通道區的三側之間隔有閘極介電層。由於閘極結構圍繞(包覆)鰭狀物的三側,電晶體基本上具有三個閘極控制穿過鰭狀物或通道區的電流。不幸的是,通道底部遠離閘極而不受閘極控制。與此相較,全繞式閘極場效電晶體中的閘極可圍繞通道區的所有側,由於較陡峭的次臨界電流擺盪與較小的汲極誘發能障下降,可使通道區更完全空乏並造成較小的短通道效應。隨著電晶體尺寸持續縮小至次10nm至15nm的技術節點,需要進一步改善全繞式閘極場效電晶體。
在本發明一實施例中,半導體裝置的製造方法包括:形成上側鰭狀結構,且上側鰭狀結構中的多個第一半導體層與多個第二半導體層交錯堆疊於下側鰭狀結構上;形成犧牲閘極結構於上側鰭狀結構上;蝕刻犧牲閘極結構未覆蓋的上側鰭狀結構的源極/汲極區,以形成源極/汲極空間;經由源極/汲極空間橫向蝕刻第一半導體層;形成介電材料組成的內側間隔物於蝕刻後的每一第一半導體層的末端上;以及形成源極/汲極磊晶層於源極/汲極空間中,以覆蓋內側間隔物。蝕刻源極/汲極區的步驟亦蝕刻下側鰭狀結構的一部分以形成凹陷,且凹陷中露出(111)表面。
在本發明另一實施例中,提供半導體裝置的製造方法,包括形成上側鰭狀結構,其中第一半導體層與第二半導體層交錯堆疊於下側鰭狀結構上;形成犧牲閘極結構於上側鰭狀結構上;蝕刻犧牲閘極結構未覆蓋的上側鰭狀結構的源極/汲極區,以形成具有V形底部的源極/汲極空間;經由源極/汲極空間橫向蝕刻第一半導體層;形成介電材料組成的內側間隔物於蝕刻後的每一第一半導體層之末端上;以及形成源極/汲極磊晶層於源極/汲極空間中,以覆蓋內側間隔物。形成源極/汲極磊晶層的步驟包括:形成第一磊晶層;以及形成第二磊晶層於第一磊晶層上。第二半導體層之末端上的第一磊晶層於水平方向中的厚度,大於內側間隔物上的第一磊晶層於水平方向中的厚度。
在本發明另一實施例中,半導體裝置包括:半導體線或片,位於基板上;源極/汲極磊晶層,接觸半導體線或片;閘極介電層,位於半導體線或片的每一通道區上並包覆每一通道區;閘極層,位於閘極介電層上並包覆每一通道區;以及絕緣間隔物分別位於空間中,且空間由相鄰的半導體線或片、閘極層、與源極/汲極區所定義。源極/汲極磊晶層包括結晶差排。
L1,50-1:第一磊晶層
L2,50-2:第二磊晶層
L3,50-3:第三磊晶層
T1:厚度
W1:寬度
Y1-Y1,Y2-Y2,Y3-Y3:剖線
10:基板
11,29:鰭狀結構
15:隔離絕緣層
20:第一半導體層
21:源極/汲極空間
22,52:空洞
25:第二半導體層
30:第一絕緣層
35,65:內側間隔物
40:側壁間隔物
41:犧牲閘極介電層
42:犧牲閘極層
43:墊氮化矽層
44:氧化矽遮罩層
45:第一覆蓋層
47:第二覆蓋層
49:犧牲閘極結構
50,55:源極/汲極磊晶層
51:源極/汲極空間
53:差排
60:第二絕緣層
70:層間介電層
72:導電接點層
75:導電接點插塞
82:閘極介電層
84:閘極層
101,103,111:保護層
圖1A至1D係本發明一實施例中,製造半導體場效電晶體裝置的多種階段之一,圖1A係沿著X方向(源極-汲極方向)的剖視圖,圖1B係對應圖1A的剖線Y1-Y1的剖視圖,圖1C係對應圖1A的剖線Y2-Y2的剖視圖,且圖1D係對應圖1A的剖線Y3-Y3的剖視圖。
圖2A至2D係本發明一實施例中,製造半導體場效電晶體裝置的多種階段之一,圖2A係沿著X方向(源極-汲極方向)的剖視圖,圖2B係對應圖2A的剖線Y1-Y1的剖視圖,圖2C係對應圖2A的剖線Y2-Y2的剖視圖,且圖2D係對應圖2A的剖線Y3-Y3的剖視圖。
圖3係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一。
圖4係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一。
圖5A及5B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一。
圖6A及6B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖6A顯示n型全繞式閘極場效電晶體的剖視圖,而圖6B顯示p型全繞式閘極場效電晶體的剖視圖。
圖7A及7B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖7A顯示n型全繞式閘極場效電晶體的剖視圖,而圖7B顯示p型全繞式閘極場效電晶體的剖視圖。
圖8A及8B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖8A顯示n型全繞式閘極場效電晶體的剖視圖,而圖8B顯示p型全繞式閘極場效電晶體的剖視圖。
圖9A及9B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖9A顯示n型全繞式閘極場效電晶體的剖視圖,而圖9B顯示p型全繞式閘極場效電晶體的剖視圖。
圖10A及10B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖10A顯示n型全繞式閘極場效電晶體的剖視圖,而圖10B顯示p型全繞式閘極場效電晶體的剖視圖。
圖11A及11B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖11A顯示n型全繞式閘極場效電晶體的剖視圖,而圖11B顯示p型全繞式閘極場效電晶體的剖視圖。
圖12A及12B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖12A顯示n型全繞式閘極場效電晶體的剖視圖,而圖12B顯示p型全繞式閘極場效電晶體的剖視圖。
圖13A及13B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖13A顯示n型全繞式閘極場效電晶體的剖視圖,而圖13B顯示p型全繞式閘極場效電晶體的剖視圖。
圖14A及14B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖14A顯示n型全繞式閘極場效電晶體的剖視圖,而圖14B顯示p型全繞式閘極場效電晶體的剖視圖。
圖15A及15B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置 的多種階段之一,圖15A顯示n型全繞式閘極場效電晶體的剖視圖,而圖15B顯示p型全繞式閘極場效電晶體的剖視圖。
圖16A及16B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖16A顯示n型全繞式閘極場效電晶體的剖視圖,而圖16B顯示p型全繞式閘極場效電晶體的剖視圖。
圖17A及17B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖17A顯示n型全繞式閘極場效電晶體的剖視圖,而圖17B顯示p型全繞式閘極場效電晶體的剖視圖。
圖18A及18B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖18A顯示n型全繞式閘極場效電晶體的剖視圖,而圖18B顯示p型全繞式閘極場效電晶體的剖視圖。
圖19A及19B係本發明一實施例中,製造半導體全繞式閘極場效電晶體裝置的多種階段之一,圖19A顯示n型全繞式閘極場效電晶體的剖視圖,而圖19B顯示p型全繞式閘極場效電晶體的剖視圖。
圖20A、20B、20C、及20D係本發明一實施例中,形成源極/汲極磊晶層的多種階段。
圖21A及21B顯示本發明一實施例中,源極/汲極磊晶層的元素輪廓。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
應理解的是,下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。可由不同比例任意繪示多種結構,以簡化與清楚說明。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,用語「...的組成為」指的可為「包含」或「由...組成」。在本發明實施例中,敘述「A、B、與C的一者」指的是「A、B、及/或C」如A、B、C、A與B、A與C、B與C、或A與B與C,而非只有A、只有B、或只有C,除非特別說明。
一般而言,在選擇性蝕刻犧牲半導體層以釋放奈米線時,難以控制橫向蝕刻量。在移除虛置多晶矽閘極之後進行奈米線釋放的蝕刻製程時,可能蝕刻奈米線的橫向末端,因為橫向蝕刻控制或奈米線釋放的蝕刻製程之蝕刻預算可能不足。若無蝕刻停止層,閘極可接觸源極/汲極磊晶層。此外,對閘極至汲極電容具有一些影響。若無介電膜存在於閘極與源極/汲極區之間,則閘極至汲極電容較大而降低電路速度。
此外,在全繞式閘極場效電晶體中,可提供內側間隔物於金屬閘極與源極/汲極磊晶層之間。然而相鄰的未蝕刻半導體層之間的空間較窄,因此難以控制內側間隔物的形狀。內側間隔物會造成額外的通道電阻,並阻礙閘極 控制能力。全繞式閘極場效電晶體中的通道高度較高,在沉積與蝕刻製程時難以控制通道底部至通道頂部的結構一致性。具體而言,全繞式閘極場效電晶體製作方法中,難以在12吋晶圓中達到製程一致性。
在本發明實施例中,提供新穎的方法製作全繞式閘極場效電晶體與堆疊通道的場效電晶體所用的源極/汲極(源極及/或汲極)磊晶層。具體而言,本發明實施例的犧牲半導體層具有調整組成(如鍺濃度),以控制犧牲半導體層的橫向蝕刻。調整組成可控制內側間隔物的形狀,進而改善閘極控制能力。
在本發明實施例中,源極/汲極指的是源極及/或汲極。值得注意的是,本發明實施例的源極與汲極可互換,且其結構實質上相同。
圖1A至1D係本發明一實施例中,製造半導體場效電晶體裝置的多種階段之一,圖1A係沿著X方向(源極-汲極方向)的剖視圖,圖1B係對應圖1A的剖線Y1-Y1的剖視圖,圖1C係對應圖1A的剖線Y2-Y2的剖視圖,且圖1D係對應圖1A的剖線Y3-Y3的剖視圖。在一些實施例中,圖1A至1D的半導體全繞式閘極場效電晶體裝置為n型場效電晶體。
如圖1A至1C所示,提供半導體線或片如第二半導體層25於半導體的基板10上,其可沿著Z方向(正交於基板10的主要表面的方向)垂直配置。在一些實施例中,基板10包含單晶半導體層於其至少表面部分上。基板10可包含單晶半導體材料,比如但不限於矽、鍺、矽鍺、砷化鎵、銻化銦、磷化鎵、銻化鎵、砷化銦鋁、磷化鎵銻、砷化鎵銻、或磷化銦。在這些實施例中,基板10的組成可為結晶矽。
基板10在其表面區中,可包含一或多個緩衝層(未圖示)。緩衝層的晶格常數可自基板的晶格常數逐漸變化至源極/汲極區的晶格常數。緩衝層的 組成可為磊晶成長的單晶半導體材料,比如但不限於矽、鍺、鍺錫、矽鍺、砷化鎵、銻化銦、磷化鎵、銻化鎵、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、氮化鎵、磷化鎵、或磷化銦。在具體實施例中,基板10可包含矽鍺緩衝層磊晶成長於矽的基板10上。矽鍺緩衝層的鍺濃度可自最底部的緩衝層的30原子%增加至最頂層的緩衝層的70原子%。
如圖1A至1C所示,半導體線或片如第二半導體層25為通道層,且位於基板10上。在一些實施例中,半導體線如第二半導體層25位於自基板10凸起的鰭狀結構11(見圖4)上。閘極介電層82與閘極層84可圍繞每一通道層25。在一些實施例中,半導體線如第二半導體層25的厚度T1可為約之5nm至約60nm,且半導體線如第二半導體層25的寬度W1可為約5nm至約120nm。在一些實施例中,半導體線或片的寬度大於其厚度。在這些實施例中,半導體線或片的寬度可高達半導體線或片如第二半導體層25的厚度之兩倍或五倍。
在一些實施例中,界面介電層形成於半導體線如第二半導體層25的通道與閘極介電層82之間。在一些實施例中,閘極介電層82包括高介電常數的介電層。閘極結構包括閘極介電層82、閘極層84、與側壁間隔物40。雖然圖1A至1C顯示四個半導體線如第二半導體層25,半導體線如第二半導體層25的數目不限於四個,其可小於或大於四個,比如高達十個。調整半導體線的數目,即可調整全繞式閘極場效電晶體裝置的驅動電流。
此外,源極/汲極磊晶層50位於基板10上。源極/汲極磊晶層50直接接觸通道層如第二半導體層25的末端面,且與閘極層84隔有絕緣內側間隔物35及閘極介電層82。在一些實施例中,順應性地形成額外絕緣層(未圖示)於間隔物區的內側表面上。
在一些實施例中,源極/汲極磊晶層50的底部穿入鰭狀結構(基板)且為V形或三角形,如圖1A所示。基板在與源極/汲極磊晶層50的界面處具有(111)表面。在一些實施例中,源極/汲極磊晶層50包含多層與差排53,如下所述。
層間介電層70位於源極/汲極磊晶層50上,而導電接點層72位於源極/汲極磊晶層50上,且導電接點插塞75穿過層間介電層70並位於導電接點層72上。導電接點層72包括一或多層的導電材料。在一些實施例中,導電接點層72包括矽化物層如鎢矽化物、鎳矽化物、鈦矽化物、鈷矽化物、其他合適的矽化物材料、或金屬元素與矽及/或鍺的合金。
圖2A至2D係本發明另一實施例中,製造半導體場效電晶體裝置的多種階段之一,圖2A係沿著X方向(源極-汲極方向)的剖視圖,圖2B係對應圖2A的剖線Y1-Y1的剖視圖,圖2C係對應圖2A的剖線Y2-Y2的剖視圖,且圖2D係對應圖2A的剖線Y3-Y3的剖視圖。圖2A至2D的實施例可實施與圖1A至1D所述之實施例類似或相同的材料、設置、尺寸、及/或製程,並可省略其細節說明。在一些實施例中,圖2A至2D的半導體的全繞式閘極場效電晶體裝置為n型場效電晶體。
在此實施例中,源極/汲極磊晶層50包覆源極/汲極區上的半導體線如第二半導體層25的末端部分,或包覆穿過源極/汲極磊晶層50的半導體線如第二半導體層25。
在圖1A至1D與2A至2D的實施例中,全繞式閘極場效電晶體為n型全繞式閘極場效電晶體。半導體線如第二半導體層25之組成可為Si或Si1-xGex,其中x小於或等於0.2。源極/汲極磊晶層50的組成可為矽、磷化矽、碳化矽、或碳磷化矽。在一些實施例中,源極/汲極磊晶層50更包括含鍺層(如磷化 矽鍺)於源極/汲極磊晶層50的頂部。
在一些實施例中,圖1A至2D所示的兩個或更多全繞式閘極場效電晶體可位於一個半導體基板(晶片)上,以達多種電路功能。
圖3至19B係本發明一實施例中,製造半導體場效電晶體裝置的多種階段。在圖6A至19B中,A圖式為n型全繞式閘極場效電晶體沿著X方向(源極-汲極方向)的剖視圖,而B圖式為p型全繞式閘極場效電晶體沿著X方向的剖視圖。應理解的是在圖3至19B中,一些實施例可形成n型全繞式閘極場效電晶體與p型全繞式閘極場效電晶體於相同基板或晶片上。應理解的是,可在圖3至19B所示的製程之前、之中、與之後提供額外步驟,且方法的額外實施例可置換或省略一些下述步驟。可調換步驟/製程的順序。圖3至19B的實施例可實施與圖1A至2D所述之實施例類似或相同的材料、設置、尺寸、及/或製程,並可省略其細節說明。
如圖3所示,第一半導體層20與第二半導體層25交錯形成於基板10上。第一半導體層20與第二半導體層25的材料組成具有不同的晶格常數,且可包含一或多層的矽、鍺、矽鍺、砷化鎵、銻化銦、磷化鎵、銻化鎵、砷化鋁銦、砷化銦鎵、磷化鎵銻、砷化鎵銻、或磷化銦。
在一些實施例中,第一半導體層20與第二半導體層25的組成可為矽、矽化合物、矽鍺、鍺、或鍺化合物。在一實施例中,第一半導體層20為Si1-xGex,其中x大於或等於約0.1且小於或等於約0.6,而第二半導體層25為Si或Si1-yGey,其中y小於x且小於或等於約0.2。在本發明實施例中,M化合物或M為主的化合物指的是化合物的主體為M。
第一半導體層20與第二半導體層25磊晶形成於基板10上。第一半 導體層20的厚度可大於或等於第二半導體層25的厚度。在一些實施例中,第一半導體層20的厚度為約5nm至約60nm。在其他實施例中,第一半導體層20的厚度為約10nm至約30nm。在一些實施例中,第二半導體層25的厚度為約5nm至約60nm。在其他實施例中,第二半導體層25的厚度為約10nm至約30nm。第一半導體層20的厚度可與第二半導體層25的厚度相同或不同。雖然圖3顯示四個第一半導體層20與四個第二半導體層25,但數目不限於四而可為一、二、三、或大於四,且小於二十。在一些實施例中,第一半導體層20的數目可比半導體層25的數目多一個(頂層為第一半導體層)。
在形成半導體層的堆疊之後,可採用一或多道微影與蝕刻步驟形成鰭狀結構,如圖4所示。可由任何合適方法圖案化鰭狀結構。舉例來說,可採用一或多道光微影製程圖案化鰭狀結構,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程,可沿著圖案化的犧牲層之側部形成間隔物。接著移除犧牲層,而保留的間隔物之後可用於圖案化鰭狀結構。
如圖4所示,鰭狀結構29延伸於X方向中並配置於Y方向中。鰭狀結構的數目不限於圖4所示的兩個,其可為一個、三個、或更多個。在一些實施例中,形成一或多個虛置鰭狀結構於鰭狀結構29的兩側上,以改善圖案化步驟的圖案保真度。如圖4所示,鰭狀結構29的上側部分由堆疊的第一半導體層20、第二半導體層25、與鰭狀結構11所構成。
在一些實施例中,鰭狀結構29的上側部分沿著Y方向的寬度為約 10nm至約40nm。在其他實施例中,上述寬度為約20nm至約30nm。
在形成鰭狀結構29之後,形成一或多層的絕緣材料層於基板上,使鰭狀結構完全埋置於絕緣層中。絕緣層所用的絕緣材料可包含氧化矽、氮化矽、氮氧化矽、碳氮氧化矽、碳氮化矽、氟矽酸鹽玻璃、或低介電常數的介電材料,其形成方法可為低壓化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。在形成絕緣層之後可進行退火步驟。可進行平坦化步驟如化學機械研磨法及/或回蝕刻法,以自絕緣材料層露出最上側的第二半導體層25之上表面。在一些實施例中,可在形成絕緣材料層之前,形成一或多個鰭狀物襯墊層於鰭狀結構上。在一些實施例中,鰭狀物襯墊層包含第一鰭狀物襯墊層形成於基板10與鰭狀結構11的底部側壁上,以及第二鰭狀物襯墊層形成於第一鰭狀物襯墊層上。鰭狀物襯墊層的組成可為氮化矽或氮化矽為主的材料(如氮氧化矽、碳氮化矽、或碳氮氧化矽)。鰭狀物襯墊層的一或多種沉積製程可為物理氣相沉積、化學氣相沉積、或原子層沉積,但亦可採用任何可接受的製程。
接著如圖4所示,使絕緣材料層凹陷以形成隔離絕緣層15,並露出鰭狀結構29的上側部分。此步驟可使鰭狀結構29彼此隔有隔離絕緣層15,其亦可稱作淺溝槽隔離。隔離絕緣層15的組成可為合適的介電材料,比如氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層如摻雜碳的氧化物、極低介電常數的介電層如多孔的摻雜碳的氧化矽、聚合物如聚醯亞胺、上述之組合、或類似物。在一些實施例中,隔離絕緣層15的形成製程可為化學氣相沉積、可流動的化學氣相沉積、或旋轉塗佈玻璃製程,但亦可採用任何可接受的製程。
在一些實施例中,使絕緣材料層15凹陷,直到露出鰭狀結構(如井 層)11的上側部分。在其他實施例中,不露出鰭狀結構11的上側部分。第一半導體層20為犧牲層,之後將部分移除。第二半導體層25之後將形成半導體線如n型全繞式閘極場效電晶體的通道層。對p型全繞式閘極場效電晶體而言,第二半導體層25為犧牲層,之後將部分移除。第一半導體層20之後將形成半導體線如通道層。
在形成隔離絕緣層15之後形成犧牲(虛置)閘極結構49,如圖5A及5B所示。圖5A及5B顯示犧牲閘極結構49形成於露出的鰭狀結構29上之後的結構。犧牲閘極結構49形成於鰭狀結構的一部分(其作為通道區)上。犧牲閘極結構49定義全繞式閘極場效電晶體的通道區。犧牲閘極結構49包括犧牲閘極介電層41與犧牲閘極層42。犧牲閘極介電層41包含一或多層的絕緣材料,比如氧化矽為主的材料。在一實施例中,採用化學氣相沉積所形成的氧化矽。在一些實施例中,犧牲閘極介電層41的厚度可維1nm至約5nm。
犧牲閘極結構49的形成方法可先毯覆性沉積犧牲閘極介電層41於鰭狀結構上。接著毯覆性沉積犧牲閘極層於犧牲閘極介電層與鰭狀結構上,使鰭狀結構完全埋置於犧牲閘極層中。犧牲閘極層包含矽,比如多晶矽或非晶矽。在一些實施例中,犧牲閘極層的厚度為約100nm至約200nm。在一些實施例中,對犧牲閘極層進行平坦化步驟。犧牲閘極介電層與犧牲閘極層的沉積方法可採用化學氣相沉積(含低壓化學氣相沉積或電漿輔助化學氣相沉積)、物理氣相沉積、原子層沉積、或其他合適製程。之後形成遮罩層於犧牲閘極層上。遮罩層包括墊氮化矽層43與氧化矽遮罩層44。
接著在遮罩層上進行圖案化步驟,並圖案化犧牲閘極層成犧牲閘極結構49,如圖5A及5B所示。犧牲閘極結構49包括犧牲閘極介電層41、犧牲閘 極層42(如多晶矽)、墊氮化矽層43、與氧化矽遮罩層44。藉由圖案化犧牲閘極結構,可部分露出犧牲閘極結構的兩側上的第一半導體層與第二半導體層的堆疊層,進而定義源極/汲極區,如圖5A及5B所示。在本發明實施例中,源極與汲極可互換,且其結構實質上相同。在圖5A及5B中,形成一個犧牲閘極結構於兩個鰭狀結構上,但犧牲閘極結構的數目不限於一個。在一些實施例中,兩個或更多犧牲閘極結構配置於X方向中。在這些實施例中,一或多個虛置犧牲閘極結構形成於犧牲閘極結構的兩側上,以改善圖案保真度。
此外,側壁間隔物所用的第一覆蓋層45形成於犧牲閘極結構49上,如圖5A及5B所示。以順應性的方式沉積第一覆蓋層45,使其在垂直表面如側壁、水平表面、與犧牲閘極結構的頂部上具有實質上一致的厚度。在一些實施例中,第一覆蓋層45的厚度為約5nm至約20nm。第一覆蓋層45包含氮化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、或任何其他合適的介電材料之一或多者。第一覆蓋層45的形成方法可為原子層沉積、化學氣相沉積、或任何其他合適方法。
圖6A顯示n型區沿著X方向的剖視圖,而圖6B顯示p型區沿著X方向的剖視圖。接著如圖6A及6B所示,非等向蝕刻n型區中的第一覆蓋層45以移除源極/汲極區上的第一覆蓋層45,並保留第一覆蓋層45於犧牲閘極結構49的側面上以作為側壁間隔物。接著向下蝕刻源極/汲極區的第一半導體層20與第二半導體層25的堆疊結構,且蝕刻方法可採用一或多道微影與蝕刻步驟,進而形成源極/汲極空間21。在一些實施例中,亦部分蝕刻基板10(或鰭狀結構11的底部)。以保護層101如光阻層覆蓋p型區,如圖6B所示。
在圖6A所示的一些實施例中,源極/汲極空間21穿入鰭狀結構11 的井部。在基板10為(100)矽晶圓時,源極/汲極空間21的底部之(111)晶面可形成V形或三角形的剖面。在一些實施例中,採用氫氧化四甲基銨的濕蝕刻及/或採用氯化氫的化學乾蝕刻,可用於形成V形輪廓。
此外,如圖7A至8B所示,橫向蝕刻X方向中的源極/汲極空間21中的第一半導體層20,以形成空洞22。
當第一半導體層20為矽鍺而第二半導體層25為矽時,可採用濕蝕刻劑(比如但不限於過氧化氫、醋酸、與氫氟酸的混合溶液)選擇性蝕刻第一半導體層20,接著以水清潔。在一些實施例中,以混合溶液蝕刻並以水清潔的步驟可重複10至20次。在一些實施例中,混合溶液的蝕刻時間可為約1分鐘至約2分鐘。在一些實施例中,使用混合溶液的溫度為約60℃至約90℃。
在一些實施例中,採用混合溶液可使第一半導體層20的末端為弧形。在一些實施例中,完全移除第一半導體層的區域的深度,為約0.5nm至約5nm。在其他實施例中,上述深度為約1nm至約3nm。
在橫向蝕刻之後,移除p型區中的保護層101。
如圖8A所示,順應性地形成第一絕緣層30於源極/汲極空間21中的第一半導體層20被蝕刻的橫向末端與第二半導體層25的橫向末端上,以及犧牲閘極結構上。第一絕緣層30包含氮化矽、氧化矽、氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或任何其他合適的介電材料。第一絕緣層30與側壁間隔物(如第一覆蓋層45)的材料不同。第一絕緣層30的厚度可為約1.0nm至約10.0nm。在其他實施例中,第一絕緣層30的厚度為約2.0nm至約5.0nm。第一絕緣層30的形成方法可為原子層沉積或任何其他合適方法。藉由順應性形成第一絕緣層30,第一絕緣層30可完全填入空洞22。在p型區中,第一絕緣層30形成於第一 覆蓋層45上,如圖8B所示。
在形成第一絕緣層30之後,可進行蝕刻步驟以部分移除第一絕緣層30,進而形成內側間隔物35,如圖9A所示。在一些實施例中,以保護層103如光阻圖案保護p型區,如圖9B所示。在其他實施例中,不採用保護層103。在這些例子中,在n型區中進行蝕刻步驟時,可同時移除p型區中的第一絕緣層30。在一些實施例中,內側間隔物35的末端面比第二半導體層25的末端面更凹陷,如圖9A所示。凹陷量可為約0.2nm至約3nm,而其他實施例中的凹陷量可為約0.5nm至約2nm。在其他實施例中,凹陷量可小於0.5nm且可為0(內側間隔物35的末端面與第二半導體層25的末端面彼此齊平)。
一些實施例在形成第一絕緣層30之前,可形成厚度小於第一絕緣層30的額外絕緣層,因此內側間隔物35具有兩層結構。
之後如圖10A所示,源極/汲極磊晶層50形成於n型區中的源極/汲極空間21中。源極/汲極磊晶層50包含n型通道的場效電晶體所用的一或多層的矽、磷化矽、碳化矽、與碳磷化矽。源極/汲極磊晶層50的形成方法可為磊晶成長法,其採用化學氣相沉積、原子層沉積、或分子束磊晶。如圖10A及10B所示,選擇性形成源極/汲極磊晶層50於半導體區上。源極/汲極磊晶層50接觸第二半導體層25的末端面,並接觸內側間隔物35。形成源極/汲極磊晶層的細節將搭配圖20A至20D、21A、及21B詳述於下。
接著如圖11A及11B所示,形成第二覆蓋層47於n型區與p型區中。第二覆蓋層47包括氮化矽、氧化矽、氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或任何其他合適的介電材料。第二覆蓋層47的材料組成與側壁間隔物(如第一覆蓋層45)的材料組成不同。第二覆蓋層47的形成方法可為原子層沉積或任 何其他合適方法。
接著如圖12A及12B所示,當保護層111如光阻圖案覆蓋n型區時,自p型區移除第二覆蓋層47。此外,非等向蝕刻第一覆蓋層45以移除源極/汲極區上的第一覆蓋層45,並保留第一覆蓋層45於p型區中的犧牲閘極結構49之側面上以作為側壁間隔物。
此外如圖13B所示,蝕刻犧牲閘極結構未覆蓋的鰭狀結構的源極/汲極區中的第二半導體層25,以保留第一半導體層20於源極/汲極區中。在一些實施例中,以保護層111覆蓋n型區,如圖13A所示。在其他實施例中,可在蝕刻p型區中的源極/汲極區之前移除保護層111,並以第二覆蓋層47保護n型區。一些實施例與圖6A類似,源極/汲極空間51底部的(111)面可形成V形或三角形的剖面,如圖13B所示。
此外,橫向蝕刻X方向中的源極/汲極空間51中的第二半導體層25以形成空洞52,如圖14B所示。在一些實施例中,第二半導體層25的蝕刻量可為約2nm至約10nm。當第一半導體層20為矽鍺且第二半導體層25為矽時,可採用濕蝕刻劑(比如但不限於氫氧化銨溶液及/或氫氧化四甲基銨溶液)以選擇性蝕刻第二半導體層25。在一些實施例中,第二半導體層25的末端為弧形(凸出)。在其他實施例中,第二半導體層25的末端為V形(旋轉90度)或實質上三角形。在橫向蝕刻之後,移除p型區中的保護層111(若殘留於此階段)。
接著如圖15A及15B所示,形成第二絕緣層60於p型區與n型區上。在一些實施例中,第二絕緣層60完全填入相鄰的第一半導體層20之間的源極/汲極空間51。第二絕緣層60包含氮化矽、氧化矽、氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或任何其他合適的介電材料。第二絕緣層60的組成與側壁間 隔物如第一覆蓋層45不同。第二絕緣層60的厚度可為約1.0nm至約10.0nm。在其他實施例中,第二絕緣層60的厚度為約2.0nm至約5.0nm。第二絕緣層60的形成方法可為原子層沉積或任何其他合適方法。藉由形成第二絕緣層60,可將第二絕緣層60完全填入空洞52。在n型區中,第二絕緣層60形成於第二覆蓋層47上,如圖15A所示。
在形成第二絕緣層60之後,可進行蝕刻步驟以部分地移除第二絕緣層60,進而形成內側間隔物65(如圖16B所示),並以第二覆蓋層47覆蓋n型區(如圖16A所示)。在一些實施例中,形成第二絕緣層60之前可形成厚度小於第二絕緣層60的額外絕緣層,因此內側間隔物65具有兩層結構。
接著如圖17A及17B所示,形成源極/汲極磊晶層55於p型區中的源極/汲極空間51中。源極/汲極磊晶層55包含一或多層的矽、矽鍺、或鍺,以用於p型通道的場效電晶體。對p型通道場效電晶體而言,源極/汲極區中可含硼。源極/汲極磊晶層55的形成方法可為磊晶成長法,其採用化學氣相沉積、原子層沉積、或分子束磊晶。形成源極/汲極磊晶層55以包覆第一半導體層20的末端部分,並接觸內側間隔物65。在一些實施例中,第一半導體層20穿過源極/汲極磊晶層55。在選擇性形成源極/汲極磊晶層55於p型區中的半導體區上之後,移除n型區中的第二覆蓋層47,如圖17A所示。
之後形成層間介電層70於源極/汲極磊晶層50及55上。層間介電層70所用的材料包括含矽、氧、碳、及/或氫的化合物,比如氧化矽、碳氫氧化矽、或碳氧化矽。有機材料如聚合物亦可用於層間介電層70。在形成層間介電層70之後,可進行平坦化步驟如化學機械研磨,以露出犧牲閘極層42的頂部。
接著移除犧牲閘極層42與犧牲閘極介電層41。層間介電層70在移 除犧牲閘極結構49時,可保護源極/汲極磊晶層50及55。可採用電漿乾蝕刻及/或濕蝕刻移除犧牲閘極結構。當犧牲閘極層42為多晶矽且層間介電層70為氧化矽時,可採用濕蝕刻劑如氫氧化四甲基銨溶液以選擇性移除犧牲閘極層42。之後可採用電漿乾蝕刻及/或濕蝕刻移除犧牲閘極介電層41。
在移除犧牲閘極結構之後,移除n型區中的第一半導體層20,以形成第二半導體層25的線(通道區),如圖18A所示。可採用蝕刻劑移除或蝕刻第一半導體層20,其可選擇性蝕刻第一半導體層20而不蝕刻第二半導體層25,如上所述。如圖18A所示,由於形成第一絕緣層如內側間隔物35,蝕刻第一半導體層20的步驟止於第一絕緣層如內側間隔物35。換言之,第一絕緣層如內側間隔物35可作為蝕刻第一半導體層20所用的蝕刻停止層。進行n型區所用的通道形成步驟,並以保護層覆蓋p型區。
類似地,移除p型區中的第二半導體層25,以形成第一半導體層20的線(如通道區),如圖18B所示。可採用蝕刻劑移除第二半導體層25,其可選擇性蝕刻第二半導體層25而不蝕刻第一半導體層20,如上所述。如圖18B所示,由於形成第二絕緣層如內側間隔物65,蝕刻第二半導體層25的步驟止於第二絕緣層如內側間隔物65。換言之,第二絕緣層如內側間隔物65可作為蝕刻第二半導體層25所用的蝕刻停止層。進行p型區所用的通道形成步驟,並以保護層覆蓋n型區。可在形成n型區所用的通道區之後,形成p型區所用的通道區。
在形成n型區中的第二半導體層25之半導體線(通道區)與p型區中的第一半導體層20之半導體線(通道區)之後,形成閘極介電層以圍繞n型區與p型區所用的每一通道區。此外,閘極層84形成於閘極介電層82上,如圖19A及19B所示。在一些實施例中,n型全繞式閘極場效電晶體所用的閘極之結構及/或材 料,與p型全繞式閘極場效電晶體所用的閘極之結構及/或材料不同。
在這些實施例中,閘極介電層82包括一或多層的介電材料,比如氧化矽、氮化矽、高介電常數的介電材料、其他合適的介電材料、及/或上述之組合。高介電常數的介電材料的例子包含氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鈦、氧化鉿-氧化鋁合金、其他合適的高介電常數的介電材料、及/或上述之組合。在一些實施例中,閘極介電層82包括界面層(未圖示)於通道層與介電材料之間。
閘極介電層82的形成方法可為化學氣相沉積、原子層沉積、或任何合適方法。在一實施例中,閘極介電層82的形成方法採用高順應性的沉積製程如原子層沉積,以確保閘極介電層在每一通道層周圍具有一致厚度。在一實施例中,閘極介電層82的厚度可為約1nm至約6nm。
閘極層84形成於閘極介電層82上,以圍繞每一通道層。閘極層84包含一或多層的導電材料,比如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、鎳矽化物、鈷矽化物、氮化鈦、氮化鎢、鈦鋁、氮化鈦鋁、碳氮化鉭、碳化鉭、氮化鉭矽、金屬合金、其他合適材料、及/或上述之組合。
閘極層84的形成方法可為化學氣相沉積、原子層沉積、電鍍、或其他合適方法。閘極層亦沉積於層間介電層70的上表面上。接著平坦化層間介電層70上的閘極介電層與閘極層,直到露出層間介電層70的上表面,且平坦化步驟可採用化學機械研磨。在一些實施例中,平坦化步驟後可使閘極層84凹陷,並形成蓋絕緣層(未圖示)於凹陷的閘極層84上。蓋絕緣層包括一或多層的氮化矽為主的材料,比如氮化矽。蓋絕緣層的形成方法可為沉積絕緣材料,接著進行平坦化步驟。
在本發明這些實施例中,一或多個功函數調整層(未圖示)夾設於閘極介電層82與閘極層84之間。功函數調整層的組成可為導電材料,比如氮化鈦、氮化鉭、碳化鉭鋁、碳化鉭鋁、碳化鈦、碳化鉭、鈷、鋁、鈦鋁、鉿鈦、鈦矽化物、鉭矽化物、或碳化鈦鋁的單層,或上述材料的雙層或多層。對n型通道場效電晶體而言,氮化鉭、碳化鉭鋁、氮化鈦、碳化鈦、鈷、鈦鋁、鉿鈦、鈦矽化物、與鉭矽化物的一或多者可作為功函數調整層。對p型通道場效電晶體而言,碳化鈦鋁、鋁、鈦鋁、氮化鉭、碳化鉭鋁、氮化鈦、碳化鈦、與鈷的一或多者可作為功函數調整層。功函數調整層的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍、或其他合適製程。此外,可分開形成n型通道場效電晶體與p型通道場效電晶體所用的功函數調整層,其可採用不同金屬層。
之後採用乾蝕刻形成接點孔於層間介電層70中,進而露出源極/汲極磊晶層50的上側部分。在一些實施例中,形成矽化物層於源極/汲極磊晶層50上。矽化物層包括鎢矽化物、鈷矽化物、鎳矽化物、鈦矽化物、鉬矽化物、與鉭矽化物的一或多者。接著形成導電接點層72於接點孔中,如圖1A至1D所示。導電接點層72包括鈷、鎳、鎢、鈦、鉭、銅、鋁、氮化鈦、與氮化鉭的一或多者。此外,形成導電接點插塞75於導電接點層72上。導電接點插塞75包括鈷、鎳、鎢、鈦、鉭、銅、鋁、氮化鈦、與氮化鉭之一或多層。
值得注意的是上述實施例中,形成n型全繞式閘極電晶體所用的製程與形成p型全繞式閘極場效電晶體所用的製程的順序可互換。舉例來說,前述實施例先形成n型全繞式閘極場效電晶體所用的內側間隔物35,接著形成p型全繞式閘極場效電晶體所用的內側間隔物65。在其他實施例中,先形成p型全繞 式閘極場效電晶體所用的內側間隔物65,接著形成n型全繞式閘極場效電晶體所用的內側間隔物35。
應理解的是,可對全繞式閘極場效電晶體進行後續的互補式金氧半製程,以形成多種結構如接點/通孔、內連線金屬層、介電層、鈍化層、或類似物。
在一些實施例中,同時形成n型場效電晶體所用的內側間隔物35與p型場效電晶體所用的內側間隔物65。
圖20A至20D係本發明一實施例中,製造源極/汲極磊晶層50的製程步驟。圖20A係形成源極/汲極空間21之後的剖視圖。在形成源極/汲極空間21之後,可進行預清潔步驟。在一些實施例中,預清潔步驟包括採用氬氣及/或氨電漿的電漿處理。在一些實施例中,此製程溫度為約室溫至約300℃。接著進行烘烤/退火步驟以控制後續形成的磊晶層形狀。在一些實施例中,在氫氣環境中進行烘烤/退火步驟。在一些實施例中,此製程溫度高於預清潔步驟的溫度,比如約500℃至約700℃。
圖20B顯示形成第一磊晶層50-1(L1)的初始階段。在一些實施例中,第一磊晶層50-1的組成為磷化矽、砷化矽、磷砷化矽、或上述之組合。在一些實施例中,第一磊晶層50-1的磷濃度可為約0.5×1019原子/cm3至5×1020原子/cm3。在其他實施例中,第一磊晶層50-1的磷濃度可為約0.8×1019原子/cm3至2×1020原子/cm3。在一些實施例中,第一磊晶層50-1的製程溫度高於烘烤/退火步驟的溫度,比如約700℃至約900℃。一些實施例在形成第一磊晶層50-1之後,可原位進行氯化氫蝕刻。
如圖20B及20C所示,第一磊晶層的磷化矽層自矽表面成長,比如 自第二半導體層25的末端與V形凹陷的底部成長。在一些實施例中,第二半導體層25的末端為(110)面。由於(110)面上的成長速率大於(111)表面上的成長速率,成長於第二半導體層25的末端上的磷化矽層會先彼此合併,接著與自V形凹陷成長的磷化矽層合併。具體而言,位於最底部的第二半導體層25與V形凹陷的內側間隔物35,可避免成長於第二半導體層25之末端上的磷化矽層與自V形凹陷成長的磷化矽層在磊晶製程的初期合併。之後磷化矽的第一磊晶層50-1覆蓋內側間隔物35,如圖20C所示。如圖20C所示,磷化矽的第一磊晶層50-1在水平方向中,在第二半導體層25的末端上的厚度大於在內側間隔物35上的厚度,因為磊晶成長由第二半導體層的末端開始。在一些實施例中,第二半導體層的末端與內側間隔物的末端之厚度差異為約1nm至約20nm。在一些實施例中,成長磷化矽的第一磊晶層之方法包括混合矽烷與氯化氫的氣體。氣體混合物可同時蝕刻並沉積半導體層,以控制第一磊晶層50-1的形狀。在一些實施例中,矽烷氣體有助於成長磷化矽於(100)表面上,而氯化氫氣體蝕刻(110)表面而非(111)表面。在其他實施例中,第二半導體層25的末端為(100)面,而(100)面上的成長速率大於(111)面上的成長速率。
一些實施例在形成第一磊晶層50-1之後,可進行清潔步驟。清潔步驟包括採用矽烷與氯化氫氣體的化學乾式清潔(蝕刻)。在一些實施例中,蝕刻步驟的製程溫度低於形成第一磊晶層50-1的溫度,並高於烘烤/退火步驟的溫度,比如約650℃至約750℃。在其他實施例中,清潔步驟的製程溫度低於烘烤/退火步驟的溫度。
在清潔步驟之後,形成第二磊晶層50-2(L2),如圖20D所示。在一些實施例中,第二磊晶層50-2的組成為磷化矽。在一些實施例中,第二磊晶層 50-2的磷濃度高於磷化矽的第一磊晶層50-1的磷濃度,比如約1×1021原子/cm3至約5×1021原子/cm3。在其他實施例中,第二磊晶層50-2的磷濃度可為約2×1021原子/cm3至約4×1021原子/cm3。在一些實施例中,形成第二磊晶層50-2的製程溫度低於清潔步驟的溫度與形成第一磊晶層50-1的製程溫度,其可為約600℃至約700℃。如圖20D所示,第二磊晶層50-2完全填入源極/汲極空間21。
在一些實施例中,第一磊晶層50-1完全填入V形凹陷,而第二磊晶層50-2的底部高於鰭狀結構11的上表面。在其他實施例中,第一磊晶層50-1部分填入V形凹陷,第二磊晶層50-2的底部低於鰭狀結構11的上表面。
在一些實施例中,成長第二磊晶層50-2時會產生結晶的差排53,其可作為埋置的應力源。可由穿透式電子顯微鏡觀測差排,比如不規則的結晶結構。在一些實施例中,差排一開始位於內側間隔物35上的第一磊晶層50-1,因為(100)、(110)、與(111)面的成長速率差異。在一些實施例中,差排的應力源可避免磷向外擴散。在一些實施例中,至少一差排53穿入第三磊晶層50-3。在其他實施例中,至少一差排53止於第二磊晶層50-2中。
在一些實施例中,形成第二磊晶層50-2之後可進行清潔步驟。清潔步驟包括採用鍺烷與氯化氫氣體的化學乾式清潔(蝕刻)。在一些實施例中,清潔步驟的製程溫度低於形成第一磊晶層50-1的溫度並高於形成第二磊晶層50-2的溫度,其可為約650℃至約750℃。
在清潔步驟之後,可形成第三磊晶層50-3(L3)如蓋磊晶層,如圖20D所示。在一些實施例中,第三磊晶層50-3的組成為磷化矽鍺。在一些實施例中,第三磊晶層50-3的磷濃度小於或等於磷化矽的第二磊晶層50-2的磷濃度,並高於磷化矽的第一磊晶層的磷濃度,且可為約0.5×1021原子/cm3至4×1021原子 /cm3。在其他實施例中,第三磊晶層50-3的磷濃度可為約1×1021原子/cm3至3×1021原子/cm3
在一些實施例中,第三磊晶層50-3的鍺濃度為約0.5原子%至10原子%。在其他實施例中,第三磊晶層50-3的鍺濃度為約1原子%至約5原子%。在一些實施例中,形成第三磊晶層50-3的製程溫度低於清潔步驟的溫度,並低於或等於形成第二磊晶層50-2的製程溫度,其可為約600℃至約700℃。如圖20D所示,第三磊晶層50-3形成於第二磊晶層50-2上,且未接觸第一磊晶層50-1。
圖21A及21B顯示源極/汲極磊晶層的垂直元素輪廓與橫向元素輪廓。在一些實施例中,第一磊晶層50-1的厚度為約3nm至約10nm。如圖21B所示的一些實施例,磷化矽的第二磊晶層50-2的矽濃度小於磷化矽的第一磊晶層50-1的矽濃度。
應理解的是,此處不必說明所有優點,所有實施例或例子不需具有特定優點,且其他實施例或例子可提供不同優點。
在本發明一實施例中,半導體裝置的製造方法包括:形成上側鰭狀結構,且上側鰭狀結構中的多個第一半導體層與多個第二半導體層交錯堆疊於下側鰭狀結構上;形成犧牲閘極結構於上側鰭狀結構上;蝕刻犧牲閘極結構未覆蓋的上側鰭狀結構的源極/汲極區,以形成源極/汲極空間;經由源極/汲極空間橫向蝕刻第一半導體層;形成介電材料組成的內側間隔物於蝕刻後的每一第一半導體層的末端上;以及形成源極/汲極磊晶層於源極/汲極空間中,以覆蓋內側間隔物。蝕刻源極/汲極區的步驟亦蝕刻下側鰭狀結構的一部分以形成凹陷,且凹陷中露出(111)表面。在一或多個上述與下述實施例中,凹陷具有V形或三角形的剖面。在一或多個上述與下述實施例中,形成源極/汲極磊晶層的步驟包括 形成第一磊晶層以接觸第二半導體層的末端與內側間隔物,並形成第二磊晶層於第一磊晶層上。在一或多個上述與下述實施例中,形成源極/汲極磊晶層的步驟更包括形成第三磊晶層於第二磊晶層上,使第三磊晶層不接觸第一磊晶層。在一或多個上述與下述實施例中,第一磊晶層包括磷化矽,第二磊晶層包括磷化矽,且第二磊晶層的磷濃度大於第一磊晶層的磷濃度。在一或多個上述與下述實施例中,第三磊晶層包括磷化矽鍺。在一或多個上述與下述實施例中,內側間隔物的形成方法為形成介電層於源極/汲極空間中,並蝕刻介電層以保留內側間隔物於每一蝕刻後的第一半導體層的末端上。在一或多個上述與下述實施例中,在橫向蝕刻第一半導體層之前,形成側壁間隔物於犧牲閘極結構的側面上,且側壁間隔物的材料與內側間隔物的材料不同。在一或多個上述與下述實施例中,內側間隔物包括氮化矽或氧化矽。在一或多個上述與下述實施例中,第一磊晶層包括磷化矽、砷化矽、與砷濃度大於磷濃度的磷砷化矽之一或多者。在一或多個上述與下述實施例中,每一第二半導體層的末端為(110)表面。在一或多個上述與下述實施例中,第二半導體層末端上的第一磊晶層在水平方向中的厚度,大於內側間隔物上的第一磊晶層在水平方向中的厚度。在一或多個上述與下述實施例中,內側間隔物包括氮化矽、氧化矽、碳氧化矽、碳氮氧化矽、與碳氮化矽的至少一者。
在本發明另一實施例中,提供半導體裝置的製造方法,包括形成上側鰭狀結構,其中第一半導體層與第二半導體層交錯堆疊於下側鰭狀結構上;形成犧牲閘極結構於上側鰭狀結構上;蝕刻犧牲閘極結構未覆蓋的上側鰭狀結構的源極/汲極區,以形成具有V形底部的源極/汲極空間;經由源極/汲極空間橫向蝕刻第一半導體層;形成介電材料組成的內側間隔物於蝕刻後的每一第 一半導體層之末端上;以及形成源極/汲極磊晶層於源極/汲極空間中,以覆蓋內側間隔物。形成源極/汲極磊晶層的步驟包括:形成第一磊晶層;以及形成第二磊晶層於第一磊晶層上。第二半導體層之末端上的第一磊晶層於水平方向中的厚度,大於內側間隔物上的第一磊晶層於水平方向中的厚度。在一或多個上述與下述實施例中,V形底部中露出(111)表面。在一或多個上述與下述實施例中,源極/汲極磊晶層包括自內側間隔物開始的差排。在一或多個上述與下述實施例中,蝕刻上側鰭狀結構的源極/汲極區的步驟中,蝕刻上側鰭狀結構的源極/汲極區的第一半導體層與第二半導體層。在一或多個上述與下述實施例中,蝕刻上側鰭狀結構的源極/汲極區的步驟中,選擇性蝕刻上側鰭狀結構的源極/汲極區的第一半導體層,並保留第二半導體層。在一或多個上述與下述實施例中,更包括在形成源極/汲極磊晶層之後,移除犧牲閘極結構以露出鰭狀結構的一部分;自露出的鰭狀結構移除第一半導體層,以形成含有第二半導體層的通道層;以及形成閘極介電層與閘極層於通道層周圍。閘極層與源極/汲極磊晶層隔有內側間隔物與閘極介電層。
在本發明另一實施例中,半導體裝置的製造方法包括形成上側鰭狀結構,其第一半導體層與第二半導體層交錯堆疊於下側鰭狀結構上,形成犧牲閘極結構於上側鰭狀結構上,蝕刻犧牲閘極結構未覆蓋的上側鰭狀結構的源極/汲極區以形成源極/汲極空間,經由源極/汲極空間橫向蝕刻第一半導體層,形成介電材料組成的內側間隔物於每一蝕刻後的第一半導體層的末端上,並形成源極/汲極磊晶層於源極/汲極空間中以覆蓋內側間隔物。在形成源極/汲極磊晶層的步驟中,進行氫處理、形成第一磊晶層、進行第一清潔步驟、形成第二磊晶層於第一磊晶層上、以及進行第二清潔步驟。形成第一磊晶層的製程溫度高於 氫處理的的製程溫度,而形成第二磊晶層的製程溫度低於形成第一磊晶層的製程溫度。在一或多個上述與下述實施例中,第一清潔步驟包括採用含矽氣體與氯化氫氣體進行處理。在一或多個上述與下述實施例中,第二清潔步驟包括採用含鍺氣體與氯化氫氣體進行處理。在一或多個上述與下述實施例中,形成第二磊晶層的製程溫度低於第一清潔步驟的製程溫度。
在本發明另一實施例中,半導體裝置包括:半導體線或片,位於基板上;源極/汲極磊晶層,接觸半導體線或片;閘極介電層,位於半導體線或片的每一通道區上並包覆每一通道區;閘極層,位於閘極介電層上並包覆每一通道區;以及絕緣間隔物分別位於空間中,且空間由相鄰的半導體線或片、閘極層、與源極/汲極區所定義。源極/汲極磊晶層包括結晶差排。在一或多個上述與下述實施例中,源極/汲極磊晶層包括接觸第二半導體層末端與內側間隔物的第一磊晶層,形成於第一磊晶層上的第二磊晶層,以及自內側間隔物上的第一磊晶層中開始的差排。在一或多個上述與下述實施例中,源極/汲極磊晶層包括第三磊晶層於第二磊晶層上,且第三磊晶層不接觸第一磊晶層。在一或多個上述與下述實施例中,第一磊晶層包括磷化矽、砷化矽、與砷濃度高於磷濃度的磷砷化矽的一或多者,第二磊晶層包括磷化矽,其磷濃度高於第一磊晶層的磷濃度,以及第三磊晶層包括磷化矽鍺。在一或多個上述與下述實施例中,第三磊晶層不接觸第一磊晶層。在一或多個上述與下述實施例中,半導體裝置更包括側壁間隔物位於閘極的側面上,且側壁間隔物的材料與內側間隔物的材料不同。在一或多個上述與下述實施例中,內側間隔物包括氮化矽或氧化矽。在一或多個上述與下述實施例中,內側間隔物包括碳氧化矽、碳氮氧化矽、與碳氮化矽的至少一者。
在本發明另一實施例中,半導體裝置包括半導體線或片位於基板上,源極/汲極磊晶層接觸半導體線或片,閘極介電層位於半導體線或片的每一通道區上並包覆每一通道區,閘極層位於閘極介電層上並包覆每一通道區,以及絕緣間隔物分別位於空間中,且空間由相鄰的半導體線或片、閘極層、與源極/汲極區所定義。源極/汲極磊晶層包括第一磊晶層接觸第二半導體層的末端與內側間隔物,以及第二磊晶層形成於第一磊晶層上。第二半導體層末端上的第一磊晶層在水平方向中的厚度,大於內側間隔物上的第一磊晶層在水平方向中的厚度。在一或多個上述與下述實施例中,半導體線或片位於底部鰭狀結構上,底部鰭狀結構包括凹陷,且第一磊晶層填入凹陷。在一或多個上述與下述實施例中,凹陷為V形,且底部鰭狀結構的(111)表面接觸第一磊晶層。在一或多個上述與下述實施例中,第一磊晶層完全填入凹陷,且第二磊晶層的底部高於底部鰭狀結構的上表面。在一或多個上述與下述實施例中,半導體線或片的組成為矽。在一或多個上述與下述實施例中,源極/汲極磊晶層包括自內側間隔物開始的差排。在一或多個上述與下述實施例中,源極/汲極磊晶層包括第二磊晶層上的第三磊晶層。在一或多個上述與下述實施例中,至少一差排穿入第三磊晶層。
在本發明另一實施例中,半導體裝置包括半導體線或片位於基板上,源極/汲極磊晶層接觸半導體線或片,閘極介電層位於半導體線或片的每一通道區上並包覆每一通道區,閘極層位於閘極介電層上並包覆每一通道區,以及絕緣間隔物分別位於空間中,且空間由相鄰的半導體線或片、閘極層、與源極/汲極區所定義。源極/汲極磊晶層包括第一磊晶層、第一磊晶層上的第二磊晶層、與第二磊晶層上的第三磊晶層,並包含自第一磊晶層開始的差排,且差排穿過第二磊晶層並穿入第三磊晶層。在一或多個上述與下述實施例中,第三磊 晶層不接觸第一磊晶層。在一或多個上述與下述實施例中,差排自內側間隔物之一者開始。在一或多個上述與下述實施例中,第二半導體層的末端上的第一磊晶層在水平方向中的厚度,大於內側間隔物上的第一磊晶層在水平方向中的厚度。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
10:基板
25:第二半導體層
35:內側間隔物
45:第一覆蓋層
50:源極/汲極磊晶層
70:層間介電層
72:導電接點層
75:導電接點插塞
82:閘極介電層
84:閘極層

Claims (14)

  1. 一種半導體裝置的製造方法,包括:形成一上側鰭狀結構,且該上側鰭狀結構中的多個第一半導體層與多個第二半導體層交錯堆疊於一下側鰭狀結構上;形成一犧牲閘極結構於該上側鰭狀結構上;蝕刻該犧牲閘極結構未覆蓋的該上側鰭狀結構的一源極/汲極區,以形成一源極/汲極空間;經由該源極/汲極空間橫向蝕刻該些第一半導體層;形成一介電材料組成的一內側間隔物於蝕刻後的每一該些第一半導體層的末端上;以及形成一源極/汲極磊晶層於該源極/汲極空間中,以覆蓋該內側間隔物,其中蝕刻該源極/汲極區的步驟亦蝕刻該下側鰭狀結構的一部分以形成一凹陷,且該凹陷中露出一(111)表面。
  2. 如請求項1之半導體裝置的製造方法,其中該凹陷具有V形或三角形的剖面。
  3. 如請求項1或2之半導體裝置的製造方法,其中形成該源極/汲極磊晶層的步驟包括形成一第一磊晶層以接觸該些第二半導體層的末端與該內側間隔物,並形成一第二磊晶層於該第一磊晶層上。
  4. 如請求項3之半導體裝置的製造方法,其中形成該源極/汲極磊晶層的步驟更包括形成一第三磊晶層於該第二磊晶層上,使該第三磊晶層不接觸該第一磊晶層。
  5. 如請求項3之半導體裝置的製造方法,其中該第一磊晶層包括磷 化矽,該第二磊晶層包括磷化矽,且該第二磊晶層的磷濃度大於該第一磊晶層的磷濃度。
  6. 如請求項5之半導體裝置的製造方法,其中該第三磊晶層包括磷化矽鍺。
  7. 一種半導體裝置的製造方法,包括:形成一上側鰭狀結構,且該上側鰭狀結構中的該些第一半導體層與該些第二半導體層交錯堆疊於一下側鰭狀結構上;形成一犧牲閘極結構於該上側鰭狀結構上;蝕刻該犧牲閘極結構未覆蓋的該上側鰭狀結構的一源極/汲極區,以形成具有一V形底部的一源極/汲極空間;經由該源極/汲極空間橫向蝕刻該些第一半導體層;形成介電材料組成的一內側間隔物於蝕刻後的每一該些第一半導體層之末端上;以及形成一源極/汲極磊晶層於該源極/汲極空間中,以覆蓋該內側間隔物,其中形成該源極/汲極磊晶層的步驟包括:形成一第一磊晶層;以及形成一第二磊晶層於該第一磊晶層上,以及該些第二半導體層之末端上的該第一磊晶層於水平方向中的厚度,大於該內側間隔物上的該第一磊晶層於水平方向中的厚度。
  8. 如請求項7之半導體裝置的製造方法,其中該V形底部中露出一(111)表面。
  9. 如請求項7或8之半導體裝置的製造方法,其中該源極/汲極磊晶 層包括自該內側間隔物開始的一差排。
  10. 如請求項7或8之半導體裝置的製造方法,其中蝕刻該上側鰭狀結構的該源極/汲極區的步驟中,蝕刻該上側鰭狀結構的該源極/汲極區的該些第一半導體層與該些第二半導體層。
  11. 如請求項7或8之半導體裝置的製造方法,其中蝕刻該上側鰭狀結構的該源極/汲極區的步驟中,選擇性蝕刻該上側鰭狀結構的該源極/汲極區的該些第一半導體層,並保留該些第二半導體層。
  12. 一種半導體裝置,包括:多個半導體線或片,位於一基板上;一源極/汲極磊晶層,接觸該些半導體線或片;一閘極介電層,位於該些半導體線或片的每一多個通道區上並包覆每一該些通道區;一閘極層,位於該閘極介電層上並包覆每一該些通道區;以及多個絕緣間隔物分別位於多個空間中,且該些空間由相鄰的該些半導體線或片、該閘極層、與一源極/汲極區所定義,其中該源極/汲極磊晶層包括接觸該些半導體線或片末端與該些絕緣間隔物的一第一磊晶層、形成於該第一磊晶層上的一第二磊晶層、以及自該些絕緣間隔物上的該第一磊晶層中開始的多個差排。
  13. 如請求項12之半導體裝置,其中該源極/汲極磊晶層包括一第三磊晶層於該第二磊晶層上。
  14. 如請求項13之半導體裝置,其中該第一磊晶層包括磷化矽、砷化矽、與砷濃度高於磷濃度的磷砷化矽的一或 多者,該第二磊晶層包括磷化矽,其磷濃度高於該第一磊晶層的磷濃度,以及該第三磊晶層包括磷化矽鍺。
TW110105923A 2020-02-27 2021-02-20 半導體裝置與其製造方法 TWI762202B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062982716P 2020-02-27 2020-02-27
US62/982,716 2020-02-27
US16/933,626 US11316046B2 (en) 2020-02-27 2020-07-20 Method of manufacturing a semiconductor device and a semiconductor device
US16/933,626 2020-07-20

Publications (2)

Publication Number Publication Date
TW202133445A TW202133445A (zh) 2021-09-01
TWI762202B true TWI762202B (zh) 2022-04-21

Family

ID=77463139

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110105923A TWI762202B (zh) 2020-02-27 2021-02-20 半導體裝置與其製造方法

Country Status (1)

Country Link
TW (1) TWI762202B (zh)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150295084A1 (en) * 2013-11-01 2015-10-15 Borna J. Obradovic Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150295084A1 (en) * 2013-11-01 2015-10-15 Borna J. Obradovic Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same

Also Published As

Publication number Publication date
TW202133445A (zh) 2021-09-01

Similar Documents

Publication Publication Date Title
TWI722304B (zh) 半導體裝置及其形成方法
TWI749102B (zh) 鰭狀場效電晶體與其形成方法
TWI685035B (zh) 場效電晶體的製造方法及積體電路結構
TWI828806B (zh) 半導體裝置與其形成方法
TWI762129B (zh) 半導體裝置及其形成方法
TWI668872B (zh) 半導體結構與其形成方法
TWI682443B (zh) 半導體結構與其形成方法
TW202123387A (zh) 半導體裝置的製造方法
KR102331059B1 (ko) 반도체 디바이스 및 방법
TWI756416B (zh) 半導體裝置與其形成方法
TW201944599A (zh) 半導體結構
TW202011518A (zh) 半導體裝置的形成方法
TW202008433A (zh) 半導體裝置的形成方法
TW202129840A (zh) 半導體裝置及其形成方法
US20220320348A1 (en) Enlargement of GAA Nanostructure
TWI831110B (zh) 半導體裝置及其製造方法
TWI777024B (zh) 用於半導體製程的方法與半導體裝置
TW202002302A (zh) 半導體結構
KR20170108515A (ko) 반도체 소자 및 그 제조 방법
KR20220050019A (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
TWI822111B (zh) 半導體裝置與其形成方法
TWI805260B (zh) 半導體裝置及其製造方法
TWI829000B (zh) 半導體裝置及其形成方法
TWI762202B (zh) 半導體裝置與其製造方法
TW202305947A (zh) 半導體裝置